实例一 quartus ii 8 位加法器的设计

17
实实QUARTUS II QUARTUS II 8 实实实实实实实

Upload: molly

Post on 30-Jan-2016

302 views

Category:

Documents


0 download

DESCRIPTION

实例一 QUARTUS II 8 位加法器的设计. 八位加法器设计. 在设计之前,我们先来熟悉一下怎样在 Quartus II 环境下怎样建立工程。 *首先建立工作库目录,以便存储工程项目设计文件。 *在建立了文件夹后就可以将设计文件通过 Quartus II 的文本编辑器编辑并存盘,步骤如下: ( 1 )新建一个文件夹。首先可以利用 Windows 资源管理器,新建一个文件夹。这里本项设计的文件夹取名为 ADDER8B ,在 D 盘中,路径为 d:\DXB 。. 八位加法器设计. - PowerPoint PPT Presentation

TRANSCRIPT

Page 1: 实例一 QUARTUS  II 8 位加法器的设计

实例一 QUARTUS IIQUARTUS II

8 位加法器的设计

Page 2: 实例一 QUARTUS  II 8 位加法器的设计

八位加法器设计

在设计之前,我们先来熟悉一下怎样在 Quartus II 环境下怎样建立工程。

* 首先建立工作库目录,以便存储工程项目设计文件。

* 在建立了文件夹后就可以将设计文件通过 Quartus II 的文本编辑器编辑并存盘,步骤如下:( 1 )新建一个文件夹。首先可以利用 Windows 资源管理器,新建一个文件夹。这里本项设计的文件夹取名为 ADDER8B ,在 D 盘中,路径为 d:\DXB 。

Page 3: 实例一 QUARTUS  II 8 位加法器的设计

八位加法器设计

( 2 )输入源程序。打开 QuartusII ,选择菜单 File→New 。在 New 窗口中的 Device Design Files 中选择编译文件的语言类型,这里选择“ VHDL File” (如图 1-1 所示)。然后在 VHDL 文本编译窗中输入 8 位加法器的源程序。

( 3 )文件存盘。选择 File→Save As 命令,找到已设立的文件夹 d:\DXB ,存盘文件名应该与实体名一致,即 DXB.vhd 。当出现问句“ Do you want to create… ” 时,单击“是”按钮,则直接进入创建工程流程。

注意

Page 4: 实例一 QUARTUS  II 8 位加法器的设计

八位加法器设计:

图 1-1

Page 5: 实例一 QUARTUS  II 8 位加法器的设计

创建工程( 1 )打开建立新工程管理窗。选择菜单 File→New Preject Wizard 命令,即弹出“工程设置”对话框

单击此对话框最上一栏右侧的“… ”按钮,找到文件夹 d:\cnt10b ,选中已存盘的文件 cnt10.vhd (一般应该设顶层设计文件为工程),再单击“打开”按钮,即出现如图 4-2 所示的设置情况。其中第一行的 d:\cnt10b 表示工程所在的工作库文件夹;第二行的 cnt10 表示此项工程的工程名,工程名可以取任何其他的名,也可直接用顶层文件的实体名作为工程名,在此就是按这种方式取的名;第三行是当前工程顶层文件的实体名,这里即为 cnt10 。

Page 6: 实例一 QUARTUS  II 8 位加法器的设计

创建工程

Page 7: 实例一 QUARTUS  II 8 位加法器的设计
Page 8: 实例一 QUARTUS  II 8 位加法器的设计

创建工程( 2 )将设计文件加入工程中。单击下方的 Next 按钮,在弹出的对话框中单击 File 栏的按钮,将与工程相关的所有 VHDL 文件(如果有的话)加入进此工程,即得到如图所示的情况。此工程文件加入的方法有两种:第 1 种是单击 Add All 按钮,将设定的工程目录中的所有 VHDL 文件加入到工程文件栏中;第 2 种方法是单击“ Add … ”按钮,从工程目录中选出相关的 VHDL 文件。

Page 9: 实例一 QUARTUS  II 8 位加法器的设计

创建工程

Page 10: 实例一 QUARTUS  II 8 位加法器的设计

设计思路 并行进位多位加法器的构成方式 串行进位

* 设 有 进 位 产 生 逻

* 运 算 速 度 快

* 占 用 更 多 资 源

* 将 全 加 器 级 联 而

* 运 算 速 度 较 慢

* 占 用 资 源 较 少

Page 11: 实例一 QUARTUS  II 8 位加法器的设计

4 位二进制并行加法器和串行级联加法器所占空间相当,考虑到时间和空间问题,本设计中的 8 位二进制加法器由两个 4 位二进制并行加法器级联而成。

首先,我们来分析 4 位并行加法器的原理图和源程序代码:

Page 12: 实例一 QUARTUS  II 8 位加法器的设计

加数加数被加数被加数

低位进位低位进位 和和

溢出进位溢出进位

Page 13: 实例一 QUARTUS  II 8 位加法器的设计

4 位二进制并行加法器的源程序 ADDER4B.VHD

注意:文件名取为实体名,扩展名为 .vhd

库文件的使用

定义输入端口定义输出端口

定义一个名字为 ART 的

结构体

实体 : 描述了各信号端口的性质C4 :低位来的进位(输入端口)A4 : 4 位加数(输入端口)B4 : 4 位被加数(输入端口)S4 : 4 位和(输出端口)CO : 4 位输出(输出端口)

因为两个 4 位二进制相加,可能产生进位。所以必须将 4 位加数和被加数扩充为 5 位,为进

位提供空间

Page 14: 实例一 QUARTUS  II 8 位加法器的设计

了解完 4 位二进制并行加法器的工作原理之后,我们再来分析 8 位二进制加法器就容易很多了。基本的逻辑框架都差不多,只是创建的结构体内要对原件 ADDER4B 进行调用。

下面我们来详细的分析如何将两个 4 位二进制并行加法器级联而成 8 位二进制加法器。

Page 15: 实例一 QUARTUS  II 8 位加法器的设计

8 位被加数8 位被加数

8 位加数8 位加数

进位进位 8 位和8 位和

溢出进位溢出进位

Page 16: 实例一 QUARTUS  II 8 位加法器的设计

8 位二进制加法器的源程序 ADDER8B.VHD

类似于 4 位二进制加法器, 8 位二进制也要定义一个实体,名

为 ADDER8B

对调用的元件 ADDER4B 的界面端

口进行定义

安装一个 4位二进制加

法器 U1

安装另外一个 4 位二进

制加法器 U2

Page 17: 实例一 QUARTUS  II 8 位加法器的设计

8 位二进制加法器可以由两个 4 位二进制加法器级联而成,由此,我们也可以类似的设计 16 位二进制加法器、 32 位二进制加法器。只要将已有的元件级联在一起就行了。