02/2019-20...date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 eoi...

34
Date: 24/12/2019 ईओआई सूचना स .: सैक/इओआई/02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भारत के रारपतत के तिए और उनकी ओर से , धान, य एव डार, तरर उपयोग क, अहमदाबाद, तनमतितित के तए इओआई आम तित करते है | For and on behalf of the President of India, Head, Purchase & Stores, Space Applications Centre, Ahmedabad invites Expression of Interest(EOI) for the following: ईओआई फाइि स . EOI File No. त तववरण Brief Description तनयति Due Date सैक/इओआई-02/H1/2019-20 SAC/EOI-02/H1/2019-20 जीएनएसएस डिडजटल बेसबि (जीिीबी) एएसआईसी के डिजाइन और डनााण के डलये अडिडि की अडियडि (ईओआई) Expression of Interest (EoI) for Design and Fabrication of GNSS Digital Baseband (GDB) ASIC 22/01/2020 सैक/इओआई-02/D1/2019-20 SAC/EOI-02/D1/2019-20 एकीकृत डनन रव वाक के साथ अंतरर योय 183 गीगाहजाटज सब-हाोडनक डसर ॉिजयूल के डलए आरएफपी RFP for Space Qualified 183GHz Sub-Harmonic Mixer Module with Integrated Low Noise Amplifier 22/01/2020 Jagdishan Mudaliar Purchase & Stores Officer

Upload: others

Post on 22-Feb-2020

3 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

Date: 24/12/2019

ईओआई सचना स.: सक/इओआई/02/2019-20

EOI Notice No.: SAC/EOI-02/2019-20

भारत क राषटरपतत क तिए और उनकी ओर स, परधान, करय एव भडार, अतररकष उपयोग क दर, अहमदाबाद,

तननमतितित क ततए इओआई आमतित करत ह |

For and on behalf of the President of India, Head, Purchase & Stores, Space Applications

Centre, Ahmedabad invites Expression of Interest(EOI) for the following:

ईओआई फाइि स.

EOI File No.

सतकषपत तववरण

Brief Description

तनयत ततति

Due Date

सक/इओआई-02/H1/2019-20

SAC/EOI-02/H1/2019-20

जीएनएसएस डिडजटल बसबि (जीिीबी) एएसआईसी क डिजाइन और डनरााण क

डलय अडिरडि की अडिवयडि (ईओआई)

Expression of Interest (EoI) for Design and Fabrication of

GNSS Digital Baseband (GDB) ASIC

22/01/2020

सक/इओआई-02/D1/2019-20

SAC/EOI-02/D1/2019-20

एकीकत डनमन रव परवराक क साथ अतररकष योगय 183 गीगाहजाटज सब-हारोडनक

डरकसर रॉिजयल क डलए आरएफपी

RFP for Space Qualified 183GHz Sub-Harmonic Mixer Module

with Integrated Low Noise Amplifier

22/01/2020

Jagdishan Mudaliar

Purchase & Stores Officer

Page 2: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

ईओआई फाइल स.: सक/इओआई-02/H1/2019-20

EOI File No.: SAC/EOI-02/H1/2019-20

भारत क राषटरपतत क तलए और उनकी ओर स, परधान, करय एव भडार, अतररकष उपयोग क दर, अहमदाबाद,

तननमतलतित क ततए इओआई आमतित करत ह |

For and on behalf of the President of India, Head, Purchase & Stores, Space Applications

Centre, Ahmedabad invites Expression of Interest(EOI) for the following:

ईओआई फाइल स.

EOI File No.

सतकषपत तववरण

Brief Description

तनयत ततति

Due Date

सक/इओआई-02/H1/2019-20

SAC/EOI-02/H1/2019-20

जीएनएसएस डिडजटल बसबि (जीिीबी) एएसआईसी क डिजाइन और डनरााण क

डलय अडिरडि की अडिवयडि (ईओआई)

Expression of Interest (EoI) for Design and Fabrication of

GNSS Digital Baseband (GDB) ASIC

22/01/2020

Page 3: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

1

जीडीबी एएसआईसी की डडजाइन एव सडवरचन क डिए ईओआई EoI for Design and Fabrication of GDB ASIC

जीएनएसएस डिडजटल बसबि (जीिीबी) एएसआईसी क

डिजाइन और डनरााण

क डलय

अडिरडि की अडिवयडि (ईओआई)

Expression of Interest (EoI)

for

Design and Fabrication of

GNSS Digital Baseband (GDB) ASIC

डिस. Dec 2019

अतररकष उपयोग क दर (सक) Space Applications Centre (SAC)

भारतीय अतररकष अनसधान सगठन (इसरो) Indian Space Research Organization (ISRO)

अहमिाबाि Ahmedabad- 380015

भारत INDIA

Page 4: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

2

जीडीबी एएसआईसी की डडजाइन एव सडवरचन क डिए ईओआई EoI for Design and Fabrication of GDB ASIC

इस दसतावज र सक/इसरो, अहरदाबाद की सवाडरतव जानकारी ह और वह कॉपीराइट काननो और अतरााषटरीय सडियो दवारा सरडित

ह। सक/इसरो की पवा डलडित सहरडत क डबना पर या आडिक रप स इस दसतावज की अनडिकत परडतडलडप या पनरतपादन सखत वडजात ह

और कॉपीराइट उललघन का गठन करता ह।

This document contains proprietary information of SAC/ISRO, Ahmedabad and is protected by copyright laws and

international treaties. Unauthorized copy or reproduction of this document in whole or in part without the prior written

consent of SAC/ISRO is strictly forbidden and constitutes a copyright infringement.

सक/इसरो इस जानकारी को डकसी िी सरय डबना सिना डदए बदलन का अडिकार सरडित रिता ह।

SAC/ISRO reserves the right to alter this information at any time without notice.

© कॉपीराइट 201 9, सक/इसरो, अहरदाबाद दवारा।

© Copyright 2019, by SAC/ISRO, Ahmedabad.

Page 5: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

3

जीडीबी एएसआईसी की डडजाइन एव सडवरचन क डिए ईओआई EoI for Design and Fabrication of GDB ASIC

अनकरमणिका Contents

1 सामानय पषठभडम General Background ....................................................................................................................................... 5

2 कायय का िायरा Scope of Work .................................................................................................................................................. 6

3 पररभाषाए और सकताकषर Definitions & Abbreviations .............................................................................................................. 8

3.1 पररभाषाए Definitions ............................................................................................................................................ 8

4 जीडीबी एएसआईसी क डवडनिश Specifications of GDB ASIC 9

4.1 परमख तकनीकी डवडनिश Major Technical Specifications ........................................................................................ 9

4.2 सामानय डवडनिश General Specifications ............................................................................................................... 10

5 जीडीबी एएसआईसी क उचच सतरीय कायायतमक बिॉक आरख

Higher level functional block diagram of GDB ASIC ........................................................................................................... 10

5.1 डडडजटि मॉडयि Digital Modules: ....................................................................................................................... 11

5.1.1 जीएनएसएस सहसबधक मॉडयि GNSS Correlator Module: ................................................................................... 11

5.1.2 डटा इटरफस मॉडयि Data Interface Module: ....................................................................................................... 11

5.1.3 परोससर मॉडयि Processor Module: ..................................................................................................................... 11

5.2 एनािॉग मॉडयि Analog Modules: ....................................................................................................................... 11

5.2.1 घडी परबधन इकाई Clock Management Unit:......................................................................................................... 11

6 जीडीबी एएसआईसी क इटरफस डववरण Interface details of GDB ASIC ..................................................................................... 12

7 परीकषण Testing ...................................................................................................................................................................... 13

7.1 आतररक सकन शखिा परीकषण Internal Scan Chain Tests ....................................................................................... 13

7.2 सीमा सकन शखिा और डीसी परामीडिक परीकषण Boundary Scan Chain & DC Parametric Tests……......................13

7.3 एमबीआईएसटी परीकषण MBIST Tests .................................................................................................................... 13

7.4 कायायतमक परीकषण Functional Tests ...................................................................................................................... 13

8 एएसआईसी डवकास परवाह चाटय ASIC development flowchart .................................................................................................. 14

9 सीएडी उपकरण और परारप CAD Tools and Formats ................................................................................................................ 15

10 डवरासत और डवफिता की िर का अनमान Heritage & failure rate estimation ............................................................................. 16

11 डवकरता डववरण Vendor Details ............................................................................................................................................... 16

12 डवकरता चयन क डिए मानिड Criteria for Vendor Selection ...................................................................................................... 18

13 ईओआई क साथ आपडतय डकए जान वाि िसतावज Documents to be supplied along with EOI ..................................................... 19

अनबध ANNEXURE-1 ................................................................................................................................................................... 20

Page 6: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

4

जीडीबी एएसआईसी की डडजाइन एव सडवरचन क डिए ईओआई EoI for Design and Fabrication of GDB ASIC

आकडो की सची List of Figures

डचतर 1: जीडीबी एएसआईसी का कायायनवयन

Figure 1: Implementation of GDB ASIC ........................................................................................................................................ 8

डचतरा 2: जीएनएसएस डडडजटि बसबड एएसआईसी बिॉक आरख

Figure 2: GNSS Digital Baseband ASIC Block Diagram ............................................................................................................ 10

डचतर 3: जीडीबी एएसआईसी इटरफस बिॉक आरख

Figure 3: GDB ASIC Interface block diagram ............................................................................................................................. 12

डचतरा 4: एएसआईसी डडजाइन परवाह

Figure 4: ASIC design flow ........................................................................................................................................................... 14

ताणिकाओ की सची List of Tables

ताडिका 1: जीडीबी एएसआईसी क तकनीकी डवडनिश

Table 1: Technical Specifications of GDB ASIC ........................................................................................................................... 9

ताडिका 2: जीडीबी एएसआईसी क सामानय डवडनिश

Table 2: General Specifications of GDB ASIC ............................................................................................................................ 10

ताडिका 3: सीएमय क सामानय डवडनिश

Table 3: General Specifications of CMU ...................................................................................................................................... 12

ताडिका 4: सक दवारा इसतमाि डकया गया फरट एड टि

Table 4: Front End tools used by SAC .......................................................................................................................................... 15

ताडिका 5: बक-एड डडजाइन क डिए पसिीिा उपकरण

Table 5: Preferred Tools for Back-End Design ............................................................................................................................ 15

ताडिका 6: डवकरता डववरण

Table 6: Vendor Details ................................................................................................................................................................. 17

ताडिका 7: डडजाइन अहसास योजना

Table 7: Design Realization Plan .................................................................................................................................................. 18

ताडिका 8: डकसी न डकसी बजटीय अनमान का डववरण

Table 8: Rough Budgetary Estimate details .................................................................................................................................. 18

Page 7: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

5

जीडीबी एएसआईसी की डडजाइन एव सडवरचन क डिए ईओआई EoI for Design and Fabrication of GDB ASIC

1 सारानय पषठिडर General Background

अतररकष उपयोग क दर (सक/इसरो ) वतयमान म डवडभनन अनपरयोगो क डिए जीएनएसएस नडवगशन ररसीवरो की डवडवधता क डवकास म सिगन ह। जीएनएसएस

ररसीवर क डिए आकार और पावर आवशयकताओ को कम करन क डिए, 65न.मी. या उसस कम नोड पर जीएनएसएस डडडजटि बसबड (जीडीबी)

एएसआईसी को िाग करन का परसताव डकया जा रहा ह।

Space Applications Centre (SAC/ISRO) is currently engaged in the development of variety of GNSS navigation receivers for

various applications. In order to reduce size and power requirements for GNSS Receiver, it is being proposed to implement

GNSS Digital Baseband (GDB) ASIC at 65nm or lower node.

इस िसतावज म जीडीबी एएसआईसी क परारडभक डवडनिश और तकनीकी जानकारी शाडमि ह। इस िसतावज का िायरा बडनयािी आवशयकताओ को

सथाडपत करना और एएसआईसी क कछ आईपी क डवकास और करय तथा एएसआईसी क बक-एड डडजाइन और डवकास कायो, बजटीय कीमत क

साथ बडनयािी उपयोग क डिए आवशयक डवडशषट डवशवसनीयता और गणवतता आवशयकताओ क साथ डनमायण क डिए डवकरता दवारा िाग डकए जान वाि

गणवतता आशवासन आवशयकताओ, परोटोटाइप डनमायण, परीकषण और सतयापन का मलयाकन करना ह। यह समझा जाना चाणहए णक बनाई गई णिजाइन

सक की बौणिक सपदा होगी।

This document contains preliminary specifications and technical details for GDB ASIC. The scope of this document is to

establish the basic requirements and provide assessment for the development and procurements of some IPs and back-end

design & development work of ASIC, quality assurance requirements, prototype manufacture, testing and validations which

are to be applied by the vendor for manufacturing ASICs with specific reliability and quality requirements required for ground

use with budgetary price. It is to be understood that the resulting design will be intellectual property of SAC.

डनमनडिडखत तरीक स जीडीबी एएसआईसी डवकडसत करना परसताडवत ह :

It is proposed to develop GDB ASIC in following manner:

डवकरता दवारा उपिबध कराए गए िकषय फाउडरी क पीडीक का परयोग कर एएसआईसी फरट एड डडजाइन अतररकष उपयोग क दर (सक/इसरो) दवारा तयार डकया

जाएगा। डवकरता एएसआईसी क पणय बक-एड डडजाइन क डिए डजममिार होगा। बक-एड डडजाइन क बाि, डवकरता िडकषत फाउडरी क एमपीडबलय रन क माधयम

स परोटो एएसआईसी क डवकास क डिए डजममिार होगा डजसम मासक तयार करना, वफर सडवरचन, डडवाइस पकडजग, परोटोटाइप एएसआईसी (परोटोटाइप

की अनडतम मातरा 100 स.) शाडमि ह।

ASIC Front End design will be done by Space Applications Centre (SAC/ISRO) using vendor provided PDK of the target

foundry. Vendor will be responsible for complete back-end design of the ASIC. After back-end design, vendor will be

responsible for Proto ASIC development through MPW run of targeted foundry which includes mask preparation, wafer

fabrication, device packaging, testing and delivery of proto ASIC (Tentative Quantity of Prototypes : 100 Nos).

अतररकष उपयोग क दर इस एएसआईसी क बक-एड डडजाइन, सडवरचन, पकडजग और परीकषण म अडभरडच रखन वाि डवकरताओ को अडभरडच की अडभवयडि

(ईओआई) परसतत करन क डिए आमडतरत करता ह।

Space Applications Centre invites interested vendors to submit Expression of Interest (EOI) for the Back-end design,

Fabrication, packaging and testing of this ASIC.

डचडित आडकय टकचर एएसआईसी आवशयकताओ क शोधन क कारण कछ छोट बििावो स गजर सकता ह। इस िसतावज म िी गई परडकरया और परवाह भी

परकडत म साकडतक ह और डवकरता क साथ परारडभक समीकषा क बाि सशोधन स गजर सकता ह। इस अडतम अनरोध या परसताव (आरएफपी) म शाडमि डकया

जाएगा।

The architecture identified may undergo some minor changes due to the on-going refinement of the ASIC requirements. The

process and flow given in this document are also indicative in nature and may undergo revision after the preliminary review

with the vendor. This will be included in final Request for Proposal (RFP).

Page 8: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

6

जीडीबी एएसआईसी की डडजाइन एव सडवरचन क डिए ईओआई EoI for Design and Fabrication of GDB ASIC

ईओआई क समकष परापत परडतडकरया खोिन क बाि, बठक की सचना परापत होन क 4 कायय डिवस क अिर, परडतडकरयािाताओ को आग सपषटता क डिए उनक

परसताव पर तकनीकी परसततीकरण िन क डिए बिाया जा सकता ह। बिाए गए परडतडकरयािाताओ को परसततीकरण म उपडसथडत क डिए अपन सवय क खचय

पर आना होगा।

After opening of the responses received against the EOI, the respondents may be called for technical presentation on their

proposal for further clarity, within 4 working days after receipt of intimation for such meeting. The respondents called will

have to attend for the presentation at their own expense.

यह ईओआई बोलीदाताओ की पवा-अहाता क डलए अडिपरत ह, जो उनकी परडतडियाओ क आिार पर यानी वासतडवक िय क डलए, सीडरत

डनडवदा उन िावी बोलीदाताओ को जारी की जाएगी, जो इस ईओआई क राधयर स तकनीकी रप स योगय ह।

This EOI is intended for pre-qualification of the bidders based on their responses i.e. for actual procurement, limited

tender will be issued to those prospective bidders who have been technically qualified through this EOI.

2 काया का दायरा Scope of Work

डवकरता को नीच िी गई तकनीकी डवडशषटताओ और गणवतता आवशयकताओ क अनसार बक-एड डडजाइन, सडवरचन, परीकषण, पकज और एएसआईसी की

सपियगी करनी ह। (अनिगनक-1 म डवकरता दवारा ईओआई टमपिट घोषणा शाडमि ह)

The vendor is required to perform back-end design, fabricate, test, package and deliver ASIC as per technical specifications

and quality requirements as specified below. (Annexure-1 contains EoI template declaration by Vendor)

सक/इसरो की डजममिारी Responsibility of SAC/ISRO:

आवशयकताओ और डवडनिशन को समझाना Explaining requirements & specification.

परौदयोडगकी और डडजाइन क परवाह का अनमोिन Approval of technology and design flow.

डडडजटि मॉडयि का आरटीएि डडजाइन, सतयापन और सशलषण।

RTL design, Verification and Synthesis of digital modules.

सकन शखिा सडममिन।

Scan chain insertion.

औपचाररक सतयापन क बाि सकन शखिा डनडवषट गट सतर नटडिसट (आरटीएि बनाम गट सतर नटडिसट) की सपियगी।

Delivery of scan chain inserted Gate Level Netlist after Formal verification (RTL vs gate level netlist).

चािक डडजाइन समीकषा।

Conduct design reviews.

डवकरता की डजममिारी Responsibility of Vendor:

आवशयकताओ और डवडनिश को समझना।

Understanding requirements & specification.

सरोत डनयतरण आहरण (एससीडी) और पररयोजना योजना िसतावज तयार करना।

Generation of Source Control Drawing (SCD) and Project Plan document.

परौदयोडगकी और डडजाइन परवाह का अनमोिन परापत करना।

Approval of technology and design flow.

फरट एड डडजाइन और डसमिशन क डिए सक को िडकषत फाउडरी की डनमन पावर डडजाइन पदधडत (घडी गडटग, पावर गडटग और मलटी वीडीडी

डडजाइन आडि ) समथयन वािी पीडीक उपिबध कराना।

Providing PDK having support for Low Power Design Methodology (Clock Gating, Power Gating and Multi VDD

Design etc.) of the targeted foundry to SAC for Front-end design and simulation.

Page 9: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

7

जीडीबी एएसआईसी की डडजाइन एव सडवरचन क डिए ईओआई EoI for Design and Fabrication of GDB ASIC

घडी परबधन इकाई (पीएिएि ) आईपी कोर का डवकास/करय ।

Development/Procurement of Clock Management Unit (PLL) IP core.

फरट एड डडजाइन और डसमिशन क डिए सक को सीएमय (पीएिएि) आईपी कोर उपिबध कराना।

Providing CMU (PLL) IP core to SAC for Front-end design and simulation.

गट सतर नटडिसट पर एमबीआईएसटी और सीमा सकन तकय परडवडषट।

MBIST & Boundary scan logic insertion at gate level netlist.

घडी-गडटग/पावर-गडटग और अनय परासडगक डनमन पावर डडजाइन तकनीक भौडतक कायायनवयन

Clock-gating /Power-gating & other relevant low power design techniques physical implementation.

भौडतक डडजाइन : फिोर पिाडनग, किॉक िी डसथडसस, पिस और रट आडि।

Physical design: Floor planning, Clock Tree Synthesis, Place & Route etc.

एनािॉग मॉडयि क िआउट डडजाइन

Layout design of analog modules

परजीवी डनषकषयण और बक-एनोटशन।

Parasitic extraction and back-annotation.

एनािॉग मॉडयि का अडभडवनयास पशच अनकरण

Post layout simulation of analog modules.

पणय डचप डसमिशन।

Full chip simulation.

चािक डडजाइन की समीकषा और सभी एकशन आइटमस को बि करना।

Conduct design reviews and close all action items.

एटीपीजी परीकषण सडिश तयार करना।

ATPG test vector generation.

सटडटक टाइडमग डवशलषण और औपचाररक समतलयता जाच।

Static Timing Analysis & Formal Equivalence check.

जीडीएस- II डटाबस तयार करना।

GDS-II database preparation.

बक-एड डडजाइन की सभी डडजाइन फाइि और डसकरपट फाइि परिान करना।

To provide all design files and script files of back-end design.

मासक तयार करना।

Mask preparation.

वफर सडवरचन।

Wafer fabrication.

पकज समचचयन।

Package Assembly.

परोटो डडवाइस परीकषण।

Proto device testing.

परी तरह काययशीि परोटोटाइप की सपियगी।

Delivery of fully functional proto types.

नोट: इस िसतावज म बताई गई परडकरया और परवाह साकडतक ह और डवकरता क साथ परारडभक समीकषा क बाि सशोधन स गजर सकता ह।

Note: The process and flow indicated in this document are indicative and may undergo revision after the preliminary review

with the Vendor.

Page 10: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

8

जीडीबी एएसआईसी की डडजाइन एव सडवरचन क डिए ईओआई EoI for Design and Fabrication of GDB ASIC

3 पररिाषाए और सकतािर Definitions & Abbreviations

3.1 परिभाषाए Definitions

डविता: सक दवारा परसतत फरट-एड आरएडकयए आवशयकताओ का उपयोग करक िआउट, सथान व मागय, मासक तयार करना, वफर सडवरचन, परोटोटाइप

एएसआईसी डनमायण स बक-एड डडजाइन परससकरण क डिए इकाई क रप म डजममिार क रप म डवकरता को पररभाडषत डकया गया ह। डवकरता डडजाइन चरण,

सडवरचन, डनमायण और परीकषण चरणो क िौरान सीध सक क साथ सपकय करगा।

Vendor: Vendor is defined as the entity responsible for back-end design processing from layout, place and route, mask

generation, wafer fabrication, prototype ASIC manufacture using the front-end design files and R&QA requirements submitted

by SAC. Vendor will interface directly with SAC during the design phase, fabrication, manufacturing, and test phases.

जीिीबी एएसआईसी : जीडीबी एएसआईसी को एक डचप क रप म पररभाडषत डकया गया ह, जो जीएनएसएस बसबड परोसडसग

क सभी आवशयक कायो को कायायडनवत करता ह। डचतर-1 म िशायए गए अनसार जीडीबी एएसआईसी कायायडनवत डकया जा सकता ह।

GDB ASIC: GDB ASIC is defined as a chip, which implements all the requirement functionalities of GNSS Baseband

Processing. GDB ASIC can be implemented as shown in figure1.

चितर 1 : जीडीबी एएसआईसी का करियानवयन

Figure 1: Implementation of GDB ASIC

Page 11: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

9

जीडीबी एएसआईसी की डडजाइन एव सडवरचन क डिए ईओआई EoI for Design and Fabrication of GDB ASIC

जीिीबी एएसआईसी क डवडनदि Specifications of GDB ASIC

4.1 परमख तकनीकी णिणनदश Major Technical Specifications

कर.स.

S.No पराचि Parameter

डवडनिश

Specifications

1. एएसआईसी परकार ASIC type डडडजटि एएसआईसी (डचप डचप क साथ)

Digital ASIC (with on-chip PLL)

2. एएसआईसी दवार *

ASIC gates*

~ 50 डमडियन, ममारी सडहत

~50 Million including memories

3. घडी की आवडतत Clock

frequency

सहसबधक घडी क डिए =56 मगाहटयज ;

= 56 MHz for correlator clock;

परोससर घडी क डिए > = 504 मगाहटयज ;

>= 504 MHz for processor clock;

डटा इटरफस मॉडयि घडी क डिए =12 मगाहटयज

= 12 MHz for Data Interface module clock

4.

परमख डडडजटि बिॉक

Major Digital Blocks

डटा इटरफस मॉडयि

Data Interface Module

मानय और परीकषण इटरफस आईपी कोर

Validated & tested Interface IP core

परोससर कोर Processor core एफपीय क साथ 32 डबट सपाकय वी8 परोससर कोर

32 bit SPARC V8 Processor core with FPU

कोररिटर कोर Correlator

core

मलटी-चनि जीएनएसएस सहसबधक कोर

Multi-channel GNSS correlator core

5. डचप ममोरी पर*

On chip Memory*

डवडभनन कटौती क एसपीआरएएम और डीपीआरएएम ( कि 2 एमबी )

SPRAM & DPRAM of various cuts

(Total = 2 MB)

6. सीएमय

CMU

मानक आवशयकताओ क अनसार ऑफ-ि-शलफ पीएिएि आईपी की डवशषता ह।

Standard characterized off-the-shelf PLL IP as per the requirements.

7.

कायायतमक I/O डपन *

Functional I/O

pins*

100

8. परीकषण

Testing

एटीपीजी, सीमा सकन, एमबीआईएसटी और कायायतमक परीकषण

ATPG, Boundary scan, MBIST & Functional tests

9. डबजिी की आपडतय Power

Supply

जीडीबी एएसआईसी को बाहरी IO और कोर वोलटज आपडतय External IO & Core Voltage

Supply to GDB ASIC

10. शडि का अपवयय *

Power Dissipation* <0.5W

* अतररम *Tentative

ताडिका 1 : तकनीकी जीडीबी एएसआईसी क डवडनिश Table 1: Technical Specifications of GDB ASIC

Page 12: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

10

जीडीबी एएसआईसी की डडजाइन एव सडवरचन क डिए ईओआई EoI for Design and Fabrication of GDB ASIC

4.2 सामानय विविरदश General Specifications

कर.स.

S.No पराचि Parameter डवडनिश Specification s

1. पकज Package सीकयएफपी/बीजीए/सीसीजीए, डसरडमक पकज CQFP/BGA/CCGA,

Ceramic Package

2. पकज का आकार Package Size <15 mm X 15 mm

3. पररचािन तापमान Operating

Temperature -40C to +125C

4. भडारण तापमान Storage

Temperature - 65C to +150C

5. ईएसडी सविनशीिता सतर ESD

sensitivity level 2KV एचबीएम HBM

ताडिका 2 : जीडीबी एएसआईसी क सामानय डवडनिश Table 2: General Specifications of GDB ASIC

5 जीिीबी एएसआईसी का उचि सतरीय कायाातरक बलॉक आरि Higher level functional block diagram of GDB ASIC

जीएनएसएस डडडजटि बसबड एएसआईसी क उचच सतर क कायायतमक बिॉक को डचतर 1 म डिखाया गया ह।

Higher level functional blocks of the GNSS Digital Baseband ASIC is shown in Figure 1.

डचतर 2 : जीएनएसएस डडडजटि बसबड एएसआईसी बिॉक आरख Figure 2: GNSS Digital Baseband ASIC Block Diagram

Page 13: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

11

जीडीबी एएसआईसी की डडजाइन एव सडवरचन क डिए ईओआई EoI for Design and Fabrication of GDB ASIC

बसबड एएसआईसी क परमख कायायतमक बिॉक/मॉडयि डनमनानसार ह:

The major functional blocks/modules of the baseband ASIC are as follows:

1. जीएनएसएस कोररिटर मॉडयि

GNSS Correlator Module

2. डटा इटरफस मॉडयि

Data Interface Module

3. परोससर मॉडयि

Processor Module

5.1 णिणजटि मॉियि Digital Modules:

5.1.1 जीएनएसएस सहसबधक मॉडयि

GNSS Correlator Module:

इसम डसगनि परोसडसग बिॉक जस अडधगरहण, िडकग चनि आडि शाडमि ह।

It consists of signal processing blocks such as acquisition, tracking channels etc.

5.1.2 डटा इटरफस मॉडयि Data Interface Module:

यह मॉडयि िासीवर क माधयम स एक बाहरी डडवाइस क साथ इटरफडसग क डिए डटा इटरफस तकय को डकरयाडनवत करता ह।

This module implements Data Interface logic for interfacing it to an external device via transceiver.

5.1.3 परोससर मॉडयि Processor Module:

परोससर मॉडयि म डवडभनन कायायतमकताओ क डिए आवशयक फिोडटग पॉइट यडनट (एफपीय) क साथ 32-डबट परोससर मॉडयि शाडमि ह।

Processor Module consists of 32-bit processor module with Floating Point Unit (FPU) required for various

functionalities.

5.2 एनािॉग मॉियि Analog Modules:

5.2.1 घडी परबिन इकाई Clock Management Unit:

घडी परबधन इकाई (सीएमय) बाहरी सिभय 10 मगाहटयज टीसीएकसओ स ससाडधतर और डाटा इटरफस स मॉडयि घडडया तयार करन क डिए

आवशयक ह। िडकषत फाउडरी की आवशयकता क अनसार डवकरता दवारा मानक अडभिकषणीकत ऑफ-ि-शलफ पीएिएि आईपी की खरीि

एव डडजाइन म शाडमि डकया जाना ह।

Clock Management Unit (CMU) is required for generating Processor & Data Interface module clocks from

external reference 10 MHz TCXO. Standard characterized off-the-shelf PLL IP as per the requirements for the

targeted foundry to be procured by vendor and included in the design.

Page 14: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

12

जीडीबी एएसआईसी की डडजाइन एव सडवरचन क डिए ईओआई EoI for Design and Fabrication of GDB ASIC

कलॉक इनपट

Clock Input

कलॉक आउटपट

Clock Outputs

सहनिीलता

Tolerance

डटपपडणया

Remarks

10 मगाहटयज

(सिभय घडी)

10 MHz

(Reference clock)

> = 50 4 मगाहटयज

(परोससर घडी)

>= 504 MHz

(Processor clock)

0.1%

आउटपट किॉक आवडतत > = 504 मगाहटयज और 12

क पणााक गणाक म होना चाडहए, ताडक 12MHz

घडी डवभाजन स परापत की जा सक। Output Clock

freq. should be >=504MHz and integer

multiple of 12, so that 12MHz clock can be

derived from it by division.

12 मगाहटयज

(डटा इटरफस मॉडयि)

12 MHz

(Data Interface module)

0.1%

12 MHz घडी को परोससर घडी को डवभाडजत करक

तयार डकया जा सकता ह जो डक 12 का पणााक गणाक

ह 12 MHz clock can be generated by

dividing processor clock which is integer

multiple of 12

ताडिका 3 : सीएमय क सामानय डवडनिश Table 3: General Specifications of CMU

6 जीिीबी एएसआईसी का इटरफस डववरण Interface details of GDB ASIC

डचतर 3 : जीडीबी एएसआईसी इटरफस बिॉक आरख Figure 3: GDB ASIC Interface block diagram

Page 15: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

13

जीडीबी एएसआईसी की डडजाइन एव सडवरचन क डिए ईओआई EoI for Design and Fabrication of GDB ASIC

7 परीिण Testing

7.1 आतरिक सकन शरखिा पिीकषि Internal Scan Chain Tests

एटीपीजी परीकषण सडिश तयार और सटक-एट-फॉलट, (टीडीएफ), पथ-िरी फॉलट (पीडीएफ), िघ डविब िोष (एसडीडी), आईडीडीकय परीकषण आडि, जस

डवडभनन फॉलट मॉडिो क साथ डनमायण िोषो का पता िगान क डिए डवकरता दवारा सकन शखिा परीकषण डकया जाना चाडहए।

ATPG test vectors should be generated & scan chain tests to be performed by vendor to detect manufacturing defects with

various fault models like Stuck-at Fault, Transition Delay fault (TDF), Path-delay fault (PDF), Small Delay Defects (SDD),

IDDQ test etc.

7.2 सीमा सकन शरखिा औि िीसी पिामीटि पिीकषि Boundary Scan Chain & DC Parametric Tests

सीमा सकन शखिा और डीसी परामीडिक परीकषण डवकरता दवारा डकए जाएग

Boundary scan chain & DC parametric tests to be performed by vendor.

7.3 एमबीआईएसटी पिीकषि MBIST Tests

डवकरता दवारा एमबीआईएसटी परीकषण डकया जाना ह।

MBIST tests to be performed by vendor.

7.4 कायाातमक पिीकषि Functional Tests

कायायतमक परीकषण सडिश (ईवीसीडी परारप) सक दवारा परिान डकया जाएगा। डवकरता को गडत स एटीई पर कायायतमक परीकषण करन की आवशयकता ह।

Functional test vectors (EVCD format) will be provided by SAC. Vendor is required to carry out functional tests on ATE at

speed.

Page 16: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

14

जीडीबी एएसआईसी की डडजाइन एव सडवरचन क डिए ईओआई EoI for Design and Fabrication of GDB ASIC

8 एएसआईसी डवकास परवाह िाटा ASIC development flowchart

डनमनडिडखत डवडशषट डडजाइन परवाह और ताडिका डडजाइन परगडत-डबि/शाडमि गडतडवडधयो/परगडत-डबि और डजममिाररयो का वणयन करता ह।

Following typical design flow and table describes Design milestones/activities involved/milestones and responsibilities.

डचतर 4 : एएसआईसी डडजाइन परवाह

Figure 4: ASIC design flow

Page 17: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

15

जीडीबी एएसआईसी की डडजाइन एव सडवरचन क डिए ईओआई EoI for Design and Fabrication of GDB ASIC

9 सीएिी उपकरण और परारप CAD Tools and Formats

डवकास क िौरान डनयोडजत डकए जान वाि सभी परौदयोडगकी सवततर सीएडी उपकरण और उनक उददशय क डिए पररपकव और डफट डकए जाएग। जहा तक सभव

हो, सीएडी उपकरणो क नवीनतम ससकरण का उपयोग करन क डिए परोतसाडहत डकया जाता ह। सभी डटा एकसचज म सथाडपत अतरायषिीय मानको क उपयोग

क डिए वरीयता िी जाएगी। टाइडमग मापिडो को जीवत डवडनिश क अनरप नामो का उपयोग करना चाडहए, जो मानक डविब फाइि (एसडीएफ) परारप का

उपयोग करक बोडय-सतर पर बक-एनोटशन की अनमडत ि सकता ह।

All the technology independent CAD tools to be employed during the development and shall be mature and fit for their purpose.

It is encouraged to use the latest version of the CAD tools as far as possible. Preference shall be given to the use of established

international standards in all data exchange. Timing parameters should use names compliant with the VITAL Specification,

which could allow back-annotation on the board-level to be performed using the Standard Delay File (SDF) format.

ि .स.

S. No.

उददशय

Purpose

सक दवारा परयि फरट एि टल (सॉफटवयर)

Front End tools (S/W) used by SAC

1. डसमिशन

Simulation

वीसीएस (साराश)

VCS (Synopsys)

2. सशलषण

Synthesis

डडजाइन कपाइिर (साराश)

Design Compiler (Synopsys)

3. डीएफटी

DFT

डीएफटी कपाइिर (साराश)

DFT Compiler (Synopsys)

4. एसटीए

STA

पराइम-टाइम (साराश)

Prime-Time (Synopsys)

5. औपचाररक समतलयता जाच

Formal Equivalence check

औपचाररकता (साराश)

Formality (Synopsys)

ताडिका 4 : सक दवारा इसतमाि डकया गया फरट एड टि Table 4: Front End tools used by SAC

फरट एड और बक एड डडजाइन क बीच डटा क डनबायध परवाह क डिए एएसआईसी क बक-एड डडजाइन क डिए और अनय सगतता मददो स बचन क डिए

डनमनडिडखत टि को पराथडमकता िी जाती ह। The following tools are preferred for the back-end design of the ASIC for seamless flow

of data between Front End & Back End Design and to avoid any compatibility issues.

ि.स.

S. No.

उददशय

Purpose

बक-एि डिजाइन क डलए पसदीदा टलस (सॉफटवयर)

Preferred tools (S/W) for Back-End Design

1. बक-एड डडजाइन Back-end design आईसी कपाइिर (साराश)

IC Compiler (Synopsys)

2. एसटीए

STA

पराइम-टाइम (साराश)

Prime-Time (Synopsys)

3. औपचाररक समतलयता जाच

Formal Equivalence check

औपचाररकता (साराश)

Formality (Synopsys)

4. आईआर डरॉप डवशलषण

IR-drop analysis

पराइम रि (साराश)

Prime Rail (Synopsys)

5. साइन ऑफ

Sign-off

कडिबर (मटर गराडफकस)

Caliber (Mentor Graphics)

ताडिका 5 : बक-एड डडजाइन क डिए पसिीिा उपकरण Table 5: Preferred Tools for Back-End Design

Page 18: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

16

जीडीबी एएसआईसी की डडजाइन एव सडवरचन क डिए ईओआई EoI for Design and Fabrication of GDB ASIC

डवकरता सपषट रप स अपन परसताव क साथ डवकास चरणो क डिए उपयोग डकए जान वाि आईआर उपकरणो का डववरण परिान कर। बक-एड डडजाइन और

साइन-ऑफ क डिए उपयोग डकए जान वाि उपकरण का उपयोग करन स पहि िसतावज तयार डकया जाए और अनमोडित हो।

The vendor should clearly provide the details of their tools to be used for development stages along with his offer. The tools to

be used for the back-end design and sign-off should be documented and approved before use.

10 डवरासत और डवफलता की दर का अनरान Heritage & failure rate estimation

डाई क डनमायण क डिए उपयोग की जान वािी परडकरया परौदयोडगकी का परा डववरण, और परडकरया की कषमता परिान की जाएगी। इस परडकरया परौदयोडगकी क साथ

तयार डकए गए अनय उपकरणो का डववरण, उनक जीवन परीकषण क पररणाम परिान डकए जाएग। परडकरया क डिए डवफिता िर डटा, डडवाइस क डिए अनमाडनत

डवफिता िर और डवफिता ततर क डववरण की आपडतय की जाएगी।

Complete details of the process technology used for the fabrication of the die, and the process capability shall be provided.

Details of other devices fabricated with this process technology, their life test results shall be provided. Failure rate data for the

process, estimated failure rate for the device and details of failure mechanisms shall be supplied.

11 डविता डववरण Vendor Details

भावी डवकरताओ को अपन परसताव िसतावज क तकनीकी भाग म, इस परकडत की पररयोजना को परापत करन क डिए अपनी तकनीकी कषमता का परा डववरण

िना चाडहए। डनमनडिडखत ताडिका म वाछनीय परारप परिान डकया गया ह। डवकरता को डकसी भी अडतररि सबडधत जानकारी परिान करन क डिए परोतसाडहत

डकया जाता ह।

The prospective vendors should bring out full details of their technical competence for undertaking project of this nature, in

the technical part of their proposal document. A preferable format is provided in the following table. The vendor is encouraged

to provide any relevant additional information.

ि.स.

Sr.No.

डववरण

DESCRIPTION

बयोरा

DETAILS

1. सथापना वषय Year of Establishment

2. डवकरता का कोर कषमता कषतर/गडतडवडधया

Vendor’s Area of Core Competence/Activities

3. बडनयािी ढाचा डववरण (कषतर, सडवधाए आडि)

Infrastructure Details (Area, Facilities Etc.)

4. डपछि िो डवततीय वषो म वाडषयक कारोबार

Annual Turnover in the last two financial years

5. वतयमान म गराहक क नाम, पता आडि क साथ डनषपाडित होन वाि परमख आिशो का मलय

Value of major orders currently being executed with client’s name, Address, etc.

6.

परासडगक अनभव क साथ इजीडनयरो, तकनीडशयनो, िडसमन क बरकअप क साथ तकनीकी जनशडि की सखया।

Number of Technical Manpower with breakup of Engineers, Technicians, Tradesman with

relevant experience.

7.

यडि ऑडयर डिया जाता ह, तो इस पररयोजना क डिए शीषयक क साथ तकनीकी जनशडि की सखया को बढाया जा

सकता ह

Number of Technical Manpower with title can be spared for this project if order is placed

8. इन हाउस सडवधाओ की सची (िाइसस डववरण क साथ ईडीए उपकरण सडहत)

List of in house facilities (including EDA Tools with license details)

Page 19: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

17

जीडीबी एएसआईसी की डडजाइन एव सडवरचन क डिए ईओआई EoI for Design and Fabrication of GDB ASIC

9.

इस गडतडवडध क डिए परसताडवत परासडगक/डवडशषट कपडनयो क साथ कोई भी टाई-अप और योगयता डसथडत क साथ

उनकी सडवधाओ की सची। उन कपडनयो स सबध।

Any tie-ups with relevant/specialized companies proposed for this activity and list of their

facilities with qualification Status. Concern from those companies.

10.

गणवतता परमाणन (आईएसओ-9000 आडि) और डडजाइन, डनमायण, असबिी और परीकषण जस सभी डवकास चरणो

म अनसरण की जान वािी आतररक कयसी परडकरयाए

Quality certification (ISO-9000 Etc.) and Internal QC procedures followed in all

development phases like design, fabrication, assembly and testing.

11. भारत म गराहक आधार का डववरण

Details of Customer Base In India

12. वाडणडययक अनपरयोगो क डिए डनषपाडित समान एएसआईसी डडजाइन का डववरण।

Details of similar ASIC design executed for commercial applications.

13. डडडजटि/डमडशत सकत एएसआईसी डडजाइन अनभव

Digital/Mixed Signal ASIC design experience

14. वीएिएसआई परीकषण सडवधा क डववरण का उपयोग करन की योजना का डववरण

Details of VLSI test facility planned to be used.

15.

पररयोजना म शाडमि डवडभनन सभाडवत परगडत-डबि की पणय/उपिडबध क डिए अनमाडनत समयरखा। डवकरता सडकषपत

डववरण क साथ अपन वयडिगत परगडत-डबिओ को पररभाडषत कर सकता ह।

Estimated timeline for completion/achievement of various likely milestones involved in the

project. The vendor may define their individual milestones with brief explanation.

16. डनयायत िाइसस आवशयकता, यडि कोई हो।

Export license requirements, if any.

17.

गर-परकटीकरण समझौता (एनडीए) परिान करन की इचछा, गोपनीयता समझौत और बौडदधक सपिा अडधकार

(आईपीआर)

Willingness to provide Non-Disclosure Agreement (NDA),

Confidentiality Agreement & Intellectual Property Rights (IPR)

18. काययबि क साथ भारत म सवा सहायता कायायिय

Service support office in India with manpower

ताडिका 6 : डवकरता डववरण Table 6: Vendor Details

ि.स.

Sr. No

डववरण

DESCRIPTION

बयोरा

DETAILS

1. सझाई गई परडकरया परौदयोडगकी नोड

Suggested process technology node

2. अनमाडनत डाई का आकार

Estimated die size

3.

अनमाडनत कि शडि (पर डवचार ~ 40M एएसआईसी गटो म सहसबधक

घडी डोमन (56MHz) और ~ 10M एएसआईसी फाटको म परोक.घडी डोमन

(> = 504 मगाहटयज) ) Estimated total power (considering ~40M ASIC

gates in correlator clock domain (56MHz) and ~10M ASIC gates in

proc. clock domain (>=504MHz))

Page 20: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

18

जीडीबी एएसआईसी की डडजाइन एव सडवरचन क डिए ईओआई EoI for Design and Fabrication of GDB ASIC

4.

सझाई गई परडकरया परौदयोडगकी पर पराडपत योगय अनमाडनत परोससर आवडतत

Estimated Processor frequency achievable on suggested process

technology

5.

मानय घडी परबधन यडनट (पीएिएि) आईपी कोर की कायायनवयन पदधडत

Implementation methodology of validated Clock Management Unit

(PLL) IP core

6. परीकषण जानकारी

Testing information

7. पकज की जानकारी

Package information

8. कोई अनय अडतररि जानकारी, यडि हो

Any other additional information, if any

ताडिका 7 : डडजाइन डनमायण योजना Table 7: Design Realization Plan

ि.स.

Sr. No.

रद/काया

Item/Task

लगिग बजटीय अनरान

Rough Budgetary Estimate

1. सीएमय (पीएिएि) आई.पी. CMU (PLL) IP

2. बक-एड डडजाइन Back-end design

3. सडवरचन (एमपीडबलय रन) Fabrication (MPW run)

4. परीकषण Testing

5. पकडजग Packaging

6. कोई अनय िागत (यडि िाग हो) Any other cost (if applicable)

ताडिका 8 : िगभग बजटीय अनमान का डववरण Table 8: Rough Budgetary Estimate details

डवकरता उि ताडिका 7 और 8 क अनसार उपिबध डवडभनन परौदयोडगकी नोड (65न.मी. या कम) का डववरण परिान कर।

Vendor needs to provide details for different technology nodes available (65nm or lower) as per above Tables 7 & 8.

12 डविता ियन क डलए रानदि Criteria for Vendor Selection

भावी डवकरताओ को डनमन मानिडो क आधार पर परखा जाएगा :

The prospective vendors will be judged based on the following criteria:

• आवशयक तकनीकी सडवधाओ और अनभव क साथ, डवकरता कम स कम 3 वषो स काययरत एक परडतडषठत इजीडनयररग कपनी होनी चाडहए।

Vendor should be a reputed engineering company in existence for a minimum of 3 years, with necessary technical

facilities and experience.

• इस परकार क एएसआईसी डडजाइन, डनमायण, पकडजग और परीकषण कायय को करन म सकषमता (जस समान डडजाइन का डनषपािन, गराहक परमाणपतर

इतयाडि) का िशायया जान योगय परमाण।

Demonstrable proof of competence (eg. Execution of similar designs, customer certifications etc.) in undertaking this

kind of ASIC Design, Fabrication, Packaging and Testing work.

• उपयि तकनीकी जनशडि, ईडीए उपकरण और सडवधाओ की उपिबधता।

Availability of suitable technical manpower, EDA tools and facilities.

Page 21: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

19

जीडीबी एएसआईसी की डडजाइन एव सडवरचन क डिए ईओआई EoI for Design and Fabrication of GDB ASIC

• इन-हाउस सडवधाए और अवसरचना डजसम उप-ठकिार और भागीिार शाडमि ह, यडि कोई हो।

In-house Facilities and Infrastructure including that of sub-contractor and partners, if any.

• नॉन-डडसकिोजर करार (एनडीए), गोपनीयता करार, बौडदधक सपिा अडधकार (आईपीआर) क बार म वचनपतर िन की सवीकडत।

Willingness to give undertaking regarding Non-Disclosure Agreement (NDA), Confidentiality Agreement,

Intellectual Property Rights (IPR).

• सक/इसरो क डनयडमत वाडणडययक डनयमो और शतो को सवीकार करना।

Acceptance of SAC/ISRO regular commercial terms and conditions.

• परौदयोडगकी, डडजाइन और पकज आवशयकताओ का अनपािन।

Compliance to technology, design and package requirements.

• एएसआईसी डडजाइन काययपरणािी और कायय परवाह।

ASIC Design methodology and work Flow.

• सक आवशयकताओ क बार म डवकरता की समझ का आकिन।

Assessment of Vendor’s understanding of SAC requirements.

13 ईओआई क साथ आपडता डकए जान वाल दसतावज

Documents to be supplied along with EOI

• अनबध 1 म सिगन परारप क अनसार अडभरडच की सपषट अडभवयडि का डववरण।

Explicit Expression of Interest statement as per attached format in Annexure-1.

• सबडधत िसतावजो (डटाशीट, परीकषण क पररणाम आडि) क साथ इस समगर ईओआई (खड -11 की ताडिका-6, ताडिका-7 और ताडिका-8

सडहत) का अपडकषत डबि-िर-डबि बयोरा।

Point by point details as required in this entire EOI (including Table-6, Table-7 and Table-8 of section-11) with

associated supporting documents (datasheets, test results etc.).

• डवकरता को डनमनडिडखत पत पर सक/इसरो क करय अनभाग को उपययि िसतावज परसतत करन होग :

Vendor has to submit above documents to the Purchase Section of SAC/ISRO at following address:

परधान करय एव भडार,

अतररकष उपयोग क दर (सक /इसरो)

अतररकष डवभाग,

भारत सरकार,

सटिाइट रोड,

अबावाडी डवसतर पीओ,

अहमिाबाि (गजरात )

डपन- 380015

HEAD PURCHASE AND STORES,

SPACE APPLICATIONS CENTRE (SAC/ISRO),

DEPARTMENT OF SPACE,

GOVERNMENT OF INDIA,

SATELLITE ROAD,

AMBAWADI VISTAR P O,

AHMEDABAD (GUJARAT)

PIN-380015

Page 22: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

20

जीडीबी एएसआईसी की डडजाइन एव सडवरचन क डिए ईओआई EoI for Design and Fabrication of GDB ASIC

अनबध ANNEXURE-1

पवा-बोली पर धयान दन की सिना NOTICE OF INTENT TO PRE-BID

ईओआई िीषाक: जीएनएसएस डडडजटि बसबड (जीडीबी ) एएसआईसी का डडजाइन और सडवरचन

EoI Title: Design and Fabrication of GNSS Digital Baseband (GDB) ASIC

अधोहसताकषरी वयडि न सभी ईओआई डनिशो और आवशयकताओ को पढ डिया ह और उन डनिशो क अनपािन का परसताव परसतत करगा।

The undersigned person has read all EoI instructions and requirements and will submit a proposal in compliance with those

instructions.

कया आप इस ईओआई का जवाब िग? (हा/नही):

Will you be responding to this EoI? (Yes/No):

कपनी का नार :

नार:

िीषाक:

पता:

टलीफोन:

फकस:

ईरल:

वबसाइट:

हसतािर :

तारीि:

Company Name:

Name:

Title:

Address:

Telephone:

Fax:

Email:

Website:

Signature:

Date:

Page 23: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

ईओआई फाइल स.: सक/इओआई-02/D1/2019-20

EOI File No.: SAC/EOI-02/D1/2019-20

भारत क राषटरपतत क तलए और उनकी ओर स, परधान, करय एव भडार, अतररकष उपयोग क दर, अहमदाबाद,

तननमतलतित क ततए इओआई आमतित करत ह |

For and on behalf of the President of India, Head, Purchase & Stores, Space Applications

Centre, Ahmedabad invites Expression of Interest(EOI) for the following:

ईओआई फाइल स.

EOI File No.

सतकषपत तववरण

Brief Description

तनयत ततति

Due Date

सक/इओआई-02/D1/2019-20

SAC/EOI-02/D1/2019-20

एकीकत निमि रव परवरधक क साथ अतररकष योगय 183 गीगाहरधटज सब-हारमोनिक

नरमकसर रमॉडजयल क नलए आरएफपी

RFP for Space Qualified 183GHz Sub-Harmonic Mixer Module

with Integrated Low Noise Amplifier

22/01/2020

Page 24: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

एकीकत निमि रव परवरधक क साथ अतररकष योगय 183 गीगाहरधटज

सब-हारमोनिक नरमकसर रमॉडजयल क नलए आरएफपी

RFP for Space Qualified 183GHz

Sub-Harmonic Mixer Module

with Integrated Low Noise Amplifier

सकषमतरग सदर सवदन नीतभार क लिए

for

MICROWAVE REMOTE SENSING PAYLOAD

निसबर December 2019

Page 25: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

अतररकष उपयोग क दर SPACE APPLICATIONS CENTRE

भारतीय अतररकष अिसराि सगठि INDIAN SPACE RESEARCH ORGANISATION

भारत सरकार GOVERNMENT OF INDIA

अहरमिाबाि AHMEDABAD-380 015

भारत INDIA

पररचय INTRODUCTION

अतररकष उपयोग क दर (सक), भारतीय अतररकष अिसराि सगठि (इसरो) की एक इकाई, वायमडिीय तापमान और आरदरता क रपरखण क लिए सकषमतरग सदर सवदन नीतभार

लवकलसत कर रहा ह। वायमडिीय आरदरता धवलनतर 183.31 गीगाहरटर पर क लरदत आवल य पर लवकलसत लकया जााएगा। एमएम-तरग आवल य पर, लमकसर क पशचात लनमन रव परवररक

रटोपोिॉजाी का वयापक रप स परयोग लकया जााता ह, चलक यह अलभगराही की सवदकता और रव आकलत सरारता ह। यह आरएफपी पकजा एकीकत लनमन रव परवररक क साथ अतररकष

योगय 183 गीगाहजाररट सब-हामोलनक लमकसर मॉडयि की खरीद क लिए ह।

Space Applications Centre (SAC), a unit of Indian Space Research Organization (ISRO) is developing microwave remote sensing payload for

atmospheric profiling of temperature and humidity. Atmospheric humidity sounder will be developed at frequencies centered at 183.31GHz. At

mm-wave frequencies, mixer followed by low noise amplifier topology is widely used, as it improves the noise figure and sensitivity of receiver.

This RFP is for procurement of the packaged space qualified 183GHz sub-harmonic mixer module with integrated low noise amplifier.

इस दसतावजा म लनमनलिलखत खड ह-

This document consists of different sections as listed below.

खड Section-I: कायरकषतर Scope of Work

खड Section-II: सपदरगी सामगरी और सवीकलत मानदड Deliverables & Acceptance Criteria

खड Section-III: लवकरता को लदशालनदश Guidelines to the Vendor

खड Section-IV: तकनीकी लवलनदश अनिगनक Technical specifications annexure

खड Section-V: योगयता और गणव ा आशवासन (आर एव कयए) अनिगनक Reliability and quality assurance (R&QA) annexure

खड Section I: कायधकषतर Scope of Work

सक एकीकत लनमन रव परवररक क साथ पकजड अतररकष योगय 183 गीगाहजाररट सब-हामोलनक लमकसर मॉडयि की खरीद का परसताव करता ह। तकनीकी लवलनदश खड-IV म लदए गए

ह। अतररकष योगय लमकसर मॉडयि क उतपादन को खड-V म उललिलखत लवशवसनीयता और गणव ा आशवासन आवशयकताओ का पािन करना चालहए।

SAC proposes to procure packaged space grade 183GHz sub-harmonic mixer with integrated low noise amplifier. The technical specifications are

as listed in Section-IV. The production of space grade mixer modules should follow reliability and quality assurance requirements mentioned in

Section-V.

खड Section – II: सारमगरी सपिधगी और सवीकनत रमाििड Deliverables & Acceptance Criteria

इस खड म सपदरगी सामगरी और सपदरगी सामगरी क सवीकलत मानदड शालमि ह। सपदरगी सामगरी सभी वयलि लमकसर मॉडयि क परीकषण और िकषण वणरन पररणाम क साथ, खड-IV

और खड-V म उललिलखत लवलनदश यकत लनमन रव परवररक क साथ पकजड अतररकष योगय 183 गीगाहजाररट सब-हामोलनक कसर मॉडयि होगी।लम

This section covers deliverables and acceptance criteria of deliverables. Deliverable would be packaged space grade 183GHz sub-harmonic mixer

module with integrated low noise amplifier with specifications as defined in Section-IV and Section-V, along with testing and characterization

results of all the individual mixer modules.

तालिका 1 : हारडवयर सपरडगी क लिए अनसची Table 1: Schedule for Hardware Deliverables

कर. स.

Sl. No.

मद

Item

मातरा (स.(

Quantity (Nos.)

समयसीमा

Timeline

लवकरता लरटपपणी

Vendor Remarks

1 पकजड लमकसर मॉडयि

Packaged Mixer Module

सिब Slabs: 6-10; 11-15; 16-20;

21 and above और अलरक

कि 50 सपताह

50 weeks total

सवीकलत मानदड खड-IV और खड-V म उललिलखत तकनीकी लवलनदश क अनपािन पर आरत ह ग। सामगरी भजान स पहि, तालिका-2 म लनलदरि सभी वयलि लमकसर मॉडयि का

माप डरटा सक क साथ साझा लकया जााना चालहए। सक स किीयरस परापत होन क बाद लशपमरट लकया जाा सकता ह।

The acceptance criteria will be based on compliance to technical specifications specified in Section-IV and Section-V. Before shipment,

measurement data of all individual mixer modules as specified in Table-2 should be shared with SAC. After obtaining clearance from SAC,

shipment can be carried out.

तालिका 2 : माप रटा परारप Table 2: Measurement Data Formats

कर. स.

Sl. No.

नवनिषटता

Specification

डटा परारप

Data Formats

1 Conversion Gain at +25°C, -20°C and +60°C पर रपातरण िलधर पिॉरट और कचचा डरटा Plots and Raw data

2 Noise Figure at +25°C, -20°C and +60°C पर रव आकलत पिॉरट और कचचा डरटा Plots and Raw data

3 IF Return loss at +25°C, -20°C and +60°C आईएफ वापसी हरास पिॉरट और कचचा डरटा Plots and Raw data

4 पकजा डराइग Package drawing यालतरक लचतराकन Mechanical drawing

5 लनरपकष अलरकतम रलरटग Absolute Maximum Ratings सारणीबदध परारप Tabular format

Page 26: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

खड Section – III: नवकरता को नििानििि Guidelines to the Vendor

1. ववगाइड लमकसर मॉडयि क सलवरचन और परीकषण का पवर अनभव होन पर ही लवकरताओ क उ र पर मलयाकन क लिए लवचार लकया जााएगा। लवकरता समान ववगाइड लमकसर

मॉडयि क सलवरचन और परीकषण क पवर अनभव क समथरन म परासलगक दसतावजा सक क साथ साझा करग।

Response from vendors, having prior experience of fabrication and testing of waveguide mixer modules, will only be considered for evaluation.

Vendors shall share with SAC relevant document supporting prior experience of fabrication and testing of similar waveguide mixer modules.

2. लवकरता को लनमारता स परापत परालरकरण परमाण-पतर परसतत करना होगा।

Vendor should provide Authorization certificate from the manufacturer.

3. लवकरता को सपदरगी योगय सामगरी क साथ अनरपता का परमाण पतर परदान करना होगा।

Vendor should provide certificate of conformance along with the deliverables.

4. लवकरता स अनरोर ह लक वह आरएफपी की अचछी तरह स जाााच कर और लबद-वार-लबद अनपािन/गर-अनपािन परसतत कर। अनपािन न होन की लसथलत म, लनलदरि पराचि

और समयरखा स लवचिन परदान लकया जााएगा और अनपािन मापदड क लिए लवलनदश (बहतर या समान) परदान लकए जााएग।

The vendor is requested to examine the RFP thoroughly and offer compliance/non-compliance point by point. In case of non-compliance, the

deviation from the specified parameter and timeline shall be furnished and for complied parameters the specifications (better or same) shall

be provided.

5. लवकरता म 2 भाग म बोिी परसतत करगा अथारत (i) तकनीकी-वालणलजयक (मलय छपा हआ) बोिी और (ii) मलय बोिी।

The vendor shall submit the bids in 2 parts viz (i) techno-commercial (masked-price) bid and (ii) price bid.

6. लवकरता 6-10, 11-15, 16-20 तथा 21 और उसस अलरक क सिब म उदधरण परदान करगा।

Vendor shall provide quotation in slabs of 6-10, 11-15, 16-20 & 21 and above.

Page 27: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

खड Section – IV: तकिीकी नवनििि Technical Specifications

िोट: लवदयत लवलशिताए सपणर परचािन तापमान रजा पर कायर करना चालहए।

Note: The electrical specifications should be met over the entire operating temperature range.

कर. स.

S.

No.

पराचल

Parameter

नवनिषटता

Specification

नवकरता दवारा परसतानवत नवनििि

Vendor Offered Specifications नवकरता अिपालि

Vendor

Compliance @+25°C @-20°C @+60°C

1 आरएफ आवल

RF Frequency 183.31 ± 16.7 गीगाहरटर GHz

2 एिओ आवल

LO Frequency 91.655 गीगाहरटर GHz

3 आईएफ आवल

IF Frequency 0.6 to 16.7 गीगाहरटर GHz

4 एिओ डराइव पावर

LO Drive Power 2-4 डीबीएम )नयनतम( dBm (Nominal)

5 रव आकडा

Noise Figure

अलरकतम 8 डीबी (लनमन आकडा शरयसकर

होगा)

8dB max (lower value is preferable)

6 रपातरण िलधर

Conversion Gain 22 डीबी (नयनतम) dB (Min.)

7 आईएफ वापसी हरास

IF Return Loss

≥ 13 डीबी dB upto 16.7 गीगाहरटर GHz

तक

8

डीसी इनपरट (वोलरटजा और कररट(

DC Input (Voltage and

Current)

लवकरता लनलदरि करगा

Vendor to specify

9

तापमान सीमा सचालित करना

Operating Temperature

Range

-20°C to +60°C

10 आरएफ इनपरट ववगाइड

RF Input Waveguide

डधलयआर5, यजाी 387/ य -एम

WR5, UG 387/U-M

11 एिओ इनपरट ववगाइड

LO Input Waveguide

डधलयआर10, यजाी 387/ य -एम

WR10, UG 387/U-M

12 आईएफ कनकरटर

IF Connector

एसएमए (एफ) या क परकार (एफ)

SMA(F) or K Type(F)

13 सामगरी

Material

6061T6 एलयमीलनयम लमशर रात,

और एमआईएि मानक MIL-G-45204 या

समककष (लनलदरि करन क लिए लवकरता) क

अनसार अतररकष योगय सवणर लविपन

6061T6 Aluminum alloy, and space

qualified Gold plating as per Mil

standard MIL-G-45204 or equivalent

(vendor to specify)

14 आकार और वजान

Size and Weight

30 लममी X 30 लममी x 85 लममी (अलरकतम)

150 गराम (अलरकतम)

30 mm X 30mm x 85mm (Max.)

150 gram (Max.)

Page 28: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

खड-V : नवशवसिीयता और गणवतता आशवासि आवशयकता

Section – V: Reliability and quality assurance Requirements

नवशवसिीयता और गणवतता आशवासि आवशयकता/liability & Quality Assurance Requirements

एकीकत एलएिए क साथ अतररकष शरणी (एफएरम) 183 गीगाहटजधर सब हारमोनिक नरमकसर

Space Grade (FM) 183 GHz Sub Harmonic Mixer with Integrated LNA

सक डीपीयआर SAC DPUR 201900XXXX

अनकरमलणका INDEX

1 सदभर दसतावजा REFERENCE DOCUMENTS ................................................................................................................................................................ 5

2 लडवाइस लवलनदश DEVICE SPECIFICATIONS.............................................................................................................................................................. 5

3 लवशवसनीयता RELIABILITY ...................................................................................................................................................................................... 5

3.1 ऑन-बोडर जाीवन On-Board Life .................................................................................................................................................................. 5

3.2 भडारण और समचचयन आय Storage And Assembly Life ............................................................................................................................. 5

3.2 लवशवसनीयता लवशलषण/लवफिता दर Reliability Analysis/Failure Rate ............................................................................................................ 5

4 पयारवरण की लसथलत ENVIRONMENTAL CONDITIONS ................................................................................................................................................ 5

5 वफर लनमारण परलकरया पवर आवशयक वसतएा WAFER FABRICATION PROCESS PRE-REQUISITES ....................................................................................... 5

6 पारटरस और सामगरी PARTS AND MATERIALS ...................................................................................................................................................... 6

7 परलकरया लनयतरण PROCESS CONTROL .................................................................................................................................................................. 6

8 गणव ा लनयतरण (कयसी) और गणव ा का सतयापन (वीओकय) QUALITY CONTROL (QC) AND VERIFICATION OF QUALITY (VOQ) ................................ 7

8.1 टरसलबलिरटी Traceability .............................................................................................................................................................................. 7

8.2 गर-अनरपता लनयतरण Non-Conformance Control ........................................................................................................................................ 7

8.3 इकाई लनमारण और परीकषण क दौरान लवचिन (यलद कोई हो) की ररपोलरटिग Reporting of deviations (if any) during unit fabrication and testing....... 7

9 परीकषण और लनरीकषण आवशयकताएा TEST & INSPECTION REQUIREMENTS .................................................................................................................. 7

9.1 एमआईएि-पीआरएफ-38535, किास एस आवशयकताओ क अनसार परीकषण (अखड लडजााइन क लिए िाग Tests as per MIL-PRF-38535, Class S

requirements (applicable for monolithic designs) ................................................................................................................................................... 7

9.2 एमआईएि-पीआरएफ-38534, किास क आवशयकताओ क अनसार परीकषण (हाइलिड लडाइन क लिए िाग) Tests as per MIL-PRF-38534, Class K

requirements (applicable for hybrid designs) .......................................................................................................................................................... 8

9.3 अतररकष क लिए लनमारता की उचच-लवशवसनीयता योजाना क अनसार परीकषण Tests as per Manufacturer’s Hi-Rel plan for space .............................. 8

10 पकलजाग, भडारण और पररवहन PACKAGING, STORAGE & TRANSPORTATION ...................................................................................................... 8

11 दसतावजा की सची LIST OF DOCUMENTS ........................................................................................................................................................... 9

11.1 बोिी क साथ आवशयक तकनीकी दसतावजा TECHNICAL DOCUMENTS REQUIRED ALONG WITH THE QUOTE .............................. 9

11.2 सलवदा परदान करन क पशचात तकनीकी दसतावजा की आपलतर TECHNICAL DOCUMENTS TO BE SUPPLIED AFTER AWARD OF

CONTRACT............................................................................................................................................................................................................. 9

अनिगनक ANNEXURE: अतररकष शरणी (एफएरम) 183 गीगाहटजध सब-हारमोनिक नरमकसचर क नलए सरमनववत एलएिए क नलए आवशयक नवशवसिीयता और

गणवतता आशवासि/RELIABILITY AND QUALITY ASSURANCE REQUIREMENTS for SPACE GRADE (FM) 183 GHz SUB

HARMONIC MIXER WITH INTEGRATED LNA

पररचय INTRODUCTION

लवशवसनीयता और गणव ा लकसी भी अतररकष कायरकरम हाडरवयर क महतवपणर पवारपकषाएा ह। इसलिए लवकरता को अनसरान एव गणव ा आशवासन आवशयकताओ को लववकपणर

तरीक स समझना और िाग करना बहत आवशयक ह। यह खड अनसरान एव गणव ा आशवासन आवशयकताओ पर लववरण परदान करता ह, लजास इस कायरकरम क लिए आशवासन

लदया जााएगा।

Reliability and Quality are important prerequisites of any Space programme hardware. It is therefore very essential for the vendor to understand

and implement the R & QA requirements judiciously. This section provides the details on R & QA requirements, which shall be assured for this

programme.

लडजााइन और लनमारण की परलकरया लकसी भी उपकरण की लवशवसनीयता म महतवपणर भलमका लनभाती ह, यह आवशयक ह लक अनमोलदत लदशालनदश क अनसार लडजााइन और लनमारण

क सभी चरण को ठीक स िाग लकया जााए।

The design and fabrication processes play a crucial role in the reliability of any device, it is essential that all steps of the design and fabrication be

properly implemented, as per the approved guidelines.

यह िसताव अिसराि एव गणवतता आशवासि आवशयकताओ का वणधि करता ह, नरस एकीकत एलएिए क साथ अतररकष शरणी (फलाइट रमॉडल) 183 गीगाहटजध सब-

हारमोनिक नरमकसर क निरमाधण, परीकषण और नवतरण क नलए लाग नकया राएगा।

This document describes the R & QA requirements, which shall be implemented for Fabrication, testing & delivery of Space grade (Flight

Model) 183 GHz SUB HARMONIC MIXER WITH INTEGRATED LNA.

Page 29: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

1 सिभध िसतावर Reference Documents

लनमनलिलखत दसतावजा इन उपकरण क लडजााइन, लनमारण और परीकषण क लिए एक सदभर बनात ह/ The following documents form a reference for the design,

fabrication and testing of these devices:

एमआईएि-पीआरएफ-38534, हाइलिड सकषमपररपथ क लिए परदशरन लवलशिता MIL-PRF-38534, Performance Specification for hybrid microcircuits

एमआईएि-पीआरएफ-38535, सकषमपररपथ क लिए परदशरन लवलशिता MIL-PRF-38535, Performance Specification for Microcircuits

एमआईएि एसरटीडी-883, मानक सकषमपररपथ क लिए परीकषण लवलर MIL STD- 883, TEST METHODS FOR STANDARD MICROCIRCUITS

ईएसए-एससीसी-2269010 एमएमआईसीएस क लिए परीकषण परीकषण कायरकरम ESA-SCC-2269010 EVALUATION TEST PROGRAMME FOR

MMICs

ईएसए-एससीसी-9010 एमएमआईसी क लिए सामानय लवलनदश ESA-SCC-9010 GENERIC SPECIFICATIONS FOR MMICs

2 नडवाइस नवनििि DEVICE SPECIFICATIONS

इकाई लवलनदश और पकजा परकार क रप म तकनीकी परदशरनी म पररभालषत लकया जााएगा।

The unit specifications and package type shall be as defined in technical exhibit.

3 नवशवसिीयता Reliability

3.1 ऑन-बोडर जाीवनकाि On-Board Life

यह उपकरण पयारवरणीय पररलसथलतय म 7 वरध क लिए नयनतम जाीवन क साथ ऑनबोडर अतररकष यान क उपयोग क लिए सभी लडजााइन आवशयकताओ को परा करगा जासा लक इस

पररलशि म लनलदरि ह।

The device shall meet all the design requirements for use onboard spacecraft with a minimum life for 7 years in environmental conditions as

specified in this annexure.

3.2 भडारण और समचचयन जाीवनकाि Storage And Assembly Life

ऑन-बोडर जाीवन क अलतररकत, लडवाइस सरटोरजा और सबलससरटम असबिी क लवलभनन चरण म लनमनानसार सभी कायारतमक आवशयकताओ को परा करन म सकषम होगा:

Additional to on-board life, the device shall be capable of meeting all the functional requirements at various stages of storage and subsystem

assembly as follows:

लनयलतरत पयारवरणीय पररलसथलतय म 5 वषर 5 years in controlled environmental conditions

सबलससरटम समचचयन क लवलभनन सतर पर 3 वषर का भडारण 3 years of storage at various levels of subsystem assembly

लवकरता परसतालवत उपकरण क लिए तकनीकी परसताव क साथ लनमनलिलखत को कवर करन वािा तकनीकी नोरट परदान करगा:

Vendor shall provide technical note covering the following ALONG WITH THE TECHNICAL OFFER for the proposed devices:

a) सझाए गए भडारण की लसथलत (ऊपर लनलदरि लवलशि अवलर क लिए) Suggested storage conditions (for typical durations specified above)

b) सझाव स लनपरटन क तरीक (पररवहन और समचचयन क दौरान सावरानी सलहत) Suggested handling methods (including precautions during

transportation and assembly)

c) ईएसडी वगर/सवदनशीिता ESD class/sensitivity

d) ससतत समचचयन/बॉलनडग लवलरया Recommended assembly/bonding methods

3.2 लवशवसनीयता लवशलषण/लवफिता दर Reliability Analysis/Failure Rate

a) लनमारता एफआईरटी म लवफिता दर () डरटा, पयारवरणीय और पॉवर लवचरण क सबर म सबस खराब पररलसथलत म पराचि लडरफरट और सहयता (लवशवसनीयता आकड क

लिए डरटा) परदान करगा।

The Manufacturer shall provide (data for reliability figures in terms of) failure rate () data in FITs, the worst case parameter drifts and

tolerances with respect to environmental and power variations.

b) समान/समरप उपकरण की लवफिता दर परापत करन क लिए अनमान पदधलत सपि रप स सामन िाई जााएगी। इसक अिावा, सबस परमख लवफिता मोड की पहचान की

जााएगी।

The estimation methodology to derive the failure rate of same/similar devices shall be clearly brought out. In addition, the most

dominant failure mode shall be identified.

सामानय सारााश तकनीकी उदधरण क साथ होगा।

The generic summary shall accompany the technical quote.

4 पयाधवरण की नसथनत Environmental Conditions

लनमनलिलखत पयारवरणीय पररलसथलतय का सामना करन क लिए उपकरण को लडाइन और लनलमरत लकया जााएगा

The devices shall be designed & fabricated to withstand the following environmental conditions:

a) भडारण तापमान Storage Temperature : -65°C to +150°C

b) पररचािन तापमान Operating Temperature : -40°C to +85°C

c) बनर-इन और जाीवन परीकषण क लिए तापमान Temperature for Burn-in

and life test

: +125°C

5 वफर निरमाधण परनकरया पवध आवशयकताए Wafer Fabrication Process Pre-Requisites

5.1 अतररकष शरणी उपकरण क लनमारण और परीकषण क लिए पवर-आवशयकता क रप म, लनमारता क पास अतररकष/उचच लवशवसनीयता कायरकरम (अखार लरजाइनो क

Page 30: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

लिए िाग) क लिए समान डाइस आपलतर करन क लिए पयारपत कषमता और अनभव होना चालहए।

As a pre-requisite to fabrication and testing of space grade devices, manufacturer should have adequate capability and experience

to supply similar dies for space/ Hi-Rel programs (applicable for monolithic designs).

5.2 सलवरलचत वफर ESA-SCC-9010 या समककष की गणव ा की आवशयकताओ को परा करगा। लनमारता/उप-ठकदार की डाई/वफर परससकरण क लिए सलवराओ

को ESA-SCC-2439010 या समककष (अखार लरजाइनो क लिए िाग) क अनसार सफितापवरक कषमता अनमोदन आवशयकताओ स गजारना चालहए।

The fabricated wafer shall meet the quality requirements of ESA‐SCC‐9010 or equivalent. The manufacturer’s/sub‐contractor’s

facilities for die/wafer processing should have successfully undergone capability approval requirements as per ESA‐SCC‐2439010

or equivalent (applicable for monolithic designs).

5.3 लनमारता/उप-असबिी और पकलजाग क लिए ठकदार की सलवराओ को सफितापवरक योगयता/अतररकष मलयाकन स गजारना चालहए।

The manufacturer’s/sub‐contractor’s facilities for assembly and packaging should have successfully undergone qualification/space

evaluation.

5.4 उपकरण लनमारण परलकरया स सबलरत लनमनलिलखत लववरण तकनीकी पशकश क साथ भी लदए जााएग: The following details related to device fabrication

process shall be supplied ALONG WITH THE TECHNICAL OFFER:

5.4.1 फाउडरी और फाउडरी परलकरया लववरण का नाम (अखार लरजाइन क लिए िाग) Name of the foundry and foundry process details

(applicable for monolithic designs)

5.4.2 उचच-लवशवसनीयता अनपरयोग /अतररकष लमशन (अखार लरजाइनो क लिए िाग) क लिए िलकषत समान उपकरण क लनमारण म फाउडरी परमाणपतर और

अनभव Foundry certifications and experience in fabrication of similar devices targeted for Hi-Rel applications/space

missions (applicable for monolithic designs)

5.4.3 उपकरण क पारटर नबर, कायरलवलर और अतररकष कायरकरम क नाम सलहत समान परौदयोलगकी का उपयोग करक अनय अतररकष कायरकरम को आपलतर लकए

गए समान उपकरण का लववरण। Details of the similar devices supplied to other space programs using the same technology

including part no. of the devices, functionality and name of the space programs.

5.4.4 समान/समरप उपकरण क मलयाकन क लिए लनमारता की मानक योगयता और सकरीलनग परीकषण परवाह Manufacturer’s standard qualification

and screening test flows for evaluation of same/similar devices

5.4.5 अतररकष क नलए सरमाि उपकरण सफलतापवधक परिाि करि क नसदध टरक ररकॉडध वाल नवकरता ही इस आवशयकता क सरमकष बोली

परसतत कर। अतररकष उतपािो की इि शरनणयो क नलए नबिा अतररकष नवरासत और/या योगयता वाल नवकरता की बोली सवीकार िही की

राएगी। Only vendors with proven track record of successfully delivering similar devices for space shall quote

against this requirement. Quotes from vendor with no space heritage and/or qualification for these categories of

space products will not be accepted.

6 पाटजधस और सारमगरी PARTS AND MATERIALS

उपकरण क लनमारण म उपयोग लकए जाान वाि घरटक पारटरस और सामगरी को योगय लवकरताओ स चना जााएगा, जाो आमतौर पर िब जाीवन वाि उपगरह हाडरवयर क साथ जाड हए ह।

Constituent parts and materials to be used in the fabrication of devices shall be selected from qualified vendors, normally associated with long life

satellite hardware.

तकिीकी परििधि रम सक दवारा निनिधषट नकए गए अिसार उपकरणो को पकरो रम सयोनरत नकया राएगा। उपयोग लकए जाान वाि सभी रात पारटरस जाग परलतरोरी रातओ क

ह ग; या उपयकत तरीक स भडारण या सामानय पररचािन लसथलतय क दौरान वायमडिीय पररलसथलतय क कारण सकषारक परभाव का परलतरोर करन क लिए उपचाररत ह ग।

The devices shall be assembled in packages as specified by SAC in technical exhibits. All metallic parts used shall be of corrosion resistant

metals; or suitably treated to resist the corrosive effect caused by atmospheric conditions encountered during storage or normal operational

conditions.

वायमडिीय और उचच वकयम पररलसथलतय म काबरलनक और अकाबरलनक सामगरी लसथर होगी। 24 घरट क लिए 125 °C और 1x10 E- 6 रटॉर क तापमान क अतगरत रखन पर ऐसी

सभी सामलगरय की कि रदवयमान हालन (रटीएमएि) 1% स कम और सगरहणीय अलसथर सघनक सामगरी (CVCM) 0.1% स कम होनी चालहए।

Organic and inorganic materials shall be stable under atmospheric and high vacuum conditions. All such materials shall have a Total Mass Loss

(TML) of less than 1% and Collectable Volatile Condensable Material (CVCM) of less than 0.1% when subjected to a temperature of 125°C and

1x10E-6 torr for 24 hours.

एपॉकसी और पॉलरटग सामगरी (यलद उपयोग की जााती ह) अतररकष-योगय होगी और लनमारता दवारा लनलदरि अनसार उपचार कायरकरम क साथ उनकी शलफ जाीवन अवलर क भीतर उपयोग

की जााएगी।

Epoxies and potting materials (if used) shall be space-qualified and shall be used within their shelf life period with cure schedules as specified by

the manufacturer.

7 परनकरया नियतरण PROCESS CONTROL

उपकरण क सलवरचन क लिए कवि सवीकत परलकरयाओ और परलकरया लनयतरण का ही उपयोग लकया जााएगा। इकाइय का परकरमण और सयोजान उस सलवरचन िाइन पर लकया जााएगा

लजासम सलवरलचत इकाइय की लनगरानी और गणव ा आशवलसत क लिए समलचत परीकषण और लनयतरण क साथ सपररभालषत, लनयलतरत और पनरावल योगय परलकरया हो। जाब भी आवशयक

हो, करालतक पराचि का डरटा सिलखत और परसतत लकया जााएगा।

Only approved processes & process controls shall be used for the fabrication of devices. The units shall be processed and assembled on a fabrication

line that is having well defined, controlled and repeatable processes, with adequate checks and controls to monitor and assure the quality of the

fabricated units. The data for the critical parameters shall be logged and produced, whenever necessary.

Page 31: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

8 गणवतता नियतरण (कयसी) और गणवतता का सतयापि (वीओकय) Quality Control (QC) And Verification of Quality

(VoQ)

एक इन-हाउस कवालिरटी कटरोि (कयसी) समह लनलमरत/ससालरत वसतओ का 100% लनरीकषण करगा। गढ गए सभी लडवाइस बच को ठीक स लडाइन लकए गए िॉरट टरविर काडर क

साथ होना चालहए, लजासम महतवपणर परलकरया मापदड को उनकी लनलदरि सीमाओ क लखिाफ दजार लकया गया ह, और कयसी जााच लबदओ को सतयालपत लकया गया ह।

An in-house Quality Control (QC) group shall carry out 100% inspection of the fabricated/ processed items. All device batches fabricated should

be accompanied with properly designed Lot Traveler cards, wherein the critical process parameters are recorded against their specified limits, and

QC check points verified.

सभी उपकरण को उन वफसर स लिया जााएगा जाो लनमारता क इन-हाउस कटरोि चक स सफि होकर लनकि ह।

All devices shall be taken from wafers that have successfully met the manufacturer's in-house control checks.

एफएम िॉरट क लिए लनलमरत और सक को परद सभी उपकरण क साथ एक लवसतत परीकषण डरटा पकजा और गणव ा लनयतरण और लनरीकषण योजानाओ क कायारनवयन का आशवासन दन

वािा एक सीओसी (अनपािन परमाणपतर) उपिधर कराया जााएगा।

All devices fabricated for the FM lots and delivered to SAC shall be accompanied by a detailed test data package and a CoC (Certificate of

Compliance) assuring implementation of the quality control and inspection plans.

8.1 टरसलबलिरटी Traceability

उतपादनकतार सामगरी, परलकरयाओ, परीकषण तरीक और परलकरयाओ, परीकषण सलकर रट/सरटअप और उसक पररणामसवरप परिखन क लिए पणर टरसलबलिरटी बनाए रखगा। परतयक कवररग क

लिए सपि रप स परिलखत परलकरयाए और परारप होन चालहए:

Manufacturer shall maintain complete traceability for all materials, processes, test methods and procedures, test circuits/setups and resulting

documentation. There should be clearly documented procedures and formats for each covering:

लवलशि दसतावजा/डरटा-शीरट Specification documents/data-sheets

ररट शीरट और समह टरविसर Route sheet and lot travelers

सभी परीकषण और लनरीकषण ररपोरटर (अलरमानतः इिकटरॉलनक पठनीय परारप म) All test and inspection reports (preferably in electronic readable format)

8.2 गर-अनरपता लनयतरण Non-Conformance Control

लकसी भी गर-अनरपण आइरटम/परलकरया को लडलिवरबि इकाइय म उपयोग करन स रोकन क लिए लनमारता एक परभावी गर-अनरपता लनयतरण परलकरया का पािन करगा। लनमारण,

सयोजान या परीकषण क लकसी भी सतर पर लवचिन का गहन लवशलषण व अधययन लकया जााना चालहए तथा सक को इस बार म सलचत लकया जााना चालहए। आग का काम कवि सक

की मजारी स आग बढाया जााएगा।

Manufacturer shall follow an effective non-conformance control procedure for preventing any non-conforming item/process to be used in the

deliverable units. Deviations at any stage of fabrication, assembly or testing should be thoroughly analysed, understood and communicated to SAC.

Further work shall proceed only with SAC approval.

8.3 इकाई लनमारण और परीकषण क दौरान लवचिन (यलद कोई हो) की ररपोलरटिग Reporting of deviations (if any) during unit fabrication and testing

इकाई लनमारण और परीकषण क लकसी भी सतर पर पररभालषत मलय स लवदयत मापदड क लकसी बड पमान पर लवचिन का अचछी तरह स लवशलषण लकया जााए, समझा जााए और सक

को इस बार म सलचत लकया जााए।

Any large-scale deviation of electrical parameters from the defined values at any stage of unit fabrication and testing, shall be thoroughly analysed,

understood and communicated to SAC.

लवकरता यह सलनलशचत करगा लक लनमारण क दौरान शालमि परलकरयाओ और परीकषण क दौरान परीकषण सरट-अप क कारण कोई लवचिन नही ह।

Vendor shall ensure that no deviation is introduced due to processes involved during fabrication & due to test set-up during testing.

लकसी भी भयावह लवफिता क मामि म, लवकरता लवफिता का लवशलषण करगा और सक को लवसतत लवफिता लवशलषण ररपोरटर (परतयक) परदान करगा। लवफिता लवशलषण ररपोरटर क

आरार पर, सक जासा भी िाग हो, उपकरण की सवीकलत/पनःपरीकषण/असवीकलत/उपकरण की असवीकलत, पर िॉरट की असवीकलत का लनराररण करगा।

In case of any catastrophic failure vendor shall perform failure analysis and shall supply to SAC detailed failure analysis report for (each) failure

observed. Based on the failure analysis report, SAC may decide for acceptance/retesting/rejection of device(s)/rejection of entire lot, as applicable.

9 परीकषण और निरीकषण आवशयकताए Test & Inspection Requirements

9.1 एमआईएि-पीआरएफ-38535, किास एस आवशयकता क अनसार परीकषण (अखार लरजाइनो क लिए िाग) Tests as per MIL-PRF-38535, Class S requirements

(applicable for monolithic designs)

लनमनलिलखत गणव ा और परीकषण आवशयकताओ क अनसार इकाइयाा लनलमरत, जााच कर सक को परदान की जााएगी और यह लनमनानसार आवशयकताओ का अनपािन करगी :

Units shall be fabricated, tested and delivered to SAC as per following quality and test requirements and shall comply with requirements in this

exhibit:

9.1.1 लाग िसतावर: एमआईएि-पीआरएफ-38535

Applicable Document: MIL-PRF-38535

9.1.2 गणवतता सतर: ककषा `एस'

Quality Level: Class `S’

9.1.3 परीकषण आवशयकताए : सकरीलनग, गरप-ए, गरप बी, गरप-सी और गरप-डी परीकषण

Test requirements: Screening, Group-A, Group B, Group-C and Group-D tests

Page 32: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

9.1.4 िरमिो की सखया : गरप बी, गरप-सी और गरप-डी परीकषण क लिए नमन की सखया एमआईएि-पीआरएफ-38535 की आवशयकताओ क अनसार

होगी।

No. of samples: For Group B, Group-C and Group-D tests, the number of samples shall be as per the requirements of

MIL-PRF-38535

9.1.5 सवीकनत रमाििड : पीडीए, गरप-ए, गरप-बी, गरप-सी, गरप-डी परीकषण सलहत सकरीलनग क लिए सवीकलत मानदड एमआईएि-पीआरएफ-38535 की

आवशयकताओ क अनसार होगा और इसका अनपािन लकया जााएगा। िॉरट की असवीकलत का एक कारण एमआईएि-पीआरएफ-38535 की

आवशयकताओ स अलरक कोई भी लवफिता हो सकती ह।

Acceptance criteria : The acceptance criteria for screening including PDA, Group-A, Group-B, Group-C,

Group-D tests shall be as per the requirements of MIL-PRF-38535 and shall be complied with. Any failure exceeding

the requirements of MIL-PRF-38535 shall be a cause for the lot rejection.

नवकरता स परापत तकिीकी नववरण क रमलयाकि क बाि, सक आिि ित सरमय अनतरम परीकषण आवशयकताओ (निषपानित नकए राि वाल उपसरमह) और परतयक

उपसरमह क नलए िरमिा लि का निणधय करगा। After assessment of technical details from vendor, SAC will decide upon the final test

requirements (subgroups to be performed) and sampling for each subgroup at the time of ordering.

9.2 एमआईएि-पीआरएफ-38534, किास क आवशयकता क अनसार परीकषण (हाइलिर लरजाइनो क लिए िाग)

Tests as per MIL-PRF-38534, Class K requirements (applicable for hybrid designs)

लनमनलिलखत गणव ा और परीकषण आवशयकताओ क अनसार इकाइयाा लनलमरत, जााच कर सक को परदान की जााएगी और यह लनमनानसार आवशयकताओ का अनपािन

करगी Units shall be fabricated, tested and delivered to SAC as per following quality and test requirements and shall comply with

requirements in this exhibit:

9.2.1 लाग करि योगय िसतावर: एमआईएि-पीआरएफ-38534

Applicable Document: MIL-PRF-38534

9.2.2 गणवतता सतर: ककषा `क'

Quality Level: Class `K’

9.2.3 परीकषण आवशयकताए : ततव मलयाकन, सकरीलनग, गरप-ए, गरप बी और गरप-सी परीकषण

Test requirements: Element evaluation, Screening, Group-A, Group B and Group-C tests

9.2.4 िरमलो की सखया : गरप बी और गरप-सी क लिए नमन की सखया एमआईएि-पीआरएफ-38534 की आवशयकताओ क अनसार होगी

No. of samples: For Group B and Group-C, the number of samples shall be as per the requirements of MIL-PRF-38534

9.2.5 सवीकनत रमाििड : पीडीए, गरप-ए, गरप-बी, गरप-सी, गरप-डी परीकषण सलहत सकरीलनग क लिए सवीकलत मानदड एमआईएि-पीआरएफ-38535 की

आवशयकताओ क अनसार होगा और इसका अनपािन लकया जााएगा। िॉरट की असवीकलत का एक कारण एमआईएि-पीआरएफ-38535 की

आवशयकताओ स अलरक कोई भी लवफिता हो सकती ह।

Acceptance criteria: The acceptance criteria for screening including PDA, Group-A, Group-B and Group-C tests shall

be as per the requirements of MIL-PRF-38534 and shall be complied with. Any failure exceeding the requirements of

MIL-PRF-38534 shall be a cause for the lot rejection.

नवकरता स परापत तकिीकी नववरण क रमलयाकि क बाि, सक आिि ित सरमय अनतरम परीकषण आवशयकताओ (निषपानित नकए राि वाल उपसरमह) और परतयक

उपसरमह क नलए िरमिा लि का निणधय करगा। After assessment of technical details from vendor, SAC will decide upon the final test

requirements (subgroups to be performed) and sampling for each subgroup at the time of ordering.

9.3 अतररकष क लिए लनमारता की उचच-लवशवसनीयता योजाना क अनसार परीकषण

Tests as per Manufacturer’s Hi-Rel plan for space

सकशन 9.1/सकशन 9.2 (िाग होन पर) क लवकलप क रप म, अतररकष उपयोग हत लनमारता पारटरस की सकरीलनग और एिएरटी/ कयसीआई क लिए अपनी आतररक उचच

लवशवसनीयता योजाना का परसताव द सकत ह। सक दवारा समीकषा क लिए परसतालवत परीकषण योजाना, परीकषण लवलर और परीकषण की लसथलत, परतयक उपसमह क लिए नमना

आकार और सवीकार/असवीकार मानदड आलद की परी जाानकारी तकनीकी उदधरण क साथ उपिधर कराई जााएगी। सक की सरमीकषा और अिरमोिि क आरार पर नवकरता

की आतररक उचच नवशवसिीयता योरिा को सवीकार नकया राएगा।

As an alternative to section 9.1/section 9.2 (as applicable), manufacturer may propose their internal Hi-rel plan for screening and

LAT/QCI, of parts for space use. Full details of proposed test plan, test method & test conditions, sample size for each subgroup and

accept/reject criteria etc. shall be supplied along with the technical quote for review by SAC. Acceptability of vendor’s internal hi-rel

plan is subject to SAC review and approval.

सक को भजा जाान स पवर लवकरता यह सलनलशचत करगा लक इस अनिगनक क लवलनदश क अनसार उपकरण का सफितापवरक परीकषण लकया गया ह। परीकषण क दौरान, लवदयत मापदड

क लकसी भी बड पमान पर लवचिन का गहन लवशलषण, अधययन लकया जााए और इसकी सचना सक को दी जााए।

Vendor shall ensure that devices are successfully tested as defined in this annexure, before they are ready for dispatch to SAC. During testing, any

large-scale deviation of electrical parameters should be thoroughly analysed, understood and communicated to SAC.

10 पकनरग, भडारण और पररवहि Packaging, Storage & Transportation

लडिीवरी की जाान वािी इकाइय पर लनमनलिलखत पलकग लनदश िाग ह ग :

The following packing instructions shall apply to the deliverable units:

इकाइय को मानक ईएसडी सरलकषत जाि पक म पक लकया जााना चालहए , तालक यह सलनलशचत हो सक लक व लवदयत, यालतरक और पयारवरणीय कषलत स सरलकषत ह। इकाइय को नाइटरोजान

स साफ लकया जााए या इवकयएरट लकया जााए, तालक इकाइया बाहरी वातावरण स परभालवत न ह । नडलीवरी स पहल यनिटो का अनतरम निपरमट पकर सक क साथ पारसपररक

सहरमनत स होगा।

Page 33: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

Units shall be packaged in standard ESD safe gel packs, to ensure that they are isolated from electrical, mechanical and environmental damage.

Units shall be purged with nitrogen or evacuated, so that the units are not exposed to external environment. The final shipment package of units

shall be mutually agreed upon with SAC, before delivery.

वयलकतगत पकजा और इरटरमीलडएरट पकजा लशलपग पकजा क भीतर लफकस लकए जााएग, जाो यालतरक झरटक, आरदरता और सदषक क परलतरोरी ह ग।

The individual packages and the intermediate packages shall be fixed within the shipping package, which shall be resistant to mechanical shocks,

humidity and contaminants.

अनय अलनवायर लशलपग अकन क अिावा, लनमन अलतररकत अकन लशलपग पकजा पर मोरट अकषर म लिखा जााएगा:

In addition to other mandatory shipping marking, the following additional marking shall appear on the shipping package in bold letters:

धयाि स सभाल HANDLE WITH CARE

ईएसडी सविििील ESD SENSITIVE

कवल ईएसडी सरकषा क साथ सवचछ वातावरण रम खोला राए TO BE OPENED UNDER CLEAN ENVIRONMENT WITH ESD PROTECTION

ONLY

िीतल एव सखी रगह पर भडाररत कर STORE IN A COOL AND DRY PLACE

लशलपग पकजा म लशलपग परिखन सिगन लकया जााएगा।

The shipping documentation shall be enclosed in the shipping packages.

11 िसतावो की सची List of Documents

11.1 दर उदधरण क साथ आवशयक तकनीकी दसतावजा TECHNICAL DOCUMENTS REQUIRED ALONG WITH THE QUOTE

परसताव क साथ लनमनलिलखत दसतावजा लदए जााए

The following documents shall accompany the offer:

11.1.1 इस अनिगनक क परतयक खड क अनपािन क समथरन म सभी परासलगक तकनीकी दसतावजा सलहत लबद-वार-लबद अनपािन

Detailed Point by point compliance including all relevant technical documents in support of the compliance to each section of

this annexure

11.1.2 आयाम, पयारवरण लवलनदश , लनरपकष अलरकतम रलरटग, हडलिग लनदश आलद क साथ लवदयत, यालतरक, पकजा आउरटिाइन लनलदरि करत हए उचच लवशवसनीयता

अनपरयोग हत लवसतत तकनीकी डरटाशीरट

Detailed technical data sheets for Hi-Rel applications indicating electrical, mechanical, package outline details with dimensions,

environmental specifications, absolute maximum ratings, handling instructions etc

11.1.3 अतररकष इलतहास/अतररकष कायरकरम का लववरण लजासम समान वसतओ की आपलतर की गई ह

Space History/Space Programme to which similar items have been supplied

11.1.4 इस पररलशि क अनसार आवशयक साराश मलयाकन (योगयता) लववरण क साथ फाउडरी परलकरया लववरण

Foundry process details along with summary evaluation (qualification) details as required through this annexure

11.1.5 परसतालवत भाग/समान भाग का जानररक परीकषण डरटा

Generic test data of proposed part/similar part

11.1.6 यलद लवकरता न पवर सरटॉक इकाइय की पशकश की ह, तो बच कोड, वफर लनमारण का वषर और पहि स ही लकए गए परीकषण क साराश सलहत सपदर की

गई इकाइय का टरसलबलिरटी लववरण लदया जााए

In case vendor has offered ex-stock units, traceability details of the deliverable units including batch code, year of wafer

manufacturing and summary of tests already performed shall be supplied

11.1.7 पारटर क मि लनमारता स परालरकरण परमाण पतर, यलद परसताव अलरकत परलतलनलर दवारा लदया गया ह

Authorization certificate from original part manufacturer, in case the offer is from an authorized representative.

11.1.8 पारटर क मि लनमारता दवारा अनसरान एव गणव ा आशवासन आवशयकताओ सलहत आरएफपी क लिए तकनीकी अनपािन सिगन लकया जााए, साथ ही तकनीकी

परसताव सिगन लकया जााए।

Technical compliance to RFP including R&QA requirements shall be endorsed (approved) by original part manufacturer and

shall accompany the technical offer.

11.1.9 लवशवसनीयता लवशलषण साराश/लवलशि लवफिता दर

Reliability Analysis Summary /Typical failure rate

11.1.10 सकरीलनग/योगयता योजाना

Screening/Qualification Plans

11.1.11 गर-अनरपता लनयतरण योजाना

Non-conformance Control Plan

11.1.12 कोई भी अनय तकनीकी दसतावजा जाो लवकरता आरएफपी आवशयकताओ क अनपािन को परदलशरत करन क लिए आवशयक पाता ह

Any other technical document which the vendor finds necessary to demonstrate compliance to RFP requirements

11.2 अनबर लकए जाान क बाद उपिधर कराए जाान वाि तकनीकी दसतावजा

TECHNICAL DOCUMENTS TO BE SUPPLIED AFTER AWARD OF CONTRACT

अनबर हो जाान क बाद नीच उललिलखत सतर पर लनमनानसार दसतावजा/ररपोरटर उपिधर कराई जााए। य परी ररपोरटर ह गी (ररपोरटर का सार नही) :

Page 34: 02/2019-20...Date: 24/12/2019 ईओआई स चन स .: स क/इओआई/ 02/2019-20 EOI Notice No.: SAC/EOI-02/2019-20 भ रत क र ष ट रपतत क त ए

The documents/reports as below, shall be supplied later after the award of contract at the stages mentioned below. These shall be full reports (not

the summary reports):

11.2.1 परीकषण स पहि लदए जाान वाि दसतावजा (सकरीलनग और एिएरटी/कयसीआई )

DOCUMENTS to be supplied before testing (screening and LAT/QCI)

11.2.1.1 परीकषण परलकरया (परीकषण सरटअप लववरण क साथ एरटीपी और कयरटीपी), परीकषण और अशाकन सलवराओ, पयारवरण सलवराओ और परासलगक सचािन लववरण

वाि दसतावजा। सक दवारा समीकषा और बाद म अनमोदन परदान करन क लिए इन दसतावजा म पररलशि म उललिलखतानसार सपि रप स सवीकार/असवीकार

मानदड क साथ सभी परीकषण लकए जााए।

Documents containing test procedures (ATP & QTP with test set up details), test and calibration facilities, environmental

facilities and relevant operation details. This document shall clearly address all tests with accept/reject criteria as defined in this

annexure, for review and subsequent approval by SAC

11.2.1.2 गर- अनरपता पारटरस और सामगरी परीकषण ररपोरटर (यलद कोई हो), सक दवारा समीकषा और बाद म अनमोदन क लिए

Nonconformance parts and material test reports (if any), for review and subsequent approval by SAC

11.2.1.3 कोई अनय तकनीकी दसतावजा लजासकी सचना लवकरता दना चाहता हो।

Any other technical document which the vendor finds appropriate for communication

11.2.2 लवफिताओ/गर-अनरपताओ क दख जाान पर उपिधर कराए जाान वाि दसतावजा

DOCUMENTS to be supplied if failures/non-conformances observed

11.2.2.1 सामन आई लवफिताए, यलद कोई हो, तो लवलरवत दजार की जााए और लवफिता ररपोरटर (भयावह लवफिताओ क लिए), यालतरक या हडलिग लवफिताए, सरारातमक

काररवाइय क साथ लवलशिताओ क साथ खराबी या ऑपरलरटव लवचिन, समीकषा क लिए और सक दवारा बाद म अनमोदन क लिए। Failures encountered,

if any, shall be duly recorded and failure reports (for catastrophic failures), mechanical or handling failures, malfunctioning or

operative deviations from the specifications along with corrective actions, for review and subsequent approval by SAC

11.2.2.2 गर- अनरप पारटरस और सामगरी ररपोरटर (यलद कोई हो), समीकषा क लिए और बाद म सक दवारा अनमोदन

Nonconformance parts and material reports (if any), for review and subsequent approval by SAC

11.2.2.3 कोई अनय तकनीकी दसतावजा लजासकी सचना लवकरता दना चाहता हो।

Any other technical document which the vendor finds appropriate for communication

11.2.3 लशपमरट स पहि उपिधर कराए जाान वाि दसतावजा

DOCUMENTS to be supplied before shipment

11.2.3.1 सक (लशपमरट किीयरस) दवारा समीकषा और बाद म अनमोदन परापत करन क लिए लकए गए सभी परीकषण की साराश शीरट (अलतम करय आदश क अनसार), समीकषा

और बाद म अनमोदन क लिए, लजासम उपकरण पहचान (सीररयि न./बच लववरण ) , साराश परीकषण की लसथलत और परीकषण क पररणाम

Summary sheet of all the tests performed (as per final purchase order), for review and subsequent approval by SAC (shipment

clearance), which shall have device identification (serial nos/batch details), summary test conditions and outcome of tests

performed.

11.2.3.2 कोई अनय तकनीकी दसतावजा जाो लवकरता लशपमरट किीयरस क लिए सलचत लकया जााना उपयकत समझता हो।

Any other technical document which the vendor finds appropriate for communication for shipment clearance.

11.2.4 सपदरगी हाडरवयर क साथ उपिधर कराए जाान वाि दसतावजा

DOCUMENTS to be supplied along with deliverable hardware

11.2.4.1 अनरपता का परमाण-पतर (सीओसी) Certificate of Conformance (CoC)

11.2.4.2 लडिीवरी योगय इकाइय क साथ सकरीलनग और सभी उपसमह परीकषण (अलतम करय आदश क अनसार) की अलतम परीकषण ररपोरटर सक दवारा समीकषा और बाद म

अनमोदन क लिए।

Final Test reports of Screening and all subgroup testing (as per final purchase order) along with the deliverable units, for review

and subsequent approval by SAC.

11.2.4.3 सक करय आदश क अनसार कोई अनय तकनीकी दसतावजा/ररपोरटर

Any other technical document/report defined through SAC purchase order