2016 11-09-urjc-fpgas-libres

Download 2016 11-09-urjc-fpgas-libres

If you can't read please download the document

Upload: obijuancube

Post on 16-Jan-2017

34 views

Category:

Technology


0 download

TRANSCRIPT

FPGAs libres

Juan Gonzlez Gmez (Obijuan)

Depto. Sistemas Telemticos y computacin9 de Noviembre de 2016ETSIT-URJC.

https://github.com/Obijuan/myslides

https://github.com/Obijuan

PARTE I: Circuitos digitales y FPGAs

PARTE II: Herramientas libres

PARTE III: Cmo empiezo?

Contenido

PARTE I: Circuitos digitales y FPGAs

Nuevas tecnologas: Mundo de cajas negras

Patrimonio Tecnolgico de la humanidad

SoftwareLibre

HardwareLibre

Reprap

Pasemos al siguiente nivel: Chips digitales

Viaje al interior de los chips digitales

Nivel de electrnica digital

Informacin: Slo 1s y 0s (Bits)

Funcin: Manipular, almacenar y transportar bits

Elementos en circuitos digitales

Cualquier circuito digital, por muy complejo que sea, se descompone en estos 3 tipos de componentes elementales

La electrnica digital es intuitiva yDivertida!

APP:CircuitScramble

FPGAs: Implementando circuitos digitales

FPGA: Chip en blanco que contiene una matriz con los 3 componentes bsicos: puertas lgicas, biestables y cables

Circuito 1

Circuito 2

Configuracin

FPGAs = Impresoras 3D de circuitos digitales!

El hardware es software

El hardware libre es igual al software libre

Muy fcil de compartir

Telecopias del hardware

Desarrollo de hardware en comunidad

HDL

PARTE II:

HERRAMIENTAS LIBRES

FPGAs: Slo personal autorizado

FPGAs libres: El renacimiento

Proyecto Icestorm (Mayo, 2015)

La primera toolchain que permiten pasar de Verilog al bitstream usando slo Herramientas libres

FPGAs libres

Familia Lattice iCE40

Slo Lenguaje Verilog

Denominamos FPGAs libres a aquellas FPGAs que disponen de una toolchain totalmente libre

Definicin:

FPGAs libres actualmente:

http://www.latticesemi.com/Products/FPGAandCPLD/iCE40.aspx

Flujo de trabajo

Diseo

Ficheros HDL

Bitstream

Sntesis

Configuracin

Usando slo herramientas libres!

Pila de herramientas libres

https://github.com/FPGAwars/icestudio

Autor: Jess Arroyo

Electrnica digital para todos

Sin conocimientos de verilog

Herramienta visual

Traduce a verilog

Demo

Apio-ide

https://github.com/FPGAwars/apio-ide

Autores: Jesus Arroyo/Obijuan

Plug-in para Atom

No lnea de comandos

Llama a apio

Aplicable a otros IDEs/editores

Descripcin en Verilog

Demo

Autor: Jess Arroyo

Multiplataforma (Linux, Mac, Windows, Raspberry)

Lnea de comandos

Programado en python

Multiplaca: icestick, icezum, icoboard, go-board

Demo

https://github.com/FPGAwars/apio

Demo

Bajo nivel: Icestorm

Ficheros:

cont8.v icezum.pcf

Sntesis:

$ yosys -p "synth_ice40 -blif cont8.blif" cont8.v

Emplazado y rutado:

$ arachne-pnr -d 1k -p icezum.pcf cont8.blif -o cont8.asc

Empaquetado:

$ icepack cont8.asc cont8.bin

Carga en FPGA:

$ iceprog cont8.bin

http://www.clifford.at/icestorm/

https://github.com/cliffordwolf/icestorm

Autor: Clifford Wolf

Herramientas programadas en C/C++

Bajar del repo y compilar

Lnea de comandos

Se usan tpicamente junto con make

PARTE III:

Cmo empiezo?

Paso 1: Consigue una placaCon FPGA libre

Icestick

Go-board

Conexin directa al PC (USB)

Soportadas por Apio/Icestudio

iCE40-HX8K Breakout Board

icoboard

Conexin a Raspberry PI

Soportada por Apio/Icestudio

NO Soportadas por Apio/Icestudio

Mystorm

iCE40HX1K-EVB

Icezum Alhambra v1.1

Autor: Eladio Delgado

Diseada en Pinos del Valle (Granada)

Arduino de las FPGAs

Compatible Arduino

Fcil conexin de circuitos externos/sensores/servos

Reutilizacin de los shields de arduino

20 entradas/salidas de 5v

3A corriente de entrada

Perfecta para hacer robots

https://github.com/FPGAwars/icezum/wiki

Icezum Alhambra v1.1

8 leds de pruebas

Pulsadoresde prueba

Conector micro-usb

Alimentacin6-17v 3A

InterruptorOn/off

Pines io 3v3

Conexin directa deSensores / actuadores

4 entradas analgicas i2c

Pulsador dereset

Icezum Alhambra v1.0K en Kicad

Icezum Alhambra v1.0K en Kicad

Icezum Alhambra v1.0K en Kicad

Fuentes de componentes en FreeCAD!

https://github.com/FreeCAD/FreeCAD-library

Rasty

FPGA Fun!

8 Alhambra-leds

Alimentacin: power bank

Sonidos simples con Zumbador

Conexin a una placa con Zumbador

Melodas bsica

Fcil meter varios canales!

Larby: Robot modular

Servos conectados directamente a Icezum Alhambra

Configuracin mnima pitch-pitch

Mdulo impresos en 3D

Icezum Alhambra peregrina

A.k.a CalmaSAV

Empieza: Rinconingenieril.es

mbito: Toda Espaa

Coordinado desde la lista de FPGAwars

ltimas noticias!

8 de Noviembre de 2016

Primeras alhambra v1.1 de produccin, para el Crowdfunding de 100 unidades

Vdeo de montaje

Vdeo

Paso 2: Instlate Icestudio/Apio

https://github.com/FPGAwars/icestudio

https://github.com/FPGAwars/apio

Tutorial: Diseo Digital para FPGAs, con herramientas libres

Paso 3: Aprende diseo digital y Verilog

https://github.com/Obijuan/open-fpga-verilog-tutorial/wikiHace 1 ao (obsoleto)

Herramientas de bajo nivel (make)

Tarjeta icestick

til mientras hago uno ms actualizado :-)

Paso 4: Apntate a la comunidad FPGAwars

Comunidad para compartir conocimiento relacionado con FPGAs libres

Es el clonewars de las FPGAs, pero en modesto :-)

Idioma: Castellano

211 miembros

Cualquier pregunta / comentario / sugerencia Correo a la lista :-)

http://fpgawars.github.io/

Paso 5: Haz tus proyectos con FPGAs libres

https://github.com/Obijuan/ACC/wiki

https://github.com/Obijuan/simplez-fpga/wiki/Procesador-SIMPLEZ-F

Paso 6: Comparte con la comunidad!

FPGAs en Patrimonio Tecnolgico de la humanidad

SoftwareLibre

HardwareLibre

Reprap

FPGAslibres

Otros

FPGAs libres

Juan Gonzlez Gmez (Obijuan)

Depto. Sistemas Telemticos y computacin9 de Noviembre de 2016ETSIT-URJC.

https://github.com/Obijuan/myslides

https://github.com/Obijuan