32721820 modelsim tutorial

Upload: midun-anthony-banjarnahor

Post on 11-Jul-2015

120 views

Category:

Documents


0 download

TRANSCRIPT

Hari ke-2, session-4

Simulasi HDL menggunakan MODELSimTujuan : ModelSim adalah simulator yang umum dipakai, bekerja standalone dan terdapat pada platform Windows sehingga peserta diharapkan memahami fungsi simulasi pada perancangan dengan HDL dan dapat menggunakan simulator ModelSim .

Metoda : Mengikuti tutorial pada modul ini kemudian dilanjutkan denganmengerjakan latihan disain sederhana sesi latihan yang diberikan.

TutorialPendahuluan ModelSim adalah tool yang digunakan untuk mensimulasikan hasil design HDL, dengan melakukan simulasi rancangan dapat terverifikasi fungsinya. Membuat Project Baru Untuk memulai model sim kita harus membuka sebuah project, untuk membuat project baru :Pilih > File > New > Project

Setelah itu isilah nama project (Project Name) dengan Pelatihan_IC , lokasi project (Project Location) C:/Modeltech_6.0/pelatihan_IC, dan nama library : work , kemudian klik OK. Jika direktori belum ada maka akan muncul dialog box untuk membuat direktori baru klik OK.

Pusat Mikroelektronika ITB

1-12

Hari ke-2, session-4

Menambahkan file baru ke dalam project. Kemudian akan muncul dialog box untuk menambahkan items kedalam project, klik Create New File.

Setelah Create New File diklik akan muncul dialog box, isilah nama file dengan and_behaviour , kemudian type file VHDL, folder file disimpan pada Top Level.

Setelah itu pada workspace akan tampak file yang kita create, kemudian ketikkan pada text editor text VHDL berikut:1 library IEEE; 2 use IEEE.std_logic_1164.all; 3 4 entity and_behaviour is 5 port ( A : in std_logic; 6 B : in std_logic; 7 Y : out std_logic 8 ); 9 end entity and_behaviour; 10 11 architecture behaviour of and_behaviour is 12 begin 13 Y vsim work.and_behaviour

Untuk memulai simulasi dengan menampilkan wave simulator klik kanan pada instance and_behaviour pada pop-up menu pilih Add > Add to Wave maka waveform simulator akan muncul.

Pusat Mikroelektronika ITB

4-12

Hari ke-2, session-4

Membuat sinyal pada WaveForm Editor

Untuk memberikan nilai pada sinyal dilakukan dengan mengklik kanan pada sinyal yang akan kita beri nilai, kemudian pilih force maka akan muncul dialog box seperti dibawah. Isilah value dengan 0, kemudian klik OK.

Lakukan hal yang sama seperti juga untuk sinyal b kemudian klik run . Sampai tahap ini kita telah mensimulasikan memberi input nilai a dan b dengan 0 kemudian hasilnya akan bernilai 0, amati pada waveform editor. Ulangi langkah diatas untuk nilai seperti tabel kebenaran AND. amati hasil simulasi apakah sesuai dengan tabel kebenaran?a\b 0 1 0 0 0 1 0 1

Tabel kebenaran AND

Pusat Mikroelektronika ITB

5-12

Hari ke-2, session-4

Membuat .do file. Kita dapat menyimpan hasil simulasi kita pada file berekstensi *.do sehingga jika kita ingin melihat hasil simulasi kita kita dapat memanggilnya tanpa mengulangi simulasi. Untuk membuat file berekstensi do klik File> New > Source > Do kemudian copy-kan command2 hasil simulasi kita pada text editor :vsim work.and_behaviour add wave sim:/and_behaviour/* force -freeze sim:/and_behaviour/a force -freeze sim:/and_behaviour/b run force -freeze sim:/and_behaviour/b run force -freeze sim:/and_behaviour/a force -freeze sim:/and_behaviour/b run force -freeze sim:/and_behaviour/b

0 0 0 0 1 0 1 0 0 0 1 0

run kemudian save pada file bernama and_behaviour.do Menjalankan .do file. Pada prompt ketik :

VSIM > do and_behaviour.do

Membuat TestBench. file .do umumnya hanya jalan pada ModelSim, agar simulasi kita dapat dijalankan pada tools lain kita harus membuat TestBench. Pada waveform editor klik tombol restart atau ketik restart pada prompt. Kemudian klik kanan sinyal a dan pilih create/modify Waveform.

Pusat Mikroelektronika ITB

6-12

Hari ke-2, session-4 Kemudian pada dialog box yang muncul pilih Constant untuk pattern; Start Time : 0 dan End Time : 100 klik next, dan pada dialog berikutnya isi value dengan 0 dan klik finish, maksudnya kita membuat sinyal konstan bernilai 0 pada selang waktu 0 100 ns.

Ulangi langkah diatas untuk sinyal b, kemudian untuk periode berikutnya kemudian klik tombol run -all.

Untuk membuat file testbench File> Export Waveform dan muncul dialogbox pilih VHDL testbench dan File Name and_behaviour_tb akhiri dengan klik ok.

Pusat Mikroelektronika ITB

7-12

Hari ke-2, session-4 LATIHAN Latihan 1. Simulasikan fungsi gerbang OR dengan langkah seperti pada tutorial diatas.a\b 0 1 0 0 0 1 0 1

Tabel kebenaran OR

Untuk menambah file .vhd baru kedalam project : File > Add to Project > New File. Untuk menambah file .vhd yang sudah ada kedalam project : File > Add to Project > Existing File. Latihan 2 Simulasikan rangkaian mux kemudian amatilah hasil simulasi. a b sel y

Rangkaian mux

sel 0 1

y a b

Hint : gunakan syntax when.Input : a , b, sel. Output : y.

Syntax : y and_behaviour Maka akan muncul window berikut:

Dengan interface diatas anda dapat mensimulasikan fungsi and yang anda buat dengan lebih mudah, tombol sebelah kiri mengindikasikan nilai a sedangkan tombol sebelah kanan nilai b kemudian untuk menjalankan digunakan tombol run, cobalah klik tombol dan amati hasilnya pada waveform.

Pusat Mikroelektronika ITB

12-12