chipscope pro 13 - xilinx › support › documentation › sw...activestate [214...

214
í ChipScope Pro 13.3 ソフトウェアおよびコア ユーザー ガイド UG029 (v13.4) 2012 1 18

Upload: others

Post on 08-Jun-2020

2 views

Category:

Documents


0 download

TRANSCRIPT

ユーザー ガイ ド []

UG029 (v13.4) 2012 年 1 月 18 日 []

í

ChipScope Pro 13.3 ソ フ ト ウ ェ アおよびコ ア

ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of designs to operate with Xilinx® hardware devices.You may not reproduce, distribute, republish, download, display, post, or transmit the Documentation in any form or by any means including, but not limited to, electronic, mechanical, photocopying, recording, or otherwise, without the prior written consent of Xilinx.Xilinx expressly disclaims any liability arising out of your use of the Documentation.Xilinx reserves the right, at its sole discretion, to change the Documentation without notice at any time.Xilinx assumes no obligation to correct any errors contained in the Documentation, or to advise you of any corrections or updates.Xilinx expressly disclaims any liability in connection with technical support or assistance that may be provided to you in connection with the Information.

THE DOCUMENTATION IS DISCLOSED TO YOU “AS-IS” WITH NO WARRANTY OF ANY KIND.XILINX MAKES NO OTHER WARRANTIES, WHETHER EXPRESS, IMPLIED, OR STATUTORY, REGARDING THE DOCUMENTATION, INCLUDING ANY WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE, OR NONINFRINGEMENT OF THIRD-PARTY RIGHTS.IN NO EVENT WILL XILINX BE LIABLE FOR ANY CONSEQUENTIAL, INDIRECT, EXEMPLARY, SPECIAL, OR INCIDENTAL DAMAGES, INCLUDING ANY LOSS OF DATA OR LOST PROFITS, ARISING FROM YOUR USE OF THE DOCUMENTATION.

© Copyright 2010-2011.Xilinx, Inc. XILINX, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, and other designated brands included herein are trademarks of Xilinx in the United States and other countries.All other trademarks are the property of their respective owners.

本資料は英語版 (v13.4) を翻訳し た もので、 内容に相違が生じ る場合には原文を優先し ます。

資料によ っては英語版の更新に対応し ていないものがあ り ます。

日本語版は参考用と し てご使用の上、 新情報につき ま し ては、 必ず 新英語版をご参照 く ださ い。

この資料に関する フ ィ ード バ ッ クおよび リ ン ク などの問題につき ま し ては、 [email protected] までお知らせ く

ださ い。 いただき ま し たご意見を参考に早急に対応させていただき ます。 なお、 この メ ール ア ド レ スへのお問い合わせは受け

付けてお り ません。 あ らかじめご了承 く ださ い。

改訂履歴

次の表に、 こ の文書の改訂履歴を示し ます。

日付 バージ ョ ン 改訂内容

2010 年 4 月 19 日 12.1

• 12.1 ツールと互換性を持たせる ためすべての章を更新

• VirtexTM-5 FPGA GTX ト ラ ンシーバー用 IBERT v2.0 を追加

• JTAG プラ グ イ ンを開 く ための Analyzer のサポー ト を追加

• ByteTools 社 Catapult EJ-1 イーサネ ッ ト – JTAG接続ケーブルのサポー ト を追加

• 第 4 章に 「 ト リ ガー実行モード」 (単一および反復) を追加

• 第 4 章に 「 ト リ ガーおよびキ ャプチャ ステータ ス」 を追加

• csejtag_target is_connected コマン ド の追加

• csefpga_configure_device_with_file コマン ド を追加

• csefpga_is_configured コマン ド の追加

2010 年 9 月 21 日 12.3 ISE 12.3 リ リ ース用に改訂

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com UG029 (v13.4) 2012 年 1 月 18 日

2011 年 3 月 1 日 13.1

• ロ ジ ッ ク デバッ グに 7 シ リ ーズのサポー ト を追加

• IBA/PLB (IBA/PLB46 ではない) を削除

• IBA/OPB を削除

• IBERT V4 GT11 を削除

• ス ター ト ア ッ プ ト リ ガー モード を追加

• ChipScope Pro Analyzer IBERT ス イープ テス ト プロ ッ ト を追加

• ス タ ン ド ア ロ ン IBERT プロ ッ ト ビ ューアーを追加

• GTH ト ラ ンシーバーの 1/2、 1/4、 1/8 ラ イ ン レー ト サポー ト を追加

• ICON、 ILA、 VIO、 および ATC2 を追加

• MARK_DEBUG を PlanAhead ユーザー ガ イ ド に追加

• CSE/Tcl セ ク シ ョ ンに新しいコマン ド の説明を追加

2011 年 7 月 6 日 13.2

• KintexTM-7 FPGA デバイ スのコ アおよび ト ラ ンシーバーのサポー ト 情報を追加

• Digilent 社製 JTAG-SMT1 および JTAG-HS1 USB-to-JTAG ダウ ン ロード ケーブ

ルの情報を第一章の 「通信要件」 に追加

• 全体的にマイナー改訂

2011 年 10 月 19 日 13.3

• 第 2 章 : コ アの生成に関するセク シ ョ ンを削除し、 データ シー ト に含まれる同コ

ンテンツへの リ ン ク を提供。 それに伴い文書中の リ フ ァ レ ンス をア ッ プデー ト

• 第 3 章 : 「ILA コ ア キ ャプチャ パラ メ ーターの設定」 セ ク シ ョ ンの内容を大幅に

向上

• 全体的に若干の修正を加え内容を明確化

2012 年 1 月 18 日 13.4• Virtex-7 FPGA デバイ スのサポー ト をガ イ ド に追加

• 新しいセ ク シ ョ ン第 4 章 の 「[RX Margin Analysis] パネル」 を追加

日付 バージ ョ ン 改訂内容

UG029 (v13.4) 2012 年 1 月 18 日 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com UG029 (v13.4) 2012 年 1 月 18 日

目次

改訂履歴. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2

第 1 章 : 概要ChipScope Pro ツールの概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7ChipScope Pro ツールの説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7PlanAhead ツールでの ChipScope Pro コ アの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11ChipScope Pro コ アの概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12システム要件 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29ソ フ ト ウ ェ ア イ ン ス ト ールおよびラ イセン ス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31

第 2 章 : コ ア生成ツールの使用方法概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33ザイ リ ン ク ス CORE Generator での ChipScope Pro コ アの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . 33

第 3 章 : ChipScope Pro Core Inserter の使用ChipScope Pro Core Inserter の概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39PlanAhead での ChipScope Pro Core Inserter の使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39ISE Project Navigator での ChipScope Pro Core Inserter の使用. . . . . . . . . . . . . . . . . . . . . . . . . . . 39コマン ド ラ イ ン イ ンプ リ メ ンテーシ ョ ンでの ChipScope Pro Core Inserter の使用 . . . . . . . . . . . 41ChipScope Pro Core Inserter の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44

第 4 章 : ChipScope Pro Analyzer の使用ChipScope Pro Analyzer の概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55ChipScope Pro Analyzer のサーバー イ ン ターフ ェ イ ス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55ChipScope Pro Analyzer の ク ラ イ アン ト イ ン ターフ ェ イ ス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56ChipScope Pro Analyzer の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60ChipScope Pro ILA 波形ツールバー機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117ChipScope Pro Analyzer のコマン ド ラ イ ン オプシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117

第 5 章 : ChipScope エンジン Tcl イ ン ターフ ェ イス概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119CSE/Tcl コマン ド サマ リ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120CseJtag Tcl コマン ド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125CseFpga コマン ド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 165CseCore コマン ド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 180CseVIO コマン ド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183CSE/Tcl の例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193

付録 A : ChipScope Pro ツール ト ラ ブルシ ューテ ィ ング ガイ ド概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 195ChipScope Pro ツールのイ ン ス ト ールに関する ト ラブルシューテ ィ ング . . . . . . . . . . . . . . . . . . . 196ザイ リ ン ク ス JTAG プロ グ ラ ム ケーブルに関する ト ラブルシ ューテ ィ ング . . . . . . . . . . . . . . . . 197ChipScope Pro Analyzer コ アの ト ラブルシューテ ィ ング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 205ザイ リ ン ク ス テ ク ニカル サポー ト に提出する情報の取得方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . 211

付録 B : 参考資料

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 5UG029 (v13.4) 2011 年 1 月 18 日

6 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2011 年 1 月 18 日

第 1 章

概要

ChipScope Pro ツールの概要

FPGA デバイ スの集積度が高 く なるにつれて、 テス ト 対象デバイ スにテス ト 装置プローブを接続す

る こ と が実用的ではな く なって き ています。 ChipScope Pro ツールは、 ISE® Design Suite 製品表

[213 ページの リ フ ァ レン ス 16 を参照] に リ ス ト されているザイ リ ン ク ス FPGA デバイ スに含まれ

る ターゲ ッ ト デザイ ンに主要なロ ジ ッ ク アナラ イザーおよびテス ト /計測ハード ウ ェ ア コ ンポーネ

ン ト を統合し ます。 ChipScope Pro ツールは、 これらのコ ンポーネン ト と通信し てロ ジ ッ ク解析を

提供し ます。

ChipScope Pro シ リ アル I/O ツールキ ッ ト では、 ザイ リ ン ク ス FPGA の高速シ リ アル ト ラ ンシー

バーの I/O 機能を使用し てデザイ ンのエラ ボレーシ ョ ン とデバッ グを実行する機能が提供されてい

ます。 IBERT (Internal Bit Error Ratio Tester) コ アおよび関連する ソ フ ト ウ ェ アでは、 高速シ リ ア

ル ト ラ ンシーバーへのア ク セス を提供し、 これらの ト ラ ンシーバーで構成されたチャネルでのビ ッ

ト エ ラー率の解析を実行し ます。 このマニ ュ アルでは、 ト ラ ンシーバーを MGT (マルチギガビ ッ

ト ト ラ ンシーバー ) と呼びます。 IBERT コ アでは、 ISE Design Suite 製品表 [213 ページの リ フ ァ

レ ン ス 16 を参照] に リ ス ト されているザイ リ ン ク ス Virtex®-7、 Kintex™-7、 Virtex-6、 Spartan®- 6 、 および Virtex-5 FPGA デバイ スの高速シ リ アル ト ラ ンシーバー がサポー ト されています。

ChipScope Pro ツールの説明

次の表に、 各種 ChipScope Pro ソ フ ト ウ ェ ア ツールおよびコ アの簡単な説明を示し ます。

表 1-1 : ChipScope Pro ツールの説明

ツール 説明

ザイ リ ン ク ス CORE Generator™

ツール

サポー ト されるすべての FPGA デバイ ス フ ァ ミ リ を ターゲ ッ ト にし て

ICON (Integrated Controller)、 ILA (Integrated Logic Analyzer )、 VIO (Virtual Input/Output)、 および ATC2 (Agilent Trace Core) コ アを生成

でき ます。また、Virtex-7、Kintex-7、Virtex-6、Spartan-6、および Virtex- 5 FPGA フ ァ ミ リ を ターゲ ッ ト にし て IBERT v2.0 コ アを生成する こ と

もでき ます。 ザイ リ ン ク ス CORE Generator は、 ザイ リ ン ク ス ISE Design Suite ソ フ ト ウ ェ ア ツールに含まれています。

IBERT Core Generator

Virtex-5 デバイ ス を ターゲ ッ ト にし て IBERT v1.0 コ アのデザイ ンを

完全に生成でき ます。 IBERT Core Generator では、 ユーザーが選択し

た MGT およびデザイ ンを制御するパラ メ ーターに基づいて、 ISE Design Suite でコ ンフ ィ ギュ レーシ ョ ン フ ァ イルを生成し ます。

ChipScope Pro Core Inserter ツール

合成されたユーザー デザイ ンに ICON、ILA、ATC2 コ アを自動的に挿

入し ます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 7UG029 (v13.4) 2012 年 1 月 18 日

第 1 章 : 概要

次に、 ChipScope Pro ツールを使用し て追加し たデバッ グ コ アを含むシステムのブロ ッ ク図を示し

ます。 CORE Generator ツールを使用し てコ アを生成し、 それら を HDL ソース コード にイ ン ス タ

ンシエー ト する こ と によ って、 デザイ ンに ICON、 ILA、 VIO、 および ATC2 コ ア (総称 ChipScope Pro コ ア) を配置でき ます。 また、 ChipScope Pro Core Inserter または PlanAhead ツールを使用す

る と、 ICON、 ILA、 および ATC2 コ アを合成済みデザイ ンのネ ッ ト リ ス ト に直接挿入でき ます。 デ

ザイ ンは、ISE イ ンプ リ メ ンテーシ ョ ンツールを使用し て配置配線されます。次に、デバイ スにビ ッ

ト ス ト リ ームをダウ ン ロード し て ChipScope Pro Analyzer ツールでデザイ ンを解析し ます。

PlanAhead™ デザイ ン

解析ツール

デザイ ンのネ ッ ト リ ス ト に ICON および ILA コ アを自動的に挿入し ま

す。 こ の機能の詳細は、 PlanAhead デザイ ン解析ツール [213 ページの

リ フ ァ レ ン ス 17 を参照] を参照し て く ださい。

ChipScope Pro

Analyzer ツール

ICON、 ILA、 VIO、 および IBERT コ アのイ ンシステム デバイ ス コ ン

フ ィ ギュ レーシ ョ ン、 ト リ ガー設定、 ト レース表示、制御、およびステー

タ スを提供し ます。

ChipScope Engine Tcl

(CSE/Tcl) ス ク リ プ ト イ ン ターフ ェ イ ス

CSE/Tcl ス ク リ プ ト コマン ド イ ン ターフ ェ イ スによ って、Tcl シェルか

ら JTAG (Joint Test Action Group、 IEEE 規格) チェーン内のデバイ ス

と の通信が可能にな り ます(1)。

注記 :

1. Tcl は Tool Command Language の略です。 CSE/Tcl イ ン ターフ ェ イ スでは、 ChipScope Pro および ISE ツールまたは ActiveState [214 ページの リ フ ァ レン ス 24 を参照] の ActiveTcl 8.4 シ ェルに含まれている xtclsh と 呼ばれる Tcl シ ェル プロ グ ラ ムが必要です。

X-Ref Target - Figure 1-1

図 1-1 : ChipScope Pro システムのブロ ッ ク図

表 1-1 : ChipScope Pro ツールの説明 (続き)

ツール 説明

cs_pro_sys_blk_diag

ChipScopePro

ILA Pro

8 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro ツールの説明

ChipScope Pro Analyzer では、 コ ンピ ューターと JTAG バウ ンダ リ スキ ャ ン チェーン内のデバイ

ス間通信に、 次のダウ ン ロード ケーブルを使用でき ます。

• プラ ッ ト フ ォーム ケーブル USB

• パラ レル ケーブル IV

• Digilent 社製 USB-to-JTAG ケーブル

• ByteTools 社製 Catapult EJ-1 イーサネ ッ ト -JTAG 接続ケーブル

ChipScope Pro Analyzer には、ロジ ッ ク を検証する多数の機能が含まれています (表 1-2)。1 ~ 4,096 までのデータ チャネル、 256 ~ 131,072 までのサンプル バッ フ ァー ワー ド数を選択可能です。 ま

た、 ユーザー ロ ジ ッ クに影響を与えずに即座に ト リ ガーを変更でき ます。 ChipScope Pro Analyzer では、 ト リ ガー変更から キ ャプチャ し たデータの解析までのプロセス を順番に実行でき ます。

表 1-2 : ChipScope Pro のロジ ッ ク デバッ グ機能および利点

機能 利点

1 ~ 4,096 までのデータ チャネルを選択可能 広範囲のデータ バスの動作を正確にキ ャプ

チャ し ます。

256 ~ 131,072 までのサンプル バッ フ ァー ワード数を選択可能

サンプルする ワード数を増やす と精度が高 く

な り 、 不定期に発生する イベン ト をキ ャプ

チャする確率が上が り ます。

大 16 個の ト リ ガー ポー ト を使用でき、 それ

ぞれに対し て 1 ~ 256 までのチャネルを選択

可能 (合計 4096 チャ ネルまで)

複数の ト リ ガー ポー ト を個別に設定でき る た

め、 イベン ト 検出の柔軟性が高 く な り 、 必要

になるサンプル ス ト レージが減少し ます。

各 ト リ ガー ポー ト に 大 16 個までの比較ユ

ニ ッ ト を使用でき、 ト リ ガー条件ご と に合計

で 16 の異なる比較を実行可能

ト リ ガー ポー ト ご と に複数の比較ユニ ッ ト が

あ り 、 有用な リ ソース を節約し ながら、 イベ

ン ト 検出の柔軟性を高めます。

すべてのデータおよび ト リ ガー処理は、 大 500MHz のユーザー ク ロ ッ ク に同期

ト リ ガー イベン ト 検出およびデータ キ ャプ

チャ を高速で実行でき ます。

ト リ ガー条件によ り ブール式または 大 16 個の比較演算子の ト リ ガー シーケン ス を イ ンプ

リ メ ン ト

ブール式または 16 レベルの ト リ ガー シーケン

サーを使用する 大 16 個の ト リ ガー ポー ト の

比較演算子を組み合わせる こ と ができ ます。

データ ス ト レージ必要条件で 大 16 個の比較

演算子のブール式を イ ンプ リ メ ン ト

ブール式を使用する 大 16 個の ト リ ガー ポー

ト の比較演算子を組み合わせて、 キ ャプチャお

よび格納するデータ サンプルを決定でき ます。

ユーザー ロ ジ ッ クに影響を与えずに、 システ

ム内で ト リ ガー条件およびス ト レージ必要条

件を変更可能

ロ ジ ッ ク解析のためにデザイ ンをシングル ステ ッ プまたは停止する必要があ り ません。

操作が容易な GUI を提供 簡単に適切なオプシ ョ ンを選択でき ます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 9UG029 (v13.4) 2012 年 1 月 18 日

第 1 章 : 概要

デザイ ン フ ロー

ChipScope Pro ツールのデザイ ン フ ロー (図 1-2) は、一般的な HDL 合成ツールおよび ISE イ ンプ

リ メ ンテーシ ョ ン ツールを使用するすべての標準的な FPGA デザイ ン フ ローの一部と し て簡単に

実行でき ます。

各デバイ スに、 大 15 個の ILA、 VIO、 また

は ATC2 コ アを使用可能

ロ ジ ッ ク を分割でき、 大規模デザイ ンの小セ

ク シ ョ ンをテス ト でき る ため、 精度の高い結

果を得る こ と ができ ます。

複数の ト リ ガー設定 イベン ト 数および時間を一致数および範囲と共

に記録する こ と で、 精度および柔軟性が高ま り

ます。

ザイ リ ン ク ス ウ ェブ サイ ト から ダウ ン ロード

可能

これらのツールには、 ChipScope ス イー ト か

ら簡単にア ク セスでき ます。 [213 ページの リ

フ ァ レン ス 18 を参照]

表 1-2 : ChipScope Pro のロジ ッ ク デバッ グ機能および利点 (続き)

機能 利点

X-Ref Target - Figure 1-2

図 1-2 : ChipScope Pro ツールのデザイン フ ロー

10 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

PlanAhead ツールでの ChipScope Pro コアの使用

PlanAhead ツールでの ChipScope Pro コ アの使用 次のいずれかの方法を使用する と、PlanAhead ツールを使用し てデザイ ンに ChipScope Pro コ アを

追加でき ます。

• HDL イ ン ス タ ンシエーシ ョ ン

• ネ ッ ト リ ス ト 挿入

HDL イ ン ス タ ンシエーシ ョ ンでは、 次の 2 つの手順を実行し ます。

1. PlanAhead ツールに含まれる IP カ タ ロ グから ChipScope Pro デバッ グ コ アを選択し て、 カス

タマイ ズ、 生成

2. PlanAhead ツールに含まれる HDL Editor を使用し て IP コ ンポーネン ト イ ンス タ ンス を HDL ソースに手動でイ ン ス タ ンシエー ト

HDL イ ン ス タ ンシエーシ ョ ンは、 IP コ アのすべてのパラ メ ーターおよび HDL デザイ ンに含まれ

る信号の接続を完全に制御する こ と を必要 と する ユーザーに適し ています。 ただ し、 HDL イ ン ス

タ シエーシ ョ ンでは、 ソース コード を変更する必要があ り ます。 また、 複数の階層で構成されるデ

ザイ ンではデバ ッ グの際に信号をデバッ グ コ ア イ ン ス タ ン スに導 く 必要があ る ため、 デバッ グが

困難になる可能性も あ り ます。

ネ ッ ト リ ス ト 挿入では、 次の 2 つの手順を実行し ます。

1. デバッ グするデザイ ンで信号またはネ ッ ト を選択

2. これらの信号のデバッ グ IP コ アへの接続方法を指定

PlanAhead ツールでは、 デバ ッ グ IP コ アの生成、 デザイ ンのネ ッ ト リ ス ト へのコ アの挿入、 およ

びネ ッ ト への接続が実行されます。 ただし、 ネ ッ ト リ ス ト 挿入を実行する場合、 デバッ グする HDL 信号が 適化されてし ま った り 、 合成プロセス中に不明瞭にあ る可能性があ り ます。 レジス タ、 ブ

ロ ッ ク RAM などの出力など、 デバッ グする信号のほ と んどは合成プロセスでこ のよ う な影響を受

けません。後でデバッ グでき る よ う 信号を確実に保持するには、デザイ ン ソース (HDL または制約

フ ァ イル) で信号に MARK_DEBUG 属性/プロパテ ィ を付けます。 MARK_DEBUG 属性およびそ

の他の制約に関する詳細は、 『制約ガ イ ド』 [213 ページの リ フ ァ レ ン ス 14 を参照] を参照し て く だ

さ い。

MARK_DEBUG プロパテ ィ には、 次のよ う な利点があ り ます。

• HDL イ ン ス タ ンシエーシ ョ ンに経費をかけずに、 デザイ ン ソースで信号をデバッ グ

• 合成済みネ ッ ト リ ス ト でデバッ グする信号を確実に保持

• XST (Xilinx Synthesis Technology) およびサード パーテ ィ FPGA 合成ツール (Synopsys、

Synplify Pro、 および Mentor Graphics Precision) と互換

PlanAhead ツールの ChipScope コ アのデバ ッ グに関する詳細は、 『PlanAhead ユーザー ガ イ ド』

[213 ページの リ フ ァ レ ンス 17 を参照] を参照し て く ださい。

エンベデ ッ ド プロセ ッサおよび DSP ツール フ ローでの ChipScope Pro コアの使用

コ ア (ICON、 ILA、 IBA、 VIO、 および ATC2) は、 エンベデッ ド プロセ ッサおよび DSP デザイ ン

向けの EDK および System Generator for DSP ツール フ ローでも使用でき ます。ChipScope Pro コ

ア の使用方法は、 EDK Platform Studio [213 ページの リ フ ァ レ ン ス 15 を 参照] お よ び System Generator for DSP [213 ページの リ フ ァ レ ン ス 19 を参照] の資料を参照し て く ださい。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 11UG029 (v13.4) 2012 年 1 月 18 日

第 1 章 : 概要

ChipScope Pro コ アの概要

ICON コ ア

すべてのコ アは、 JTAG バウ ンダ リ ス キ ャ ン ポー ト を使用し、 JTAG ダ ウ ン ロード ケーブルを介

し てホス ト コ ンピ ューター と通信し ます。 ICON コ アは、 ターゲ ッ ト FPGA の JTAG バウ ンダ リ

ス キ ャ ン ポー ト と 大 15 個の ILA、 VIO、 および ATC2 コ ア間の通信パス を提供し ます (8 ペー

ジの図 1-1 を参照)。

Spartan-3、 Spartan-3E、 Spartan-3A、 および Spartan-3A DSP フ ァ ミ リ デバイ スの場合、 ICON コ

アは BSCAN プ リ ミ テ ィ ブを介し た通信に USER1 または USER2 JTAG バウ ンダ リ スキ ャ ン命令

を使用し ます。 また、BSCAN プ リ ミ テ ィ ブの未使用 USER1 または USER2 スキ ャ ン チェーンは、

必要に応じ てエク スポー ト し、 アプ リ ケーシ ョ ンで使用でき ます。

その他のデバイ スの場合、 BSCAN プ リ ミ テ ィ ブを介し て使用可能な USER1、 USER2、 USER3、

または USER4 ス キ ャ ン チェーンのいずれかを使用し ます。 各 BSCAM プ リ ミ テ ィ ブで 1 つのス

キ ャ ン チェーンがイ ンプ リ メ ン ト されるので、 未使用の USER ス キ ャ ン チェーンをエク スポー ト

する必要はあ り ません。

ILA コ ア

ILA コ アは、 カ ス タマイ ズ可能な ロ ジ ッ ク アナラ イザー コ アで、 デザイ ンに含まれる任意の内部

信号を監視でき ます。 ILA コ アは監視中のデザイ ンに同期し てお り 、 こ のコ ア内のコ ンポーネン ト

に も、 デザ イ ンに指定し たすべての ク ロ ッ ク制約が適用さ れます。 ILA コ アは、 主に 3 つのコ ン

ポーネン ト で構成されています。

• ト リ ガー入力および出力ロ ジ ッ ク

• ト リ ガー入力ロ ジ ッ クは、 ト リ ガー イベン ト を検出し ます。

• ト リ ガー出力ロ ジ ッ クは、 外部テス ト 装置およびその他のロ ジ ッ ク を ト リ ガーし ます。

• データ キ ャプチャ ロ ジ ッ ク

• オンチ ッ プのブロ ッ ク RAM リ ソース を使用し て ト レース データ情報をキ ャプチャ し、そ

の情報を格納し ます。

• 制御およびステータ ス ロジ ッ ク

• ILA コ アの動作を管理し ます。

12 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro コアの概要

ILA ト リ ガー入力ロジ ッ ク

ILA コ アの ト リ ガー機能には、 ト リ ガー イベン ト 検出に必要な多 く の機能が含まれます。 これらの

機能は、 表 1-3 に記載されています。

表 1-3 : ILA コアの ト リ ガー機能

機能 説明

ワ ー ド 数の大 き な

ト リ ガー ポー ト

各 ト リ ガー ポー ト は 1 ~ 256 ビ ッ ト 幅に設定でき ます。

複 数 の ト リ ガ ー

ポー ト

各コ アで 大 16 個までの ト リ ガー ポー ト を使用でき ます。 複数の比較ユ

ニ ッ ト を使用し て さ ま ざ ま な信号ま たはバス を監視する必要があ る複雑

なシステムでは、 複数の ト リ ガー ポー ト を使用する必要があ り ます。

各 ト リ ガー ポー ト

に 複 数 の 比 較 ユ

ニ ッ ト

各 ト リ ガー ポー ト は、 大 16 個までの比較ユニ ッ ト に接続でき ます。 こ

の機能によ り 、 複数の ト リ ガー ポー ト 信号を比較でき ます。

ブ ー ル 式 の ト リ

ガー条件

ト リ ガー条件は、 大 16 個の比較ユニ ッ ト 演算子の AND または OR ブー

ル式で表現でき ます。

複数 レ ベルの ト リ

ガー シーケンサー

ト リ ガー条件は、 大 16 個の比較ユニ ッ ト 演算子の複数レベルの ト リ

ガー シーケンサーで表現でき ます。

ブ ー ル 式 の ス ト

レージ必要条件

ス ト レージ必要条件は、 大 16 個の比較ユニ ッ ト 演算子の AND または

OR ブール式で表現でき ます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 13UG029 (v13.4) 2012 年 1 月 18 日

第 1 章 : 概要

比較ユニ ッ ト タ イプの選択

ト リ ガー ポー ト に接続される比較ユニ ッ ト は、次のいずれかのタ イプ と な

り ます。

· 基本コ ンパレーター

• = および <> 比較を実行

• LUT4a ベースのデバイ スでス ラ イ ス ご と に 大 8 ビ ッ ト まで比較

• Virtex-5 および Spartan-6 デバイ スでス ラ イ ス ご と に 大 19 ビ ッ ト

まで比較

• LUT6b ベースのデバイ スでス ラ イ ス ご と に 大 20 ビ ッ ト まで比較

· 基本コ ンパレーター (エ ッ ジ付き)

• = および <> 比較を実行

• High から Low および Low から High のビ ッ ト 遷移を検出

• LUT4 ベースのデバイ スでス ラ イ ス ご と に 大 4 ビ ッ ト まで比較

• LUT6 ベースのデバイ スでス ラ イ ス ご と に 大 8 ビ ッ ト まで比較

· 拡張コ ンパレーター

• =、 <>、 >、 >=、 <、 および <= 比較を実行

• LUT4 ベースのデバイ スでス ラ イ ス ご と に 大 2 ビ ッ ト まで比較

• LUT6 ベースのデバイ スでス ラ イ ス ご と に 大 8 ビ ッ ト まで比較

· 拡張コ ンパレーター (エ ッ ジ付き)

• =、 <>、 >、 >=、 <、 および <= 比較を実行

• High から Low および Low から High のビ ッ ト 遷移を検出

• LUT4 ベースのデバイ スでス ラ イ ス ご と に 大 2 ビ ッ ト まで比較

• LUT6 ベースのデバイ スでス ラ イ ス ご と に 大 8 ビ ッ ト まで比較

· 範囲コ ンパレーター

• =、 <>、 >、 >=、 <、 <=、 in range、 および not in rage 比較を実行

• LUT4 ベースのデバイ スでス ラ イ ス ご と に 大 1 ビ ッ ト まで比較

• LUT6 ベースのデバイ スでス ラ イ ス ご と に 大 4 ビ ッ ト まで比較

· 範囲コ ンパレーター (エ ッ ジ付き)

• =、 <>、 >、 >=、 <、 <=、 in range、 および not in rage 比較を実行

• High から Low および Low から High のビ ッ ト 遷移を検出

• LUT4 ベースのデバイ スでス ラ イ ス ご と に 大 1 ビ ッ ト まで比較

• LUT6 ベースのデバイ スでス ラ イ ス ご と に 大 4 ビ ッ ト まで比較

1 つの ト リ ガー ポー ト に接続されたすべての比較ユニ ッ ト は、すべて同一

タ イプ と な り ます。

表 1-3 : ILA コアの ト リ ガー機能 (続き)

機能 説明

14 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro コアの概要

イベン ト カ ウ ン

ターの比較演算子

の選択

ト リ ガー ポー ト のすべての比較ユニ ッ ト は、 イベン ト カ ウ ン ターと共に

コ ンフ ィ ギュ レーシ ョ ンでき、 カ ウ ン ターのサイ ズは 1 ~ 32 ビ ッ ト で選

択可能です。 このカ ウ ン ターは、 次の方法でイベン ト をカ ウ ン ト する よ う

に、 動作時にコ ンフ ィ ギュ レーシ ョ ンでき ます。

• 厳密に n 回

• 厳密に n 回の連続的または非連続的な イベン ト が発生する と き

のみ一致

• 低 n 回発生し た場合のみ

• 低 n 回の連続的または非連続的な イベン ト が発生する と一致

し、 アサー ト を保持

• 低 n 回連続的に発生し た場合のみ

• n 回の連続的な イベン ト が発生する と一致し、 比較演算子を満た

さ な く なる までアサー ト を保持

ト リ ガー出力

ポー ト

オプシ ョ ンの ト リ ガー出力ポー ト を使用する と、 ILA コ アの内部 ト リ ガー

条件にア ク セスでき ます。こ の信号は、出力ピンに接続する こ と によ って、

外部テス ト 装置用の ト リ ガーと し て使用でき ます。

内部ロジ ッ ク の割 り 込みまたは ト リ ガーと し て、または複数の ILA コ アの

カス ケード接続用にも使用可能です。

ILA の ト リ ガー出力ポー ト には、 10 ク ロ ッ ク サイ クルのレ イ テンシがあ

り ます。

ト リ ガー出力のレベル/パルスおよびア ク テ ィ ブ エ ッ ジ (High または

Low) は、 動作時に制御でき ます。

a. LUT4 ベースのデバイ ス フ ァ ミ リ には、 Spartan-3、 Spartan-3E、 Spartan-3A、 Spartan-3A DSP、 および Virtex-4 FPGA が含まれます。

b. LUT6 ベースのデバイ ス フ ァ ミ リ には、 Zynq™-7000、 Virtex-5、 Virtex-6、 Spartan-6、 Artix™-7、Kintex-7、 および Virtex-7 FPGA が含まれます。

表 1-3 : ILA コアの ト リ ガー機能 (続き)

機能 説明

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 15UG029 (v13.4) 2012 年 1 月 18 日

第 1 章 : 概要

複数の ト リ ガー ポー ト の使用

デザイ ンで異なる タ イプの信号またはバス を監視でき る よ う にするには、複数の ト リ ガー ポー ト が

必要と な り ます。 た と えば、 デザイ ンで制御、 ア ド レ ス、 およびデータ信号を含む内部システム バ

ス を使用し ている場合、 これら にそれぞれ ト リ ガー ポー ト を割 り 当てて、 各信号グループを監視で

き ます (図 1-3)。

これらの信号およびバス を 1 つの ト リ ガー ポー ト に接続する場合は、 ア ド レ ス バスが指定された

範囲内にあ るかを確認し ている間に CE、WE、および OE 信号の各ビ ッ ト 遷移を監視する こ と はで

き ません。 さ まざまなタ イプの比較ユニ ッ ト から選択可能であ るため、 低限の リ ソース を使用し

ながら、 必要な ト リ ガー向けに ILA コ アをカス タマ イ ズでき ます。

X-Ref Target - Figure 1-3

図 1-3 : ILA コアの接続例

16 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro コアの概要

ト リ ガー条件およびス ト レージ必要条件の使用

ILA コ アでは、 ト リ ガーおよびス ト レージ必要条件ロ ジ ッ ク の両方がイ ンプ リ メ ン ト されます。 ト

リ ガー条件は、 コ アの ト リ ガー ポー ト に接続されている比較ユニ ッ ト コ ンパレータで検出される

イベン ト のブール式またはシーケンシャルな組み合わせです。 ト リ ガー条件は、 データ キ ャプチャ

ウ ィ ン ド ウで明確な開始点を示すために使用され、 データ キ ャプチャ ウ ィ ン ド ウの開始点、 終了

点、 あ るいは任意の位置に指定でき ます。

同様に、ス ト レージ必要条件も、 コ アの ト リ ガー ポー ト に接続されている比較ユニ ッ ト コ ンパレー

ターで検出される イベン ト のブール式組み合わせです。 ただし、 こ の条件は、 個別のデータ サンプ

ルをキ ャプチャおよび格納するかを決定するために、 ト リ ガー ポー ト の比較ユニ ッ ト のイベン ト を

評価する点で ト リ ガー条件 と 異な り ます。 ト リ ガー条件およびス ト レージ必要条件を共に使用し、

キ ャプチャ プロセスの開始時 と キ ャプチャするデータ を決定でき ます。

16 ページの図 1-3 に示す ILA コ アの例では、 次が実行されます。

• Address = 0xFF0000 への 初の メ モ リ 書き込みサイ クル (CE = 立ち上が り エ ッ ジ、 WE = 1、

OE = 0) で ト リ ガー

• データ値が 0x00000000 ~ 0x1000FFFF の間の場合に、 Address = 0x23AACC からの メ モ リ

読み出しサイ クル (CE = 立ち上が り エ ッ ジ、 WE = 0、 OE = 1) のみをキ ャプチャ

これらの条件を正し く イ ンプ リ メ ン ト するには、TRIG0 および TRIG1 ト リ ガー ポー ト の両方にそ

れぞれ比較ユニ ッ ト 2 個 ( ト リ ガー条件用 1 個と ス ト レージ必要条件用 1 個) が接続されている こ

と を確認する必要があ り ます。 次に、 ト リ ガーおよびス ト レージ必要条件の設定方法と それらの条

件を満たすための各比較ユニ ッ ト の設定方法を示し ます。

• ト リ ガー条件 = M0 && M2

• M0[2:0] = CE、 WE、 OE = “R10” (R は立ち上が り エッ ジを示す)

• M2[23:0] = ア ド レ ス = “FF0000”

• ス ト レージ必要条件 = M1 && M3 && M4

• M1[2:0] = CE、 WE、 OE = “R10” (R は立ち上が り エッ ジを示す)

• M3[23:0] = ア ド レ ス = “23AACC”

• M4[31:0] = データ = 範囲は 0x00000000 ~ 0x1000FFFF

ILA コ アの ト リ ガーおよびス ト レージ必要条件を設定する こ と によ り 、 オンチ ッ プ メ モ リ リ ソー

ス を浪費せずに、 必要な情報のみを正確に検索し、 キ ャプチャでき ます。

ILA ト リ ガー出力ロジ ッ ク

ILA コ アでは TRIG_OUT と呼ばれる ト リ ガー出力ポー ト がイ ンプ リ メ ン ト されます。TRIG_OUT ポー ト は、 ChipScope Pro Analyzer を使用し て動作時に設定される ト リ ガー条件の出力です。 ト リ

ガー出力のレベル/パルスおよびア ク テ ィ ブ エッ ジ (High または Low) も、 動作時に制御でき ます。

入力 ト リ ガー ポー ト に対する TRIG_OUT のレ イ テンシは、 10 ク ロ ッ ク サイ クルです。

TRIG_OUT ポー ト は非常に柔軟性があ り 、 多用途に使用でき ます。 こ のポー ト をデバイ ス ピンに

接続し、 オシロ ス コープおよびロ ジ ッ ク アナラ イザーなどの外部テス ト 装置を ト リ ガーでき ます。

また、 デバイ スに組み込まれた PowerPC™ または MicroBlaze™ プロ セ ッ サの割 り 込みラ イ ンに

接続する と、 ソ フ ト ウ ェ ア イベン ト を発生させる こ と ができ ます。 さ らに、 別のコ アの ト リ ガー入

力ポー ト に接続する と、 オンチ ッ プ デバッ グ ソ リ ューシ ョ ンの ト リ ガーおよびデータ キ ャプチャ

機能を拡張でき ます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 17UG029 (v13.4) 2012 年 1 月 18 日

第 1 章 : 概要

ILA データ キャ プチ ャ ロジ ッ ク

各 ILA コ アは、 オンチ ッ プ ブロ ッ ク RAM リ ソース を使用し て、 デザイ ンに含まれるその他すべ

てのコ アから独立し てデータ をキ ャプチャでき ます。 また、 [Window] または [N Samples] のいず

れかのキ ャプチャ モード でデータ をキ ャプチャでき ます。

[Window] キャ プチャ モー ド

こ のモー ド では、 サンプル バ ッ フ ァーを 1 つまたは複数の等サイ ズのサンプル ウ ィ ン ド ウに分割

でき ます。 こ のモード の場合、 1 つの ト リ ガー条件イベン ト (個々の ト リ ガー比較ユニ ッ ト イベン

ト のブール式組み合わせ) を使用し て、 サンプル ウ ィ ン ド ウ を満たすのに十分なデータが収集され

ます。

サンプル ウ ィ ン ド ウのワード数が 131,072 サンプルまでの 2 のべき乗の場合、ト リ ガー位置はサン

プル ウ ィ ン ド ウの開始点 ( 初に ト リ ガーし てからデータ を収集)、終了点 ( ト リ ガー イベン ト まで

データ を収集)、 またはそれら 2 点間の任意の位置に設定でき ます。

ウ ィ ン ド ウのワード数が 2 のべき乗以外の場合、 ト リ ガー位置はサンプル ウ ィ ン ド ウの開始位置に

のみ設定でき ます。

サンプル ウ ィ ン ド ウが満た される と、 ILA コ アで ト リ ガー条件が自動的に再設定され、 ト リ ガー条

件イベン ト が継続し て監視されます。 こ のプ ロ セスは、 サンプル バ ッ フ ァーのすべてのサンプル

ウ ィ ン ド ウが満た されるか、 ユーザーが ILA コ アを停止する まで繰 り 返されます。

[N Samples] キャ プチャ モー ド

こ のモード は、 ウ ィ ン ド ウ キ ャプチャ モード と類似し ていますが、 次の 2 点が異な り ます。

• ウ ィ ン ド ウ ご と のサンプル数は、 1 ~ (サンプル バ ッ フ ァー サイ ズ - 1) の範囲で、 任意の整

数 N に設定可能

• ト リ ガー位置は常にウ ィ ン ド ウの位置 0 に設定

こ のモー ド は、 キ ャプチャ ス ト レージ リ ソース を浪費せずに、 各 ト リ ガーで必要なサンプル数の

みをキ ャプチャする場合に役立ちます。

ト リ ガー マーク

ト リ ガー イベン ト と一致するサンプル ウ ィ ン ド ウ内のデータ サンプルには、 ト リ ガー マーク が付

け ら れ ま す。 こ の ト リ ガー マー ク に よ っ て、 ウ ィ ン ド ウ 内の ト リ ガー位置が Chipscope Pro Analyzer に伝え られます。ト リ ガー マークは、サンプル バッ フ ァー内の 1 サンプルに対し て 1 ビ ッ

ト を使用し ます。

データ ポー ト

ト リ ガー機能を実行する ト リ ガー ポー ト と は別のポー ト 上のデータ をキ ャプチャでき ます。 こ の機

能は、 コ アの ト リ ガーに使用される情報と同じ情報のキ ャプチャおよび確認が有用ではな く 、 キ ャ

プチャするデータ量を比較的少ない量に制限する際に役立ちます。

ただし、 通常は、 コ アの ト リ ガーに使用されるデータ と同一データのキ ャプチャおよび確認が有用

です。 こ のよ う な場合、データが 1 つまたは複数の ト リ ガー ポー ト で構成される よ う に選択でき ま

す。こ の機能によ り 、キ ャプチャに必要な ト リ ガー情報を選択でき る柔軟性を活用し ながら、 リ ソー

ス を節約でき ます。

18 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro コアの概要

ILA 制御およびステータ ス ロジ ッ ク

ILA コ アには、コ アの通常動作を維持する ために使用する制御およびステータ ス ロ ジ ッ ク が少数含

まれます。 ILA コ アを適切に認識し、 通信するのに必要なすべてのロ ジ ッ ク が制御およびステータ

ス ロジ ッ クによ って イ ンプ リ メ ン ト されます。

VIO コ ア

VIO (Virtual Input/Output) は、 内部 FPGA 信号を即時に監視および駆動でき る カ ス タマイ ズ可能

なコアです。 ILA コ ア と は違い、 オンチ ッ プ RAM やオフチ ッ プ RAM は必要あ り ません。 VIO コ

アでは、 次の 4 種類の信号が使用でき ます。

• 非同期入力

• JTAG ケーブルから駆動される JTAG ク ロ ッ ク信号を使用し てサンプ リ ング されます。

• 入力値は定期的に読み戻され、 ChipScope Pro Analyzer で表示されます。

• 同期入力

• デザイ ン ク ロ ッ ク を使用し てサンプ リ ング されます。

• 入力値は定期的に読み戻され、 ChipScope Pro Analyzer に表示されます。

• 非同期出力

• ChipScope Pro Analyzer で定義する信号で、 コ アから周 り のデザイ ンへ出力されます。

• 各非同期出力には、 論理値 0 または 1 を定義でき ます。

• 同期出力

• ChipScope Pro Analyzer で定義する信号で、デザイ ン ク ロ ッ ク に同期し てお り 、 コ アから

周辺デザイ ンへ出力されます。

• 各同期出力には、 論理値 1 または 0 を定義でき ます。 また、 1 および 0 の 16 ク ロ ッ ク サ

イ クル分のパルス列も定義でき ます。

ア ク テ ィ ビテ ィ 検出器

VIO コ ア入力には、入力の遷移をキ ャプチャする ためのセルが別にあ り ます。デザイ ン ク ロ ッ ク が

ChipScope Pro Analyzer のサンプル周期よ り も速いこ と がほ と んどなので、連続するサンプル間で

信号の遷移 を何度 も 監視で き ま す。 ア ク テ ィ ビ テ ィ 検出器は こ の動作 を検出 し、 結果 と 値を

ChipScope Pro Analyzer に表示し ます。

同期入力の場合は、 非同期イベン ト と 同期イベン ト を監視する ア ク テ ィ ビテ ィ セルが使用されま

す。 こ の機能は、 同期信号上でのグ リ ッチや同期遷移を検出する場合にも使用でき ます。

パルス列

VIO の同期出力すべてに、 ス タ テ ィ ッ ク 1、 ス タ テ ィ ッ ク 0、 または連続する値のパルス列を出力

する機能があ り ます。 パルス列と は、 連続し たデザイ ン ク ロ ッ ク サイ クルでコ アから駆動される、

16 ク ロ ッ ク サイ クル分の 1 および 0 のシーケン スです。 パルス列シーケン スは、 ChipScope Pro Analyzer で定義され、 コ アに読み込まれた後 1 度だけ実行されます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 19UG029 (v13.4) 2012 年 1 月 18 日

第 1 章 : 概要

ATC2 コ ア

ATC2 (Agilent Trace Core 2) は、 カス タマイ ズ可能なデバッ グ キ ャプチャ コ アで、 新のアジレ

ン ト テ ク ノ ロジー社ロジ ッ ク アナラ イザーと機能する よ う に設計されています。 ATC2 コ アでは、

外部のアジレ ン ト テ ク ノ ロ ジー社ロ ジ ッ ク アナラ イザーによ り FPGA デザイ ン内部のネ ッ ト へア

ク セスでき ます (図 1-4)。

ATC2 コ アのデータ パスについて

ATC2 コ アのデータ パスは、 次で構成されています。

• ユーザー FPGA デザイ ンに接続される、 実行時に選択可能な 大 64 個の入力信号バン ク

• アジレ ン ト テ ク ノ ロ ジー社ロ ジ ッ ク アナラ イザーのプローブ コ ネ ク タに接続さ れる 大 64 個の出力データ ピ ン

• オプシ ョ ンで信号バン ク の幅を 64 から 2倍の 128 ビ ッ ト にする 2x TDM (時分割多重) を各出

力データ ピ ンで使用可能

• 非同期タ イ ミ ングおよび同期ステー ト キ ャプチャ モード を共にサポー ト

• それぞれの出力データ ピンに対し て、 有効な I/O 規格、 駆動電流、 および出力スルー レー ト

をサポー ト

• アジレ ン ト テ ク ノ ロ ジー社のプローブ接続技術をサポー ト [214 ページの リ フ ァ レ ン ス 25 を

参照]

動作時に使用可能なデータ プローブ ポイ ン ト の 大数は、 次の式で求められます。

(64 データ ポー ト ) * (データ ポー ト ご と に 64 ビ ッ ト ) * (2x TDM) = 8192 プローブ ポイ ン ト

ATC2 コ アのデータ キャ プチ ャおよび実行時の制御

外部の アジレ ン ト テ ク ノ ロ ジー社ロ ジ ッ ク アナラ イザーを使用し、 ATC2 コ アを通過するデータ

を ト リ ガーおよびキ ャプチャ し ます。 これによ り 、 アジレ ン ト テ ク ノ ロ ジー社ロ ジ ッ ク アナラ イ

ザーの複雑な ト リ ガー、 ワード 数の多い ト レース メ モ リ 、 およびシステム レベルのデータ相関機

能を十分に活用でき、 同時に ATC2 コ アが示す内部デザイ ン ノード がよ り わか り やす く な り ます。

また、 アジレ ン ト テ ク ノ ロ ジー社ロ ジ ッ ク アナラ イザーは、 JTAG ポー ト 接続を介し て ATC2 コ

ア と通信する こ と によ って、 動作時にア ク テ ィ ブ データ ポー ト 選択を制御する場合に も使用され

ます (図 1-4)。

X-Ref Target - Figure 1-4

図 1-4 : ATC2 コアおよびシステム ブロ ッ ク図

20 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro コアの概要

IBERT コ ア

IBERT コ アには、 制御、 監視、 ト ラ ンシーバー パラ メ ーターの変更、 およびビ ッ ト エラー比率テ

ス ト を実行するすべてのロ ジ ッ ク が含まれています。IBERT コ アには、主に 3 つのコ ンポーネン ト

があ り ます。

• BERT ロ ジ ッ ク

• BERT ロジ ッ クは ト ラ ンシーバー コ ンポーネン ト を イ ンス タ ンシエー ト し、パターン ジェ

ネレーターおよびチェ ッ カーを含んでいます。単純な ク ロ ッ ク タ イプ パターンから PRBS パターンやフ レーム付き カ ウ ン ター パターンまでさ まざまなパターンを使用でき ます。

• ダ イナ ミ ッ ク リ コ ンフ ィ ギュ レーシ ョ ン ポー ト (DRP) ロジ ッ ク

• 各 ト ラ ンシーバーには、 ダ イナ ミ ッ ク リ コ ンフ ィ ギュ レーシ ョ ン ポー ト (DRP) があ り 、

ト ラ ンシーバーの属性をシステムで変更でき ます。 すべての属性および DRP ア ド レ スは

IBERT コ アで読み出し /書き込み可能です。各 ト ラ ンシーバーの DRP は個別にア ク セスで

き ます。

• 制御およびステータ ス ロジ ッ ク

• IBERT コ アの操作を管理し ます。

IBERT デザイ ン フ ロー

IBERT は内蔵型デザ イ ンのため、 デザ イ ン フ ロ ーは非常に単純です。 ChipScope IBERT Core Generator を使用し て Virtex-5 デバイ ス向けの IBERT コ ア デザイ ンを生成する と、 デザイ ン デ ィ

レ ク ト リ および BIT フ ァ イル名が指定され、 オプシ ョ ンが選択され、 ビ ッ ト ス ト リ ーム生成を含む

イ ンプ リ メ ンテーシ ョ ン フ ローすべてがワ ンステ ッ プで実行されます。

Virtex-7、 Kintex-7、 Virtex-6、 および Spartan-6 デバイ スの IBERT コ ア デザイ ンを生成するデザ

イ ン フ ローは、ザイ リ ン ク ス CORE Generator を使用する と い う 点を除き類似し ています。主な違

いは、デザイ ン デ ィ レ ク ト リ とデバイ ス情報がザイ リ ン ク スの CORE Generator プロ ジェ ク ト で指

定される と い う 点です。 両方の場合で、 IBERT コ アのデザイ ン BIT フ ァ イルを生成する ために別

のザイ リ ン ク ス ソ フ ト ウ ェ アを実行する必要はあ り ません。

IBERT の機能

IBERT コ アの機能は、 ターゲ ッ ト にする FPGA デバイ スのアーキテ クチャによ って異な り ます。

サポー ト される MGT 機能は、 次の とお り です。

• Virtex-5 FPGA GTP および GTX ト ラ ンシーバー用 IBERT v1.0 コ ア (23 ページの表 1-4)

• 差動ス イ ング、エンフ ァ シス、RX イ コ ラ イゼーシ ョ ン、および DFE を含む PMA (Physical Medium Attachment) の完全制御

• 実行時にラ イ ン レー ト および リ フ ァ レ ンス ク ロ ッ ク ソース を変更可能

• ループバッ クおよび 8B/10B エン コード のイネーブル/デ ィ スエーブルを含む PCS (Physical Coding Sublayer) サポー ト (制限あ り )。 ク ロ ッ ク コ レ ク シ ョ ンおよびチャネル

ボンデ ィ ングはサポー ト されていません。

• GTP ト ラ ンシーバーに 2 バイ ト フ ァ ブ リ ッ ク幅、 GTX ト ラ ンシーバーに 4 バイ ト フ ァ

ブ リ ッ ク幅

• Virtex-5 FPGA GTX ト ラ ンシーバー用 IBERT v2.0 コ ア (24 ページの表 1-5)

• 差動ス イ ング、 エンフ ァ シス、 RX イ コ ラ イゼーシ ョ ン、 および DFE を含む PMA の完全

制御

• 実行時にラ イ ン レー ト を変更可能

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 21UG029 (v13.4) 2012 年 1 月 18 日

第 1 章 : 概要

• ループバッ ク を含む制限付き PCS サポー ト (8b/10b エン コード、 ク ロ ッ ク コ レ ク シ ョ ン、

およびチャネル ボンデ ィ ングはサポー ト されていません。 )

• 40 ビ ッ ト のフ ァ ブ リ ッ ク データ幅 (4 バイ ト モード )

• Virtex-6 FPGA GTX ト ラ ンシーバー用 IBERT v2.0 コ ア (25 ページの表 1-6)

• 差動ス イ ング、 エンフ ァ シス、 RX イ コ ラ イゼーシ ョ ン、 および DFE を含む PMA の完全

制御

• 実行時にラ イ ン レー ト を変更可能

• 生成時に リ フ ァ レ ン ス ク ロ ッ ク ソース を設定可能

• ループバッ ク を含む制限付き PCS サポー ト 。 パターン エン コード、 ク ロ ッ ク コ レ ク シ ョ

ン、 およびチャネル ボンデ ィ ングはサポー ト されていません。

• Virtex-6 FPGA GTH ト ラ ンシーバー用 IBERT v2.0 コ ア (26 ページの表 1-7)

• 差動ス イ ング、 エンフ ァ シス、 RX イ コ ラ イゼーシ ョ ン、 および DFE を含む PMA の完全

制御

• 生成時に リ フ ァ レ ン ス ク ロ ッ ク ソース を設定可能

• ループバッ ク を含む制限付き PCS サポー ト 。 パターン エン コード、 ク ロ ッ ク コ レ ク シ ョ

ン、 およびチャネル ボンデ ィ ングはサポー ト されていません。

• TX 差動ス イ ング

• TX プ リ エンフ ァ シスおよびポス ト エンフ ァ シス

• Spartan-6 FPGA GTP ト ラ ンシーバー用 IBERT v2.0 コ ア (27 ページの表 1-8)

• 差動ス イ ング、 エンフ ァ シス、 RX イ コ ラ イゼーシ ョ ン、 および DFE を含む PMA の完全

制御

• 実行時にラ イ ン レー ト を変更可能

• 生成時に リ フ ァ レ ン ス ク ロ ッ ク ソース を設定可能

• ループバッ ク を含む制限付き PCS サポー ト 。 パターン エン コード、 ク ロ ッ ク コ レ ク シ ョ

ン、 およびチャネル ボンデ ィ ングはサポー ト されていません。

• TX 差動ス イ ング

• TX プ リ エンフ ァ シス

• Kintex-7 および Virtex-7 FPGA GTX ト ラ ンシーバー用 IBERT v2.00a コ ア (28 ページの表

1-9)

• 差動ス イ ングおよびエンフ ァ シス を含む PMA 制御

• 生成時にラ イ ン レー ト を変更可能

• 生成時に リ フ ァ レ ン ス ク ロ ッ ク ソース を設定可能

• ループバッ ク を含む制限付き PCS サポー ト 。 パターン エン コード、 ク ロ ッ ク コ レ ク シ ョ

ン、 およびチャネル ボンデ ィ ングはサポー ト されていません。

22 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro コアの概要

表 1-4 : Virtex-5 FPGA GTP および GTX ト ラ ンシーバー用 IBERT v1.0 コア

機能 説明

複数の マ ルチ ギ ガ ビ ッ ト

ト ラ ンシーバーデザイ ンに 大 8 個の ト ラ ンシーバーを選択可能

パターン ジェネレーター

選択し た ト ラ ンシーバーご と に 1 つのパターン ジェネレーターが

使用されます。 基本的なパターン ジェネレーターを選択する場合

は、PRBS (Pseudo Random Bit Sequence) 7 ビ ッ ト 、PRBS 23 ビ ッ

ト 、PRBS 31 ビ ッ ト 、およびユーザー定義のパターンが使用されま

す。 完全なパターン ジェネレーターを選択する場合は、 上述のパ

ターンに加えて、 代替 PRBS 7 ビ ッ ト 、 PRBS 9 ビ ッ ト 、 PRBS 11 ビ ッ ト 、 PRBS 15 ビ ッ ト 、 PRBS 20 ビ ッ ト 、 PRBS 29 ビ ッ ト 、 フ

レーム付き カ ウ ン ター、 およびア イ ド ル パターンが使用されます。

すべての ト ラ ンシーバーで使用可能なパターン セ ッ ト はコ ンパイ

ル時に一度選択されるのに対し、そのセ ッ ト の特定のパターンは実

行時に各 ト ラ ンシーバーで個別に選択でき ます。

パターン チェ ッ カー

選択し た ト ラ ンシーバーご と に 1 つのパターン チェ ッ カーが使用

されます。 同じパターン セ ッ ト をパターン ジェネレーターと して

使用でき ます。 パターンは、 ラ ン タ イ ム時に各 ト ラ ンシーバーでそ

れぞれ選択でき ます。

フ ァブ リ ッ ク幅

GTP ト ラ ンシーバーに対する FPGA フ ァ ブ リ ッ ク のイ ン ターフ ェ

イ スは、2 バイ ト モード で固定されています。GTX ト ラ ンシーバー

に対する FPGA フ ァ ブ リ ッ クのイ ン ターフ ェ イ スは、4 バイ ト モー

ド で固定されています。

BERT パラ メ ーター受信し たエ ラーを含むビ ッ ト 数および受信し た ワー ド 数の合計が

即時に集計されて ChipScope Pro Analyzer で読み出されます。

極性 各 ト ラ ンシーバーの TX または RX 側の極性を実行時に変更でき

ます。

8b/10b エン コード / デコード のサポー ト

8b/10b エン コード /デコード は、 デュ アル ト ラ ンシーバー (GTP_ DUAL または GTX_DUAL タ イル) ご と に実行時にイネーブルにで

き ます。TX エン コードおよび RX デコード が同時に選択されます。

注記 : 8B/10B エン コード /デコード がイネーブルの場合は、 フ レー

ム付き カ ウ ン ター パターンおよびア イ ド ル パターンのみを使用で

き ます。

リ セ ッ ト

各 ト ラ ンシーバーの BER カ ウ ン ターを個別に リ セ ッ ト でき ます。

すべての ト ラ ンシーバーおよび BER カ ウ ン ターを一度に リ セ ッ ト

する グ ローバル リ セ ッ ト も使用でき ます。

リ ン クおよびロ ッ ク ステータ ス

各 ト ラ ンシーバーの リ ン ク、DCM、および PLL ロ ッ ク ステータ ス

を集めます。

DRP 読み出し各 ト ラ ンシーバーのダ イナ ミ ッ ク リ コ ンフ ィ ギュ レーシ ョ ン ポー

ト (DRP) のコ ンテンツを個別に読み出すこ と ができ ます。

DRP 書き込み各 ト ラ ンシーバーの DRP のコ ンテンツを実行時にシングル ビ ッ

ト 精度で変更でき ます。

ステータ スコ ア全体のダ イナ ミ ッ ク ステータ ス情報を実行時に読み出すこ と

ができ ます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 23UG029 (v13.4) 2012 年 1 月 18 日

第 1 章 : 概要

表 1-5 : Virtex-5 FPGA GTX ト ラ ンシーバー用 IBERT v2.0 コア

機能 説明

複数の GTX ト ラ ンシーバー

デザイ ンに 大 8 個の ト ラ ンシーバーを選択可能

パターン ジェネレーター

選択し た GTX ト ラ ンシーバーご と に 1 つのパターン ジェネレー

ターが使用されます。 使用でき るパターンは、 PRBS 7 ビ ッ ト 、

PRBS 15 ビ ッ ト 、 PRBS 23 ビ ッ ト 、 PRBS 31 ビ ッ ト 、 Clk 2x、 お

よび Clk 10x パターンです。 各 GTX ト ラ ンシーバーに対し て、 任

意のパターンを実行時に選択でき ます。

パターン チェ ッ カー

選択し た GTX ト ラ ンシーバーご と に 1 つのパターン チェ ッ カー

が使用されます。 同じパターン セ ッ ト をパターン ジェネレーター

と し て使用でき ます。 パターンは、 ラ ン タ イ ム時に各 GTX ト ラ ン

シーバーでそれぞれ選択でき ます。

フ ァブ リ ッ ク幅GTX_DUAL タ イルへの FPGA フ ァ ブ リ ッ ク イ ン ターフ ェ イ ス

は、 32 または 40 ビ ッ ト 幅にでき、 生成時に選択でき ます。

BERT パラ メ ーター受信し たエ ラーを含むビ ッ ト 数および受信し た ワー ド 数の合計が

即時に集計されて ChipScope Pro Analyzer で読み出されます。

極性 各 GTX ト ラ ンシーバーの TX または RX 側の極性を実行時に変更

でき ます。

リ セ ッ ト

各 GTX ト ラ ンシーバーおよびその BER カ ウ ン ターを個別に リ

セ ッ ト でき ます。PLL を含む MGT 全体を リ セ ッ ト する リ セ ッ ト も

あ り ます。

リ ン クおよびロ ッ ク ステータ ス

各 GTX ト ラ ンシーバーの リ ン ク、 DCM、 および PLL ロ ッ ク ス

テータ ス を集めます。

DRP 読み出し各 GTX ト ラ ンシーバーのダ イナ ミ ッ ク リ コ ンフ ィ ギュ レーシ ョ

ン ポー ト (DRP) のコ ンテンツを個別に読み出すこ と ができ ます。

DRP 書き込み各 GTX ト ラ ンシーバーの DRP のコ ンテンツを実行時にシングル

ビ ッ ト 精度で変更でき ます。

ポー ト の読み出しGTX ト ラ ンシーバーのポー ト を監視する レジス タのコ ンテンツを

個別に読み出すこ と ができ ます。

ポー ト への書き込みGTX ト ラ ンシーバーのポー ト を制御する レジス タのコ ンテンツを

実行時に変更でき ます。

ステータ スコ ア全体のダ イナ ミ ッ ク ステータ ス情報を実行時に読み出すこ と

ができ ます。

24 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro コアの概要

表 1-6 : Virtex-6 FPGA GTX ト ラ ンシーバ用 IBERT v2.0 コア

機能 説明

複数の GTX ト ラ ンシーバー

デザイ ンに 大 8 個の ト ラ ンシーバーを選択可能

パターン ジェネレーター

選択し た GTX ト ラ ンシーバーご と に 1 つのパターン ジェネレー

ターが使用されます。 使用でき るパターンは、 PRBS 7 ビ ッ ト 、

PRBS 15 ビ ッ ト 、 PRBS 23 ビ ッ ト 、 PRBS 31 ビ ッ ト 、 Clk 2x、 お

よび Clk 10x パターンです。 各 GTX ト ラ ンシーバに対し て、 任意

のパターンを実行時に選択でき ます。

パターン チェ ッ カー

選択し た GTX ト ラ ンシーバーご と に 1 つのパターン チェ ッ カー

が使用されます。 同じパターン セ ッ ト をパターン ジェネレーター

と し て使用でき ます。 パターンは、 ラ ン タ イ ム時に各 GTX ト ラ ン

シーバーでそれぞれ選択でき ます。

フ ァブ リ ッ ク幅GTX ト ラ ンシーバーへの FPGA フ ァブ リ ッ ク イ ン ターフ ェ イ ス

は、 16 または 20 ビ ッ ト 幅にでき、 生成時に選択でき ます。

BERT パラ メ ーター受信し たエ ラーを含むビ ッ ト 数および受信し た ワー ド 数の合計が

即時に集計されて ChipScope Pro Analyzer で読み出されます。

極性 各 GTX ト ラ ンシーバーの TX または RX 側の極性を実行時に変更

でき ます。

リ セ ッ ト

各 GTX ト ラ ンシーバーおよびその BER カ ウ ン ターを個別に リ

セ ッ ト でき ます。PLL を含む MGT 全体を リ セ ッ ト する リ セ ッ ト も

あ り ます。

リ ン クおよびロ ッ ク ステータ ス

各 GTX ト ラ ンシーバーの リ ン ク、 DCM、 および PLL ロ ッ ク ス

テータ ス を集めます。

DRP 読み出し各 GTX ト ラ ンシーバーのダ イナ ミ ッ ク リ コ ンフ ィ ギュ レーシ ョ

ン ポー ト (DRP) のコ ンテンツを個別に読み出すこ と ができ ます。

DRP 書き込み各 GTX ト ラ ンシーバーの DRP のコ ンテンツを実行時にシングル

ビ ッ ト 精度で変更でき ます。

ポー ト の読み出しGTX ト ラ ンシーバーのポー ト を監視する レジス タのコ ンテンツを

個別に読み出すこ と ができ ます。

ポー ト への書き込みGTX ト ラ ンシーバーのポー ト を制御する レジス タのコ ンテンツを

実行時に変更でき ます。

ステータ スコ ア全体のダ イナ ミ ッ ク ステータ ス情報を実行時に読み出すこ と

ができ ます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 25UG029 (v13.4) 2012 年 1 月 18 日

第 1 章 : 概要

表 1-7 : Virtex-6 FPGA GTH ト ラ ンシーバー用 IBERT v2.0 コア

機能 説明

複数の GTH ト ラ ンシーバー デザイ ンに 大 16 個の ト ラ ンシーバーを選択可能

パターン ジェネレーター 選択し た GTH ト ラ ンシーバーご と に 1 つのパターン ジェネ

レーター (ク ワ ッ ド ご と に 4 つ) が使用されます。 使用でき る

パターンは、PRBS 7 ビ ッ ト 、PRBS 15 ビ ッ ト 、PRBS 23ビ ッ

ト 、 PRBS 31 ビ ッ ト 、 Clk 2x、 および Clk 10x パターンです。

各 GTH ト ラ ンシーバーに対し て、任意のパターンを実行時に

選択でき ます。

パターン チェ ッ カー 選択し た GTH ト ラ ンシーバーご と に 1 つのパターン チェ ッ

カー (ク ワ ッ ド ご と に 4 つ) が使用されます。 同じパターン

セ ッ ト をパターン ジェネレーターと し て使用でき ます。 パ

ターンは、ラ ン タ イ ム時に各 GTH ト ラ ンシーバーでそれぞれ

選択でき ます。

フ ァブ リ ッ ク幅 GTH QUAD ト ラ ンシーバーへの FPGA フ ァ ブ リ ッ ク イ ン

ターフ ェ イ スは、16 または 20 ビ ッ ト 幅にでき、生成時に選択

でき ます。

BERT パラ メ ーター 受信し たエ ラーを含むビ ッ ト 数および受信し た ワー ド 数の合

計が即時に集計されて ChipScope Pro Analyzer で読み出され

ます。

極性 各 GTH ト ラ ンシーバーの TX または RX 側の極性を実行時

に変更でき ます。

リ セ ッ ト 各 GTH ト ラ ンシーバーの BER カ ウ ン ターを個別に リ セ ッ ト

でき ます。 PLL を含む GTH ク ワ ッ ド全体を リ セ ッ ト する リ

セ ッ ト も あ り ます。

リ ン クおよびロ ッ ク ステータ ス

各 GTH ト ラ ンシーバーの リ ン ク、DCM、および PLL ロ ッ ク

ステータ ス を集めます。

DRP 読み出し 各 GTH ト ラ ンシーバーのダ イナ ミ ッ ク リ コ ンフ ィ ギュ レー

シ ョ ン ポー ト (DRP) のコ ンテンツを個別に読み出すこ と が

でき ます。

DRP 書き込み 各 GTH ト ラ ンシーバーの DRP のコ ンテンツを実行時にシン

グル ビ ッ ト 精度で変更でき ます。

ポー ト の読み出し GTH ト ラ ンシーバーのポー ト を監視する レジス タのコ ンテ

ンツを個別に読み出すこ と ができ ます。

ポー ト への書き込み GTH ト ラ ンシーバーのポー ト を制御する レジス タのコ ンテ

ンツを実行時に変更でき ます。

ステータ ス コ ア全体のダ イナ ミ ッ ク ステータ ス情報を実行時に読み出す

こ と ができ ます。

26 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro コアの概要

表 1-8 : Spartan-6 FPGA GTP ト ラ ンシーバー用 IBERT v2.0 コア

機能 説明

複数の GTP ト ラ ンシーバー デザイ ンに 大 8 個の ト ラ ンシーバーを選択可能

パターン ジェネレーター

選択し た GTP ト ラ ンシーバーご と に 1 つのパターン ジェネ

レーター (ク ワ ッ ド ご と に 2 つ) が使用されます。 使用でき る

パターンは、PRBS 7 ビ ッ ト 、PRBS 15 ビ ッ ト 、PRBS 23ビ ッ

ト 、 PRBS 31 ビ ッ ト 、 Clk 2x、 および Clk 10x パターンです。

各 GTP ト ラ ンシーバーに対し て、任意のパターンを実行時に

選択でき ます。

パターン チェ ッ カー

選択し た GTP ト ラ ンシーバーご と に 1 つのパターン チェ ッ

カー (デュ アルご と に 2 つ) が使用されます。 同じパターン

セ ッ ト をパターン ジェネレーターと し て使用でき ます。 パ

ターンは、 ラ ン タ イ ム時に各 GTP ト ラ ンシーバーでそれぞれ

選択でき ます。

フ ァブ リ ッ ク幅GTP ト ラ ンシーバーに対する FPGA のフ ァブ リ ッ ク イ ン

ターフ ェ イ ス幅は 20 ビ ッ ト です。

BERT パラ メ ーター

受信し たエ ラーを含むビ ッ ト 数および受信し た ワー ド 数の合

計が即時に集計されて ChipScope Pro Analyzer で読み出され

ます。

極性各 GTP ト ラ ンシーバーの TX または RX 側の極性を実行時に

変更でき ます。

リ セ ッ ト

各 GTP ト ラ ンシーバーの BER カ ウ ン ターを個別に リ セ ッ ト

でき ます。PLL を含む GTP ト ラ ンシーバー全体を リ セ ッ ト す

る リ セ ッ ト も あ り ます。

リ ン クおよびロ ッ ク ステータ ス

各 GTP ト ラ ンシーバーの リ ン ク、 DCM、 および PLL ロ ッ ク

ステータ ス を集めます。

DRP 読み出し

各 GTP ト ラ ンシーバーのダ イナ ミ ッ ク リ コ ンフ ィ ギュ レー

シ ョ ン ポー ト (DRP) のコ ンテンツを個別に読み出すこ と が

でき ます。

DRP 書き込み各 GTP ト ラ ンシーバーの DRP のコ ンテンツを実行時にシン

グル ビ ッ ト 精度で変更でき ます。

ポー ト の読み出しGTP ト ラ ンシーバーのポー ト を監視する レジス タのコ ンテン

ツを個別に読み出すこ と ができ ます。

ポー ト への書き込みGTP ト ラ ンシーバーのポー ト を制御する レジス タのコ ンテン

ツを実行時に変更でき ます。

ステータ スコ ア全体のダ イナ ミ ッ ク ステータ ス情報を実行時に読み出す

こ と ができ ます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 27UG029 (v13.4) 2012 年 1 月 18 日

第 1 章 : 概要

表 1-9 : Kintex-7 および Virtex-7 FPGA GTX ト ラ ンシーバー用 IBERT v2.00a コ ア

機能 説明

複数の GTX ト ラ ンシーバー デザイ ンに 大 8 個の ト ラ ンシーバーを選択可能

パターン ジェネレーター 選択し た GTX ト ラ ンシーバーご と に 1 つのパターン ジェネ

レーターが使用されます。使用でき るパターンは、PRBS 7 ビ ッ

ト 、 PRBS 15 ビ ッ ト 、 PRBS 23ビ ッ ト 、 PRBS 31 ビ ッ ト 、 Clk 2x、 および Clk 10x パターンです。 各 GTX ト ラ ンシーバーに

対し て、 任意のパターンを実行時に選択でき ます。

パターン チェ ッ カー 選択し た GTX ト ラ ンシーバーご と に 1 つのパターン チェ ッ

カーが使用されます。 同じパターン セ ッ ト をパターン ジェネ

レーター と し て使用でき ます。 パターンは、 ラ ン タ イ ム時に各

GTX ト ラ ンシーバーでそれぞれ選択でき ます。

フ ァブ リ ッ ク幅 GTX ト ラ ンシーバーへの FPGA フ ァ ブ リ ッ ク イ ン ターフ ェ イ

スは、 32 または 40 ビ ッ ト 幅にでき、 生成時に選択でき ます。

極性 各 GTX ト ラ ンシーバーの TX 側の極性を実行時に変更でき ます。

リ セ ッ ト 各 GTX ト ラ ンシーバーを個別に リ セッ ト でき ます。 PLL および

CPLL を含む MGT 全体を リ セッ ト する リ セッ ト もあ り ます。

リ ン クおよびロ ッ ク ステータ ス

各 GTX ト ラ ンシーバーの リ ン クおよび CPLL/QPLL ロ ッ ク ス

テータ ス を集めます。

DRP 読み出し 各 GTX ト ラ ンシーバーのダ イナ ミ ッ ク リ コ ンフ ィ ギュ レー

シ ョ ン ポー ト (DRP) のコ ンテンツを個別に読み出すこ と がで

き ます。

DRP 書き込み 各 GTX ト ラ ンシーバーの DRP のコ ンテンツを実行時にシング

ル ビ ッ ト 精度で変更でき ます。

ポー ト の読み出し GTX ト ラ ンシーバーのポー ト を監視する レジス タのコ ンテン

ツを個別に読み出すこ と ができ ます。

ポー ト への書き込み GTX ト ラ ンシーバーのポー ト を制御する レジス タのコ ンテン

ツを実行時に変更でき ます。

ステータ ス コ ア全体のダ イナ ミ ッ ク ステータ ス情報を実行時に読み出すこ

と ができ ます。

28 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

システム要件

ILA、 VIO、 および ATC2 コ アのオプシ ョ ンの多 く は、 再合成せずに変更でき ます。 ただし、 デー

タ ポー ト 幅またはサンプル バッ フ ァーのワード数などの選択可能なパラ メーターの変更後には、新

規コ アでデザイ ンを再合成する必要があ り ます。 表 1-10 に、 再合成が必要なデザイ ンを示し ます。

システム要件

OS 要件

ChipScope Pro の OS 要件は、『ISE Design Suite 13 : イ ン ス ト ールおよびラ イセン ス ガイ ド』 およ

び 『ISE Design Suite 13 : リ リ ース ノ ー ト ガイ ド』 [213 ページの リ フ ァ レ ンス 14 を参照] に記載

されています。

ソ フ ト ウ ェ ア要件

ザ イ リ ン ク ス CORE Generator、 ChipScope Pro Core Inserter、 IBERT Core Generator、 お よ び

CSE/Tcl ツールでは、 ISE イ ンプ リ メ ンテーシ ョ ン ツールがシステムにイ ン ス ト ールされている こ

と を前提と し ます (Tcl と は Tool Command Language の略語であ り 、 Tcl シェル は Tcl ス ク リ プ ト

の実行に使用される シェル プロ グ ラ ムです)。 CSE/Tcl では、 ChipScope Pro および ISE ツールの

イ ン ス ト ールに含まれている Tcl シェル (xtclsh と呼ぶ) が必要です。

注記 : ChipScope Pro のバージ ョ ンは、ChipScope Pro コ アを含むデザイ ンを イ ンプ リ メ ン ト する と

きに使用する ISE ツールのバージ ョ ン と一致させる必要があ り ます (ア ッ プデー ト リ ビジ ョ ンを含

む)。

表 1-10 : デザイ ンのパラ メ ーター変更および再合成

デザインで変更するパラ メ ーター 再合成の必要

ト リ ガー パターンの変更 な し

ト リ ガーの実行および停止 な し

外部 ト リ ガーのイネーブル な し

ト リ ガー信号の ソース変更 な し (1)

データ信号の ソース変更 な し (1)

ILA の ク ロ ッ ク信号の変更 あ り

サンプル バッ フ ァーのワード数変更 あ り

注記 :

1. 既存の ト リ ガーおよびデータ信号の ソースの両方またはいずれかの変更機能は、 ISE FPGA Editor でサポー ト されています。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 29UG029 (v13.4) 2012 年 1 月 18 日

第 1 章 : 概要

通信要件

ChipScope Pro Analyzer ツールでは、 PC と JTAG バウ ンダ リ ス キ ャ ン チェーン内のデバイ ス と

の通信用に、 次のケーブルを使用でき ます (表 1-11 を参照)。

• プラ ッ ト フ ォーム ケーブル USB II

• プラ ッ ト フ ォーム ケーブル USB

• パラ レル ケーブル IV

• Digilent 社製 JTAG-SMT1 および JTAG-HS1 USB - JTAG ダウ ン ロード ケーブル

• ByteTools 社製 Catapult EJ-1 イーサネ ッ ト - JTAG 接続ケーブル [214 ページの リ フ ァ レ ン ス

27 を参照]

注記 : ChipScope Pro Analyzer でデバイ ス内の ILA コア と通信し ながら、iMPACT でデバイ ス を コ

ンフ ィ ギュ レーシ ョ ンする など、ケーブルまたはデバイ スで競合操作を行 う と、DUT (テス ト 対象デ

ザイ ン) が使用でき な く なる可能性があ り ます。 競合し ている ケーブル/デバイ ス操作の結果が不確

かな と きは、競合する操作が完了する まで ChipScope Pro Analyzer のケーブル接続を解除し て く だ

さ い。

表 1-11 : ChipScope Pro がサポー ト するダウンロー ド ケーブル

ダウンロー ド ケーブル 機能

プラ ッ ト フ ォーム ケーブル USB II およびプラ ッ ト

フ ォーム ケーブル USB(1)

· USB ポー ト (USB 2.0 または USB 1.1) を使用し て、テス ト 対

象ボード のバウ ンダ リ スキ ャ ン チェーン と通信

· 大 12Mb/s スループ ッ ト でダウ ン ロード

· 5V ~ 1.5V で動作する システムおよびデバイ ス I/O と の通信

を可能にする調整可能な電圧イ ン ターフ ェ イ ス を含む

· Windows および Red Hat Linux OS のサポー ト

パラ レル ケーブル IV(1)

· プ リ ン ター ポー ト などのパラ レル ポー ト を使用し て、 テス ト

対象ボード のバウ ンダ リ スキ ャ ン チェーン と通信

· 大 5Mb/s スループッ ト でダウ ン ロード

· 5 V ~ 1.5 V で動作する システムおよびデバイ ス I/O と の通信

を可能にする調整可能な電圧イ ン ターフ ェ イ ス を含む

· Windows および Red Hat Linux OS のサポー ト

30 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ソ フ ト ウ ェ ア インス ト ールおよびラ イセンス

ボー ド 要件

テス ト 対象ボード で ChipScope Pro Analyzer と ダウ ン ロード ケーブルを適切に動作させるには、次

のボード レベル要件を満たす必要があ り ます。

• サポー ト されているデバイ ス を TDI、 TMS、 TCK、 および TDO ピ ンを含む JTAG ヘッ ダー

に接続する必要があ り ます。

• 別のデバイ スがターゲ ッ ト デバイ ス を含む JTAG チェーンの TDI、 TMS、 TCK ピンを駆動す

る場合には、 これらの ソース をデ ィ スエーブルにし てダウ ン ロード ケーブルでの競合を回避で

き る よ う 、 これらの信号にジャ ンパーが必要です。

• ダウ ン ロード ケーブル と し てパラ レル ケーブル IV、 プラ ッ ト フ ォーム ケーブル USB、

Digilent 社製 JTAG-HS1、 ま たは ByteTools 社製ダ ウ ン ロ ー ド ケーブル を 使用す る 場合、

VREF (1.5 ~ 5.0V) および GND ヘッ ダーが、 パラ レル ケーブル IV への接続用に使用可能で

あ る必要があ り ます。

ソ フ ト ウ ェ ア イ ンス ト ールおよびラ イセンス

ChipScope Pro Analyzer ソ フ ト ウ ェ アは、ChipScope Pro Analyzer のみが必要な ラ ボ環境などでは

ス タ ン ド ア ロ ン ISE ラ ボ ツール と し て イ ンス ト ール可能で、それ以外の場合 ISE Design Suite ツー

ルの一部 と し て イ ン ス ト ールでき ます。 ソ フ ト ウ ェ アの イ ン ス ト ールおよびラ イ セン スの手順は、

ISE Design Suite 資料 [213 ページの リ フ ァ レ ンス 14 を参照] の『ISE Design Suite 13 : イ ン ス ト ー

ルおよびラ イセン ス ガ イ ド』 を参照し て く だ さい。

Digilent 社製 JTAG-SMT1 および JTAG-HS1 USB - JTAG ダウ ン ロード ケーブル

· USB ポー ト (USB 2.0 または USB 1.1) を使用し て、テス ト 対

象ボード のバウ ンダ リ スキ ャ ン チェーン と通信

· 大 30Mb/s スループ ッ ト でダウ ン ロード

· 電圧が調整可能な イ ン ターフ ェ イ スによ り 5V ~ 1.5V で動作

するデバイ ス I/O をサポー ト

· Windows および Linux OS をサポー ト

詳細は、 Digilent 社ウ ェブサイ ト を参照 : http://www.digilentinc.com

ByteTools 社製 Catapult EJ-1 イーサネ ッ ト -JTAG 接続

ケーブル

· イーサネ ッ ト ポー ト を使用し て、 テス ト 対象ボード のバウ ン

ダ リ スキ ャ ン チェーン と通信

· 詳細は、 ByteTools 社のウ ェブサイ ト を参照 [214 ページの リ

フ ァ レ ンス 27 を参照]

注記 :

1. パ ラ レル ケーブル IV およびプ ラ ッ ト フ ォーム ケーブル USB は、ザイ リ ン ク ス オン ラ イ ン ス ト ア [213 ページの リ フ ァ レ ンス 20 を参照] か ら購入可能です ([Buy Online] → [Programming Cables] を クリ ッ ク し て く だ さ い。 ただ し、 日本のお客様は [購入情報] に リ ス ト されてい る販売代理店までお問い合わせ く だ さ い)。

表 1-11 : ChipScope Pro がサポー ト するダウンロー ド ケーブル

ダウンロー ド ケーブル 機能

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 31UG029 (v13.4) 2012 年 1 月 18 日

第 1 章 : 概要

32 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

第 2 章

コ ア生成ツールの使用方法

概要

こ の章では、ザイ リ ン ク ス CORE Generator™ ツールを使用し て ChipScope™ Pro コ アを生成する

手順を説明し ます。これら のコ アは総称し て ChipScope Pro ロジ ッ ク デバッ グ コア と言われます。

コ アの生成後、 CORE Generator ツールで生成される イ ン ス タ ンシエーシ ョ ン テンプレー ト を使用

し て、 これらのコ アを VHDL または Verilog デザイ ンに迅速かつ容易に挿入でき ます。 イ ン ス タ ン

シエーシ ョ ンを完了し て、 合成を実行し た後は、 ISE® イ ンプ リ メ ンテーシ ョ ン ツールを使用し て

デザイ ンを イ ンプ リ メ ン ト でき ます。

ザイ リ ン クス CORE Generator での ChipScope Pro コ アの使用

ChipScope Pro コ アを選択し て生成する前に、 CORE Generator ツールでプロ ジェ ク ト を設定する

必要があ り ます。 適切な設定でプロ ジェ ク ト を設定し た後、 左上部のパネルの [View by Function] タブで [Debug & Verification] → [ChipScope Pro] を展開表示する と ChipScope Proコ アが表示さ

れます。 また、 [View by Name] タブでも ChipScope Pro コ アを検索でき ます。

注記 : ChipScope Pro コ アのコ ア イ ンス タ ンシエーシ ョ ン テンプレー ト は、 .vho フ ァ イル (VHDL 言語フ ロー用) と .veo フ ァ イル (Verilog 言語フ ロー用) と し て提供され、 コ ア生成プロセスの一部

と し て作成されます。 詳細は、 CORE Generator ヘルプを参照し て く だ さい。

注記 : ChipScope Pro コ アの本質上、 ザイ リ ン ク ス CORE Generator ツールで生成される

ChipScope Pro コ ア用のシ ミ ュ レーシ ョ ン フ ァ イルはシ ミ ュ レーシ ョ ンで使用でき ません。

ChipScope Pro コ アを含むデザイ ンをシ ミ ュ レーシ ョ ンする と きは、VHDL には空のブラ ッ ク ボ ッ

ク ス エンテ ィ テ ィ アーキテ クチャ、 Verilog にはモジュールを使用する必要があ り ます。

コ アの生成

ICON、 ILA、 VIO、 および ATC2 コ アの生成

ICON、 ILA、 VIO、 および ATC2 コ アの生成方法は、 次に示すデータ シー ト に含まれています。

データ シー ト は、 http://japan.xilinx.com/support の [IP] タブで [エンベデッ ド プロセ ッ シング] →

[デバッ グ と ト レース] を表示する と入手でき ます。

• DS646 『LogiCORE IP ChipScope Pro Integrated Controller (ICON) (v1.05a)』

• DS299 『LogiCORE IP ChipScope Pro Integrated Logic Analyzer (ILA) (v1.04a)』

• DS284 『LogiCORE IP ChipScope Pro Virtual Input/Output (VIO) (1.04a)』

• DS650 『Agilent Trace Core 2 (ATC2) (v1.04a)』

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 33UG029 (v13.4) 2012 年 1 月 18 日

第 2 章 : コア生成ツールの使用方法

Virtex-5 FPGA 用 IBERT v1.0 コ アの生成

IBERT Core Generator を使用する と、Virtex®-5 FPGA GTP/GTX ト ラ ンシーバー用の IBERT v1.0 コ アをカ ス タマイ ズし て、 生成でき ます。 IBERT のパラ メ ーターをすべて設定する と、 ビ ッ ト ス ト

リ ームを含む完全デザイ ンが生成されます。 こ の IBERT コ アは、 ス タ ン ド ア ロ ン デザイ ンでのみ

生成でき、 ユーザー デザイ ンに含める こ と はでき ません。 デザイ ンのネ ッ ト リ ス ト フ ァ イル (.ngc または .edn) は生成されず、 代わ り に IBERT Core Generator によ り ISE が実行されてビ ッ ト ス ト

リ ーム フ ァ イル (.bit) が生成されます。

IBERT Core Generator の 1 ページ目で生成する コ アの タ イ プに IBERT を選択 し ます。 [IBERT (Integrated Bit Error Ratio Tester)] を選択し て [Next] を ク リ ッ ク し ます。

IBERT コアの標準オプシ ョ ンの設定

標準オプシ ョ ンは、 IBERT Core Generator の 2 ページ目で設定し ます。

• フ ァ イル保存先の選択

IBERT ビ ッ ト ス ト リ ーム フ ァ イル (ibert.bit) の保存先は、[Output Bitstream] に表示されます。

デフ ォル ト のデ ィ レ ク ト リ は、IBERT Core Generator のイ ン ス ト ール パスになっていますが、

任意のデ ィ レ ク ト リ に変更する こ と もでき ます。 デザイ ンが生成された ら、 すべてのイ ンプ リ

メ ンテーシ ョ ン フ ァ イルが自動的に このデ ィ レ ク ト リ に含められます。

• ターゲ ッ ト デバイ スの選択

IBERT コ アを生成する場合、デザイ ンが ISE ツールで完全にイ ンプ リ メ ン ト される ため、デバ

イ ス、パッ ケージ、およびス ピード グレード を選択する必要があ り ます。ChipScope Pro IBERT Core Generator ツールでは、 Virtex-5 LXT/SXT/FXT フ ァ ミ リ がサポー ト されています。

• シ リ コ ン リ ビジ ョ ン (ステ ッ ピ ング レベル) の選択

デバイ ス、 パッ ケージ、 およびス ピード グレード の情報に加えて、 Virtex-4 FPGA フ ァ ミ リ の

適切なシ リ コ ン リ ビジ ョ ンを選択する必要があ り ます。次に、 Virtex-5 LXT/SXT/FXT フ ァ ミ

リ で使用でき る シ リ コ ン リ ビジ ョ ンを示し ます。

IBERT ク ロ ッ ク オプシ ョ ンの設定

IBERT コ アの標準オプシ ョ ンを設定し た ら、 [Next] を ク リ ッ ク し ます。

Virtex-5 LXT/SXT/FXT フ ァ ミ リ用 IBERT ク ロ ッ ク オプシ ョ ン

Virtex-5 LXT/SXT/FXT フ ァ ミ リ の IBERT コ ア デザイ ンで唯一必要な ク ロ ッ ク オプシ ョ ンは、次

に説明する [System Clock Setting] のみです。

• システム ク ロ ッ クの設定

IBERT コ アでは、 IBERT 制御ロ ジ ッ ク のフ ァ ブ リ ッ ク部分を駆動するのにフ リ ーラ ンニング

のシステム ク ロ ッ クが必要です。システム ク ロ ッ ク ソースの周波数は、10MHz ~ 100MHz に

する必要があ り ます。 ク ロ ッ クは、内部で分周または倍周されて、50 ~ 100MHz にな り ます。

表 2-1 : Virtex-5 LXT/SXT/FXT フ ァ ミ リのシ リ コ ン リ ビジ ョ ン (ステ ッ ピング レベル)

シ リ コ ン デバイス リ ビジ ョ ン 選択するシ リ コ ン リ ビジ ョ ン

すべてのエンジニア リ ング サンプル (ES) リ ビジ ョ ン

[CES]

すべての製品 リ ビジ ョ ン [Production]

34 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ザイ リ ン クス CORE Generator での ChipScope Pro コアの使用

システム ク ロ ッ クのオプシ ョ ンは、 次の手順に従い設定し ます。

1. [System Clock Settings] フ ィ ール ド を確認し ます。

2. [I/O Standard] で I/O 規格を選択し ます。

3. [P Source Pin] にシステム ク ロ ッ クのピン ロ ケーシ ョ ンを入力し ます。

メ モ : 差動システム ク ロ ッ クの入力には、P ピンのロ ケーシ ョ ンのみ入力し ます。N のピ ン ロ

ケーシ ョ ンは、 ISE イ ンプ リ メ ンテーシ ョ ン ツールで自動的に決定されます。

4. [Frequency] にシステム ク ロ ッ クの周波数 (MHz) を入力し ます。

メ モ : システム ク ロ ッ ク の周波数は、 IBERT デザイ ンが正し く 動作する よ う 正確に入力する

必要があ り ます。 こ の ク ロ ッ クは、 ユーザー定義ク ロ ッ ク で、 MGT ク ロ ッ ク選択 と は別個の

ものであ り 、 ト ラ ンシーバーに関連する ク ロ ッ ク から派生し ません。

Virtex-5 LXT/SXT/FXT フ ァ ミ リ の GTP ト ラ ンシーバー ク ロ ッ ク構造は、 現段階では図 2-1 に示すよ う に固定されています。 ク ロ ッ ク構造は、Virtex-5 LXT/SXT/FXT フ ァ ミ リ の IBERT コ ア デザイ ンでイネーブルに されている各 GTP_DUAL/GTX_DUAL タ イルで複製されます。

MGT/GTP/GTX オプシ ョ ンの選択

IBERT コ アの ク ロ ッ ク オプシ ョ ン を選択し た ら、 [Next] を ク リ ッ ク し て MGT/GTP/GTX オプ

シ ョ ン設定ページに進みます。

Virtex-5 LXT/SXT/FXT フ ァ ミ リ用 IBERT の GTP/GTX オプシ ョ ン

Virtex-5 LXT/SXT/FXT フ ァ ミ リ 用 IBERT の GTP/GTX オプシ ョ ンには、 次の 3 つのセク シ ョ ン

があ り ます。

• [Resource Usage]

• [Pattern Settings]

• [GTP Settings]/[GTX Settings]

X-Ref Target - Figure 2-1

図 2-1 : Virtex-5 LXT/SXT/FXT フ ァ ミ リ用 IBERT の GTP_DUAL/GTX_DUAL タ イルの

ク ロ ッ ク構造

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 35UG029 (v13.4) 2012 年 1 月 18 日

第 2 章 : コア生成ツールの使用方法

[Resource Usage]

[IBERT Options] ペー ジ の上部には、 IBERT コ ア での リ ソ ー ス 使用量が表示 さ れ ま す。

GTP_DUAL/GTX_DUAL タ イルをオンにする度に、 その分が使用量に追加されます。デジタル ク

ロ ッ ク マネージ ャー (DCM) の使用数も 表示さ れます。 DCM は、 FPGA デバ イ スで使用可能な

DCM 数までしか使用でき ません。

[Pattern Settings]

[Pattern Settings] には、 すべての GTP_DUAL/GTX_DUAL タ イ ルに対し て生成、 検出で き る パ

ターンが表示 さ れます。 使用で き る パ ターン タ イ プは、 PRBS (Pseudo Random Bit Sequence) 7 ビ ッ ト (X7 + X6 + 1)、別の PRBS 7 ビ ッ ト (X7 + X + 1)、PRBS 9 ビ ッ ト 、PRBS 11 ビ ッ ト 、PRBS 15 ビ ッ ト 、 PRBS 20 ビ ッ ト 、 PRBS 23 ビ ッ ト 、 PRBS 29 ビ ッ ト 、 PRBS 31 ビ ッ ト 、 ユーザー パ

ターン (ク ロ ッ ク パターンを含む、任意の 20 ビ ッ ト データ パターンの生成に使用可能)、 フ レーム

付き カ ウ ン ター、 およびア イ ド ル パターンです。 デフ ォル ト では、 PRBS 7 ビ ッ ト 、 PRBS 23 ビ ッ

ト 、 PRBS 31 ビ ッ ト 、 およびユーザー パターンが選択されています。

[GTP Settings]/[GTX Settings]

GTP/GTX ト ラ ンシーバー設定セ ク シ ョ ンでは、 Virtex-5 LXT/SXT/FXT フ ァ ミ リ デバイ スの各

GTP_DUAL/GTX_DUAL タ イルを イネーブルにし て、 それぞれ設定でき ます。 GTP_DUAL/ GTX_DUAL タ イルをオンにする場合、 大ラ イ ン レー ト および リ フ ァ レ ン ス ク ロ ッ ク周波数を

指定する必要があ り ます。 大 ラ イ ン レー ト を設定する と 有効な リ フ ァ レ ン ス ク ロ ッ ク 周波数

(FB、 REF、 および DIVSEL PLL 設定) のみが [Ref Clock Freq] に表示されます。

汎用 I/O (GPIO) オプシ ョ ンの設定

IBERT コ アの GTP/GTX オプシ ョ ンを選択し た ら、 [Next] を ク リ ッ ク し て GPIO オプシ ョ ン設定

ページに進みます。GPIO オプシ ョ ンでは、VIO コ アで制御される、SFP 光モジ ュールなどの FPGA 外部のデバイ スの制御に使用可能な同期出力ピンのみを設定でき ます。 これら の出力は、 IBERT シ

ステム ク ロ ッ クに同期し ています。

• [Add VIO Controlled Output Pins]

オンにする と、VIO 制御の出力ピンを IBERT デザイ ンに追加でき ます。 これによ り 、その他の

GPIO 出力ピンの設定オプシ ョ ン も表示されます。

• [Number of Output Pins]

デザイ ンに追加する VIO 制御の同期出力ピ ン数を 1 ~ 256 で入力し ます。

• [Edit Output Pin Types Individually]

GPIO 出力ピンのロ ケーシ ョ ンおよびその他の特性は、IBERT Core Generator で指定する必要

があ り ます。[Edit Output Pin Types Individually] をオンにする と、各 GPIO_OUT ピンのロ ケー

シ ョ ン、 I/O 規格、 出力電流、 およびスルー レー ト を制御でき ます。 オフのま まにする場合は、

個別の出力ピンではな く すべてのピンに同じ設定を反映させる こ と ができ ます。

[Pin Name]

IBERT コ アでは、 GPIO_OUT[n] と い う 名前の GPIO 出力ピンのみがサポー ト されてお り 、 n は GPIO_OUT バスのビ ッ ト イ ンデッ ク ス を示し ます。 ピ ン名は変更でき ません。

[Pin Loc]

[Pin Loc] 列では、 GPIO_OUT ピ ンのロ ケーシ ョ ンを設定し ます。

36 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ザイ リ ン クス CORE Generator での ChipScope Pro コアの使用

[IO Standard]

[IO Standard] では、 各 GPIO_OUT ピ ンの I/O 規格を設定し ます。 使用でき る I/O 規格は、 デ

バイ ス フ ァ ミ リ によ って異な り ます。現段階では、IBERT GPIO 出力ピンではシングルエン ド

の I/O 規格のみがサポー ト されています。 I/O 規格名は、 ザイ リ ン ク ス ソ フ ト ウ ェア マニ ュ ア

ル [213 ページの リ フ ァ レ ン ス 14 を参照] の 『制約ガイ ド』 の 「IOSTANDARD」 セク シ ョ ン

に含まれている名前と一致し ています。

[VCCO]

[VCCO] 列には、 ピ ン ド ラ イバーの出力電圧が表示されます。 この値は、 選択し た I/O 規格に

よ って異な り ます。

[Drive]

[Drive] 列には、出力ピンの 大駆動電流が 2mA ~ 24mA の範囲で表示され、 これらの値は選

択し た I/O 規格によ って異な り ます。

[Slew Rate]

[Slew Rate] 列では、 各 GPIO_OUT ピンのスルー レー ト を [FAST] または [SLOW] のいずれ

かに設定でき ます。

サンプルおよびテンプレー ト オプシ ョ ンの設定

IBERT コ アの GPIO オプシ ョ ン を選択 し た ら、 [Next] を ク リ ッ ク し て [Example and Template Options] ページに進みます。

[Generate Batch Mode Argument Example File (.arg)] をオンにする と、バッ チ モード の引数サンプ

ル フ ァ イル (ibert.arg など) を作成でき ます。 こ の ibert.arg フ ァ イルは、 generate と呼ばれる コ マ

ン ド ラ イ ン プロ グ ラ ムで使用されます。 また、 こ のフ ァ イルには、 IBERT Core Generator ツール

を使用せずに、 IBERT デザイ ンを作成する ために必要なすべての引数が含まれています。

IBERT コ ア は、 Windows の場合は コ マ ン ド プ ロ ン プ ト で ibertgenerate.exe <ibert_type> - f=ibert.arg」と入力し、Linux の場合は UNIX シェル プロ ンプ ト で「ibertgenerate.sh <ibert_type> -f=ibert.arg」 と入力する と生成でき ます。<ibert_type> は、使用するデバイ ス フ ァ ミ リ に従い ibert、

ibertgtp、 または ibertgtx に置き換えて く ださ い。

[Output Log File Settings] では、 出力ロ グ フ ァ イルを生成するかど う かを決定し ます。 また、 こ の

出力ロ グ フ ァ イルに加えて、 ISE イ ンプ リ メ ンテーシ ョ ン ツール (ngdbuild、 map、 par) でも個々

にレポー ト フ ァ イルが生成されます。 設定可能なオプシ ョ ンは、 次の とお り です。

• [Generate Output Log File]

• オンにする と、 <design name>.log と い う 名前の出力ロ グ フ ァ イルが生成されます。 こ の

フ ァ イルには、 IBERT デザイ ン生成プロセス中に メ ッ セージ ペイ ンに表示されたすべて

の メ ッ セージが含まれます。

• オフの場合は、 出力ロ グ フ ァ イルは生成されません。

• [Verbose Log File]

• オンにする と、 生成プロセス中に ISE イ ンプ リ メ ンテーシ ョ ン ツールから メ ッ セージ ペ

イ ンに出力された メ ッ セージすべてが含まれます。

• オフの場合は、 ツールによ る出力が圧縮され、 通常は生成にかかる ラ ン タ イ ムが短縮され

ます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 37UG029 (v13.4) 2012 年 1 月 18 日

第 2 章 : コア生成ツールの使用方法

デザイ ンの生成

IBERT コ アのパラ メ ーターの入力が終了し た ら、 [Generate Design] を ク リ ッ ク し、 カ ス タマイ ズ

し た FPGA デザイ ンを作成するのに必要なすべてのフ ァ イルを実行し ます。 メ ッ セージ ウ ィ ン ド

ウが開き、 進捗情報が表示されます。 「IBERT Design Generation Completed」 と表示される と、 コ

ア生成プロセスの完了です。 こ の後、 前の画面に戻 り 、 異なるオプシ ョ ンを指定し てコ アを生成し

直し た り 、 または [Start Over] を ク リ ッ ク し て、 新規コ アを生成でき ます。

注記 : IBERT の生成では、ISE ツールすべてが実行される ため、その他の ChipScope コ アにかかる

生成時間よ り も長 く な り ます。 多 く の GTP/GTX を使用するデザイ ンでは、 使用する コ ンピ ュー

ターの速度によ っては生成に何時間もかかる場合があ り ます。

IBERT v2.0 コ アの生成

IBERT v2.0 コ ア の生成方法は、 次に示すデー タ シー ト に含 ま れて い ま す。 デー タ シー ト は、

http://japan.xilinx.com/support の [IP] タブをで [エンベデッ ド プロ セ ッ シング] → [デバ ッ グ と ト

レース] を表示する と入手でき ます。

• DS774 『 Virtex-5 GTX 用 ChipScope Pro IBERT (Integrated Bit Error Ratio Test)』

• DS732 『Virtex-6 GTX 用 ChipScope Pro IBERT (Integrated Bit Error Ratio Test)』

• DS775 『Virtex-6 GTH 用 ChipScope Pro IBERT (Integrated Bit Error Ratio Test)』

• DS782 『Spartan-6 GTP 用 ChipScope Pro IBERT (Integrated Bit Error Ratio Test)』

• DS855 『Kintex-7 GTX 用 ChipScope Pro IBERT (Integrated Bit Error Ratio Test) (v2.00.a)』

• DS867 『Virtex-7 GTX 用 ChipScope Pro IBERT (Integrated Bit Error Ratio Test) (v2.00.a)』

38 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

第 3 章

ChipScope Pro Core Inserter の使用

ChipScope Pro Core Inserter の概要

ChipScope™ Pro Core Inserter は合成後に使用 し、 パ ラ メ ー タ ー を 設定 し た ICON (Integrated CoNtroller)、 ILA (Integrated Logic Analyzer)、 および ATC2 (Agilent Trace Core) コ アを必要に応

じ てデザイ ンに挿入し てネ ッ ト リ ス ト を生成する ツールです。 ChipScope Pro Core Inserter では、

デバッ グ機能を迅速かつ容易に使用し て HDL を イ ン ス タ ンシエー ト せずに、 合成されたデザイ ン

を解析でき ます。

注記 : VIO (Virtual Input/Output) および IBERT (Internal Bit Error RaTio) コ アは、 ChipScope Pro Core Inserter ツールでサポー ト されていません。

PlanAhead での ChipScope Pro Core Inserter の使用

ChipScope Pro Core Inserter は、 PlanAhead™ ツール と組み合わせて使用する よ う には設計されて

い ま せん。 PlanAhead ツール環境には、 デバ ッ グ コ ア を挿入す る 機能が組み込 ま れてい ます。

ChipScope Pro Core Inserter ツールか ら ISE® PlanAhead ツール環境に簡単に移行で き る よ う 、

PlanAhead ツ ールには CDC イ ン ポー ト コ マ ン ド が提供 さ れて い ま す。 こ の コ マ ン ド では、

ChipScope Pro Core Inserter のプ ロ ジ ェ ク ト フ ァ イ ルを PlanAhead ツール プ ロ ジ ェ ク ト に イ ン

ポー ト でき ます。 PlanAhead ツールでの ChipScope コ アの挿入に関する詳細は、 『PlanAhead ユー

ザー ガ イ ド』 [213 ページの リ フ ァ レン ス 17 を参照] を参照し て く ださ い。

ISE Project Navigator での ChipScope Pro Core Inserter の使用

こ のセ ク シ ョ ンは、 Windows または Linux バージ ョ ンの ChipScope Pro および ISE ツールを使用

し ているユーザーを対象にし ています。

ChipScope Pro Core Inserter の CDC フ ァ イルは、Project Navigator のソース フ ァ イル リ ス ト に新

規ソース フ ァ イル と し て追加でき ます。 また、Project Navigator では、 イ ンプ リ メ ンテーシ ョ ン フ

ロ ーの適切な段階で ChipScope Pro Core Inserter ツールを 認識 し 起動 さ せ る こ と が可能です。

Project Navigator と ChipScope Pro Core Inserter の統合に関する詳細は、ISE ソ フ ト ウ ェア マニ ュ

アル [213 ページの リ フ ァ レ ン ス 14 を参照] の Project Navigator セ ク シ ョ ンを参照し て く だ さい。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 39UG029 (v13.4) 2012 年 1 月 18 日

第 3 章 : ChipScope Pro Core Inserter の使用

ChipScope の定義および接続ソース フ ァ イル

ChipScope Pro Core Inserter ツールを使用し て Project Navigator で処理されるデザイ ンにコ アを挿

入するには、 次の手順に従います。

1. CDC (.cdc) フ ァ イルをプロ ジェ ク ト に追加し、 該当するデザイ ン モジュール と関連付けます。

a. 新規の CDC フ ァ イルを作成するには、[Project] → [New Source] → [ChipScope Definition and Connection File] を ク リ ッ ク し、 フ ァ イ ル名を入力 し ます。 [Next] を ク リ ッ ク し て

[Summary] ページに進み、 [Finish] を ク リ ッ ク し てフ ァ イルを作成し ます。

メ モ : Project Navigator で同一バージ ョ ンの ChipScope Pro のイ ン ス ト ールが認識さ れ

た場合のみ、 ソース タ イ プ と し て [ChipScope Definition and Connection File] が表示さ

れます。

b. 既存の CDC フ ァ イルを追加するには、[Project] → [Add Source] または [Project] → [Add Copy of Source] を ク リ ッ ク し て、 既存の CDC フ ァ イルを参照し ます。

CDC フ ァ イルをブラ ウザーで選択し て [開 く ] を ク リ ッ ク し、 [Adding Source Files] ダ イ

ア ロ グ ボ ッ ク スで [OK] を ク リ ッ ク し ます。 CDC フ ァ イルは、 ソース ウ ィ ン ド ウで関連

デザイ ン モジュールの下に表示されます。

2. コ アを作成し、 信号接続を完了するには、 [Design] パネルに表示される こ の CDC フ ァ イルを

ダブルク リ ッ ク し ます。 こ の後、 必要な場合は合成と変換が実行され、 ChipScope Pro Core Inserter で CDC フ ァ イルが開き ます。

3. 必要に応じ てコ アおよび接続を変更し て (44 ページの「ChipScope Pro Core Inserter の機能」で

説明) ツールを終了し ます。

4. Project Navigator で関連する 上位デザイ ンがイ ンプ リ メ ン ト される と、 変換フ ローの一部と

し てコ アが自動的にデザイ ンのネ ッ ト リ ス ト に挿入されます。 こ の操作を実行するために、 プ

ロパテ ィ を設定する必要はあ り ません。 コ アは、 CDC フ ァ イルがプロ ジェ ク ト 内にあ り 、 イ ン

プ リ メ ン ト されるデザイ ン モジュールに関連付け られている と自動的に挿入されます。

有用な Project Navigator の設定

次の Project Navigator 設定を使用する と、 コ アをデザイ ンにイ ンプ リ メ ン ト する際に役立ちます。

1. XST 合成ツールを使用し ている場合は、[Keep Hierarchy] オプシ ョ ンを [Yes] または [Soft] に

設定 し てデザ イ ン 階層 を 維持 し、 デザ イ ン すべて の階層の 適化 を 回避 し ま す。 [KeepHierarchy] オプシ ョ ンを使用し た場合、 コ アの挿入フ ロー中のネ ッ ト 名およびその他のコ ン

ポーネン ト 名を維持でき ます。 [Keep Hierarchy] オプシ ョ ンを使用し ない場合は、 ネ ッ ト /コ ン

ポーネン ト がほかのロ ジ ッ ク と結合されて新しいコ ンポーネン ト が作成されるか、 または 適

化されて削除される可能性があ り ます。 デザイ ン階層を維持するには、 次の手順に従います。

a. [Processes] ペイ ンで [Synthesize - XST] を右ク リ ッ ク し て [Process Properties] を ク リ ッ

ク し ます。

b. [Synthesis Options] ページで [Keep Hierarchy] を [Soft] または [Yes] に設定し て、[OK] を

ク リ ッ ク し ます。

2. ChipScope Pro Analyzer ツールで古いデバイ スを使用する場合は、次に示すよ う にビ ッ ト ス ト

リ ームの生成オプシ ョ ンを正し く 生成する必要があ り ます。 次に、 これらの手順に従 う 必要が

あ るデバイ スを示し ます。

- Virtex®-4 デバイ ス(またはそれ以前のデバイ ス)

- Spartan®-3、 Spartan-3E、 Spartan-3A、 Spartan-3AN (またはそれ以前の) デバイ ス

40 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

コマン ド ラ イン インプ リ メ ンテーシ ョ ンでの ChipScope Pro Core Inserter の使用

a. Project Navigator で [Generate Programming File] を右ク リ ッ ク し て、[Process Properties] を選択し ます。

b. [Startup Options] ページを表示し ます。

c. [FPGA Start-Up Clock] に [JTAG Clock] を選択し ます。

注記 : このオプシ ョ ンは、新しい FPGA デバイ ス フ ァ ミ リ では不要です。 また、FPGA デバイ ス を

PROM またはフ ラ ッ シュ デバイ スから コ ンフ ィ ギュ レーシ ョ ンする場合も不要です。

コ マン ド ラ イ ン イ ンプ リ メ ンテーシ ョ ンでの ChipScope Pro Core Inserter の使用

コマン ド ラ イ ン フ ローの概要

ChipScope Pro Core Inserter では、バッチ コ ア挿入の基本的なコマン ド ラ イ ンがサポー ト されてい

ます。 図 3-1 に示すよ う に、 ChipScope Pro Core Inserter のコ マン ド ラ イ ン フ ローは、 次の手順

で構成されています。

1. CDC プロ ジェ ク ト の作成

2. CDC プロ ジェ ク ト の変更

3. コ アの挿入

ChipScope Pro Core Inserter は、 NGDBuild の前に実行し、 デザイ ンにデバッ グ コ アを イ ン ス タ ン

シエー ト し ます。 デバ ッ グする ネ ッ ト は CDC プロ ジ ェ ク ト 変更ステ ッ プで選択し て GUI に表示

し、 プロ ジェ ク ト に保存でき ます。 デザイ ンを正し く イ ンプ リ メ ン ト し、 そのビ ッ ト ス ト リ ームを

使用し てザイ リ ン ク ス デバイ ス を コ ンフ ィ ギュ レーシ ョ ン し た後には、ChipScope Pro Analyzer を

使用し てイ ンサーキ ッ ト デザイ ンのデバッ グおよび検証を実行し ます。 コ ンフ ィ ギュ レーシ ョ ン後

にデバ ッ グ ネ ッ ト の選択およびコ アの設定を変更する場合は、 CDC プロ ジェ ク ト の変更ステ ッ プ

に戻ってフ ローを実行し直し て、 新しいビ ッ ト ス ト リ ームを生成し、 デバッ グおよび検証を実行し

て く ださ い。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 41UG029 (v13.4) 2012 年 1 月 18 日

第 3 章 : ChipScope Pro Core Inserter の使用

CDC プロジ ェ ク ト の作成

図 3-2 に示すよ う に、 空の CDC プロ ジェ ク ト フ ァ イルを作成し ます。 コマン ド ラ イ ンには、 次の

よ う に入力し ます。

inserter -create <project.cdc>

注記 : この手順では、 ChipScope Pro Core Inserter の GUI は起動し ません。

X-Ref Target - Figure 3-1

図 3-1 : ChipScope Pro Core Inserter のコマン ド ラ イン フ ロー

X-Ref Target - Figure 3-2

図 3-2 : CDC プロジ ェ ク ト の作成

inserter_create_mode_ch3_04_121306

ChipScope ProCore Inserter Project.cdc

42 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

コマン ド ラ イン インプ リ メ ンテーシ ョ ンでの ChipScope Pro Core Inserter の使用

CDC プロジ ェ ク ト の編集

こ の手順では、ChipScope Pro Core Inserter の GUI を起動し て既存の CDC プロ ジェ ク ト を変更し

ます (図 3-3)。 こ の手順では、 NGCBuild ツールが特定の引数 と 共に呼び出されます。 NGCBuild ツールでは、デザイ ンに関連するネ ッ ト リ ス ト すべてが 1 つの NGC ネ ッ ト リ ス ト フ ァ イルに統合

されます。 これによ り 、 ChipScope Pro Core Inserter でデザイ ン内のすべてのレベルおよびノ ード

に対し て完全にデバッ グを実行でき ます。

コマン ド ラ イ ンには、 次のよ う に入力し ます。

inserter -edit <project.cdc> -ngcbuild [-p <partname>] [-sd

<source_dir>] [-dd <output_dir>] [-i] <inputdesign.edn|ngc>

<outputdesign.ngc>

コ アの挿入

こ の手順では、 既存の CDC プロ ジェ ク ト に基づきデザイ ンにコ アを挿入し ます (図 3-4)。 この手

順では、 NGCBuild ツールが特定の引数と共に呼び出されます。 NGCBuild ツールでは、 デザイ ン

に関連する ネ ッ ト リ ス ト すべてが 1 つの NGC ネ ッ ト リ ス ト フ ァ イルに統合されます。 コ アは、 1 つの完全ネ ッ ト リ ス ト に挿入されます。

コマン ド ラ イ ンには、 次のよ う に入力し ます。

inserter -insert <project.cdc> -ngcbuild [-p <partname>] [-sd

<source_dir>] [-dd <output_dir>] [-i] <inputdesign.edn|ngc>

<outputdesign.ngc>

X-Ref Target - Figure 3-3

図 3-3 : CDC プロジ ェ ク ト の変更

inserter_edit_mode_ch3_05_121306

ChipScope ProCore Inserter Project.cdc

Project.cdc

InputDesign

Project.cdc

Project.cdcCores

OutputDesignCores

ngcbuild

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 43UG029 (v13.4) 2012 年 1 月 18 日

第 3 章 : ChipScope Pro Core Inserter の使用

ChipScope Pro Core Inserter の機能

プロジ ェ ク ト での作業

ChipScope Pro Core Inserter で保存し たプロ ジェ ク ト には、 ソース フ ァ イル、 デステ ィ ネーシ ョ ン

フ ァ イル、 コ アのパラ メーター、 およびコ アの設定に関連する情報がすべて含まれます。 このため、

こ のフ ァ イルを使用し て、 コ アの挿入に関する情報を保存および再現でき ます。 また、 プロ ジェ ク

ト フ ァ イル (.cdc) を、 ChipScope Pro Analyzer への入力 と し て使用し て、 信号名を イ ンポー ト で

き ます。

ChipScope Pro Core Inserter を起動し た と きに表示さ れる初期画面はすべて空欄になっています。

また、 [File] → [New] を ク リ ッ ク し て も同じ画面を表示でき ます。

既存のプロジ ェ ク ト を開 く

既存のプ ロ ジ ェ ク ト を開 く 場合、 近開いたプ ロ ジ ェ ク ト リ ス ト か ら、 ま たは [File] → [Open Project] を ク リ ッ ク し て [Browse] でプロ ジェ ク ト デ ィ レ ク ト リ に移動し ます。 プロ ジェ ク ト を選

択し てダブルク リ ッ クするか、 または [開 く ] を ク リ ッ ク し ます。

プロジ ェ ク ト の保存

プロ ジェ ク ト を変更し た場合、 ChipScope Pro Core Inserter を終了する前にプロ ジェ ク ト の保存を

尋ねるダ イ ア ロ グ ボ ッ ク スが表示されるので、 保存し て く だ さい。 また、 [File] → [Save] から も保

存でき ます。 プロ ジェ ク ト 名を変更する場合、 または別のフ ァ イル名で保存する場合は、 [File] →

[Save As] を ク リ ッ ク し、 フ ァ イル名を入力し てから [保存] を ク リ ッ ク し ます。

ネ ッ ト リ ス ト の更新

ChipScope Pro Core Inserter で前回読み込んだ と きから ネ ッ ト リ ス ト が変更されている場合は、 自

動的に リ ロード されます。 ただし、 [File] → [Refresh Netlist] を ク リ ッ クする と、 手動でネ ッ ト リ

ス ト を更新する こ と もでき ます。

X-Ref Target - Figure 3-4

図 3-4 : コアの挿入

inserter_insert_mode_ch3_06_121306

ChipScope ProCore Inserter

Project.cdc

InputDesign

Project.cdc

Project.cdcCores

OutputDesign+

Cores+

ChipScopeCores

44 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Core Inserter の機能

ユニ ッ ト の挿入および削除

プロ ジ ェ ク ト に新規ユニ ッ ト を挿入する場合は、 [Edit] → [New ILA Unit] ま たは [Edit] → [New ATC2 Unit] を ク リ ッ ク し ます。ユニ ッ ト を削除する場合は、ユニ ッ ト を選択し て [Edit] → [Remove Unit] を ク リ ッ ク し ます。

プ リ フ ァ レンスの設定

ChipScope Pro Core Inserter プ ロ ジ ェ ク ト の プ リ フ ァ レ ン ス を 設定す る 場合は、 [Edit] →

[Preferences] を ク リ ッ ク し ま す。 プ リ フ ァ レ ン ス は、 [Tools]、 [ISE Integration]、 お よ び

[Miscellaneous] の 3 つのセ ク シ ョ ンに分かれています。 プ リ フ ァ レ ン スの設定の詳細は、 54 ペー

ジの 「プロ ジェ ク ト のプ リ フ ァ レ ン ス設定」 を参照し て く ださ い。

コ アの挿入

ICON、ILA、および ATC2 コ アの挿入は、フ ロー完了後に実行されます。 または、[Insert] → [Insert Core] を ク リ ッ ク し ます。 キ ャ プチャ し たすべてのコ アが有効な信号に接続されていない場合は、

エラー メ ッ セージが表示されます。

ChipScope Pro Core Inserter の終了

ChipScope Pro Core Inserter を終了するには、 [File] → [Exit] を ク リ ッ ク し ます。

入力および出力フ ァ イルの指定

ChipScope Pro Core Inserter は、 次の手順で実行し ます。

1. [Input Design Netlist] で入力デザイ ン ネ ッ ト リ ス ト を指定し ます。

2. [Browse] を ク リ ッ ク し てネ ッ ト リ ス ト のデ ィ レ ク ト リ を参照し ます。

3. 必要に応じ て、[Output Design Netlist] および [Output Directory] を変更し ます。 これら フ ィ ー

ル ド は、 デフ ォル ト で自動入力されています。

注記 : ChipScope Pro Core Inserter を Project Navigator から起動し た場合、[Input Design Netlist]、

[Output Design Netlist]、[Output Directory and Device Family] フ ィ ール ド は自動入力されます。 こ

の場合、 これらのフ ィ ール ド は Project Navigator でのみ変更でき、 ChipScope Pro Core Inserter で

は変更でき ません。

プロジ ェ ク ト レベルのパラ メ ーター

プロ ジェ ク ト では、 3 つのパラ メ ーター (デバイ ス フ ァ ミ リ 、 SRL 使用の有無、 RPM 使用の有無) を設定する必要があ り ます。

ターゲ ッ ト デバイス フ ァ ミ リの選択

[Device Family] でターゲ ッ ト デバイ ス フ ァ ミ リ を指定し ます。こ こ で選択し たデバイ ス フ ァ ミ リ

用に、ICON およびキ ャプチャ コ アの構造が 適化されます。プルダウ ン リ ス ト からデバイ ス フ ァ

ミ リ を選択し て く ださ い。

デフ ォル ト では、 [Virtex-6] が選択されています。

SRL の使用

[Use SRLs] では、 コ アを SRL16/SRL32 コ ンポーネン ト を使用し て生成するかど う かを選択し ま

す。 このオプシ ョ ンをオフにし た場合は、 SRL16 コ ンポーネン ト の代わ り にフ リ ッ プフ ロ ッ プおよ

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 45UG029 (v13.4) 2012 年 1 月 18 日

第 3 章 : ChipScope Pro Core Inserter の使用

びマルチプレ ク サーが使用されます。 こ の場合、 生成された コ アのサイ ズやパフ ォーマン スに影響

し ます。

デフ ォル ト では、 [Use SRLs] がオンになってお り 、 SRL を使用し てコ アが生成されます。

RPM の使用

[Use RPMs] をオンにする と、 コ アが相対配置マ ク ロ (RPM) に されます。 オンの と きは配置配線

ツールで、 コ アのすべてのロ ジ ッ クが 適化されて 1 つのエ リ アに配置されます。 デバイ スのほ と

んどの リ ソース を使用するデザイ ンでは、 これらの配置制約は満た されない可能性があ り ます。 デ

フ ォル ト では、 [Use RPMs] がオンになってお り 、 配置が 適化されたコ アが生成されます。 すべ

て設定し た ら、 [Next] を ク リ ッ ク し ます。

コ アの使用量

ChipScope Pro Core Inserter の左側にあ る [Core Utilization] パネルでは、デザイ ンのネ ッ ト リ ス ト

に挿入する ChipScope コ アで消費されるル ッ ク ア ッ プ テーブル (LUT)、 フ リ ッ プフ ロ ッ プ (FF)、

およびブロ ッ ク RAM (BRAM) の概算数が表示されます。 コ アの リ ソース使用量は、 コ アの構成に

影響するパラ メ ーターの設定に基づいて更新されます。

注記 : [LUT Count] および [FF Count] は、 Spartan-3、 Spartan-3E、 Spartan-3A、 Spartan-3A DSP、

および Virtex-4 デバイ ス フ ァ ミ リ でのみ表示されます。[BRAM Count] は、すべてのデバイ ス フ ァ

ミ リ で表示されます。

ICON オプシ ョ ンの選択

初に指定する必要があ るオプシ ョ ンは、 ICON コ アです。 ICON コ アは、 すべての ILA コ アおよ

び ATC2 コ アを JTAG (Joint Test Action Group、 IEEE 規格) バウ ンダ リ ス キ ャ ン チェーン と接続

する コ ン ト ローラー コ アです。

バウンダ リ スキャ ン チ ェーンの選択

ChipScope Pro Analyzer では、 USER1、 USER2、 USER3、 または USER4 のいずれかのバウ ンダ

リ ス キ ャ ン チェーンを使用し てコ ア と通信でき ます。 [Boundary Scan Chain] リ ス ト から任意のス

キ ャ ン チェーンを選択し て く だ さい。 こ のオプシ ョ ンは、 Spartan-3、 Spartan-3E、 Spartan-3A、 お

よび Spartan-3A DSP デバイ ス フ ァ ミ リ を使用する場合は使用でき ません。

ILA の ト リ ガー オプシ ョ ンおよびパラ メ ーターの選択

[New ILA Unit] ボタ ンを ク リ ッ クする と、 新しい ILA ユニ ッ ト が左側のデザイ ン階層に追加され

ます。 次に ILA ユニ ッ ト を設定する必要があ り ます。 初のタブ パネル ([Trigger Parameters]) で

は、 ILA コ アの ト リ ガー オプシ ョ ンを設定し ます。

[Number Of Trigger Ports]

各 ILA コ アでは、 大 16 個の ト リ ガー ポー ト を個別に設定でき ます。 [Number of Input Trigger Ports] のプルダウ ン リ ス ト から ト リ ガー ポー ト 数を選択する と、 各ポー ト のオプシ ョ ン グループ

が表示されます。 各 ト リ ガー ポー ト に関連するオプシ ョ ングループには TRIGn と い う グループ名

が付いています (n は 0 ~ 15 までの ト リ ガー ポー ト 番号です)。 こ のオプシ ョ ンには、 ト リ ガー幅、

ト リ ガー ポー ト に接続されている比較ユニ ッ ト 数、 比較ユニ ッ ト タ イプなどがあ り ます。

46 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Core Inserter の機能

[Trigger Width]

各 ト リ ガー ポー ト は、信号またはビ ッ ト で構成されてるバスです。 ト リ ガー ポー ト を構成する ビ ッ

ト 数を、 ト リ ガー幅と いいます。各 ト リ ガー ポー ト の幅は、TRIGn グループ オプシ ョ ンの [Trigger Width] で設定でき ます。 ト リ ガー ポー ト 幅には、 1 ~ 256 を設定でき ます。

[# Match Units]

比較ユニ ッ ト は、 ト リ ガー ポー ト と接続し ている コ ンパレーターであ り 、 ト リ ガー ポー ト の イベ

ン ト を検出し ます。 1 つまたは複数の比較ユニ ッ ト の結果を結合し て総体的な ト リ ガー条件が形成

され、 データ キ ャプチャが制御されます。 各 ト リ ガー ポー ト (TRIGn) に接続する比較ユニ ッ ト 数

は、 [# Match Units] リ ス ト から設定でき ます。

比較ユニ ッ ト 数を 1 に設定する場合は、 ト リ ガー イベン ト の検出での柔軟性を多少残し ながら、 リ

ソース を節約でき ます。 2 以上に設定する場合は、 その結合数が大きいほど、 よ り 柔軟性の高い ト

リ ガー条件を形成でき ます。 ただし、各 ト リ ガー ポー ト の比較ユニ ッ ト 数を増やすと、 ロ ジ ッ ク リ

ソース使用量も増加し ます。

注記 : 1 つの ILA コ アで使用する比較ユニ ッ ト の総計数は、 使用する ト リ ガ ポー ト 数に関係な く 、

大 16 個までです。

[Match Type]

ト リ ガ ポー ト の比較ユニ ッ ト が実行でき る さ ま ざまな比較や比較演算子は、 比較ユニ ッ ト タ イプ

によ って異な り ます。 ILA コ アでは、 6 個の比較ユニ ッ ト タ イプをサポー ト し ています (表 3-1)。

表 3-1 : ILA の ト リ ガー ポー ト の比較ユニ ッ ト タ イプ

タ イプ ビ ッ ト 値1 比較関数スラ イスご との

ビ ッ ト 数2 説明

[Basic] 0、 1、 X =、 <>

LUT4 ベース : 8

Virtex-5、 Spartan-6 : 19

その他の LUT6 ベース : 20

遷 移 検 出 が 重 要 で は な い

デー タ 信号を比較す る ため

に使用し ます。 も ビ ッ ト を

節約でき る比較ユニ ッ ト タ

イプです。

[Basic w/edges]

0、 1、

X、 R、

F、 B、 N=、 <>

LUT4 ベース : 4

LUT6 ベース : 8

遷移検出 (例 : Low から

High、 High から Low など) が重要 と な る 制御信号の比

較に使用し ます。

[Extended] 0、 1、 X=、 <>、 >、

>=、 <、

<=

LUT4 ベース : 2

LUT6 ベース : 16

大き さ (大小) が重要 と なる

ア ド レ ス ま たはデー タ 信号

の比較に使用し ます。

[Extendedw/edges]

0、 1、

X、 R、

F、 B、 N

=、 <>、 >、

>=、 <、

<=

LUT4 ベース : 2

LUT6 ベース : 8

大き さ (大小) が重要 と なる

ア ド レ ス ま たはデー タ 信号

の比較に使用し ます。

[Range] 0、 1、 X

=、 <>、 >、

>=、 <、

<=、 in range、 not

in range

LUT4 ベース : 1

LUT6 ベース : 8

値の範囲が重要 と な る ア ド

レ ス ま たはデー タ 信号の比

較に使用し ます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 47UG029 (v13.4) 2012 年 1 月 18 日

第 3 章 : ChipScope Pro Core Inserter の使用

TRIGn の [Match Type] リ ス ト から比較ユニ ッ ト のタ イプを選択する と、 この ト リ ガー ポー ト に接

続されるすべての比較ユニ ッ ト に こ の設定が適用されます。 比較ユニ ッ ト の機能性が高 く な る と、

機能のイ ンプ リ メ ン ト に必要な リ ソース も増加する こ と に注意し て く ださ い。 こ のよ う に設定に柔

軟性があ る こ と から、 リ ソース使用量を確認し ながら ト リ ガー モジュールの機能をカス タマイ ズで

き ます。

[Counter Width]

比較ユニ ッ ト カ ウ ン ターは、 ト リ ガー ポー ト の各比較ユニ ッ ト の出力に接続されている コ ンフ ィ

ギュ レーシ ョ ン可能なカ ウ ン ターです。 こ のカ ウ ン ターは実行時に設定でき、 比較ユニ ッ ト のイベ

ン ト 数を カ ウ ン ト し ます。 ト リ ガー ポー ト の各比較ユニ ッ ト に比較カ ウ ン タ ーを含め る には、

[Counter Width] でカ ウ ン ター幅を 1 ~ 32 の中から選択し ます。 [Counter Width] を [Disabled] に

設定する と、 比較ユニ ッ ト に比較カ ウ ン ターは含まれません。 デフ ォル ト 設定は、 [Disabled] です。

[Enable Trigger Sequencer]

ト リ ガー条件 シー ケ ン サーは、 一般的な ブール式の ト リ ガー条件で あ り 、 [Enable Trigger Sequencer] をオンにする と、 オプシ ョ ンの ト リ ガー シーケンサーと共に使用でき ます。 図 3-5 に、

ト リ ガー シーケンサーのブロ ッ ク図を示し ます。

ト リ ガ シーケンサーは、 循環型のス テー ト マシン と し て イ ンプ リ メ ン ト され、 ト リ ガー条件が満

た さ れる まで 大 16 ス テー ト (レベル) まで遷移可能です。 ス テー ト 遷移は、 ト リ ガー シーケン

サーと接続されている比較ユニ ッ ト のイベン ト によ って発生し ます。 どの比較ユニ ッ ト も、 実行時

にステー ト 遷移を レベルご と に選択でき ます。 ト リ ガー シーケンサーは、 比較関数イベン ト のシー

ケン スが連続または不連続のどち らの場合でも、 実行時にステー ト 遷移を設定でき ます。

[Range w/edges]

0、 1、

X、 R、

F、 B、 N

=、 <>、 >、

>=、 <、

<=、 in range、 not

in range

LUT4 ベース : 1

LUT6 ベース : 4

値の範囲 と 遷移検出が重要

と な る ア ド レ ス ま たはデー

タ信号の比較に使用し ます。

注記 :

1. ビ ッ ト 値 : 0 は論理値 0、 1 は論理値 1、 X は ド ン ト ケア、 R は 0 か ら 1 への遷移、 F は 1 から 0 への遷移、B は任意の遷移、 N は遷移な し を指し ます。

2. ス ラ イ スご と のビ ッ ト 数は、 各比較ユニ ッ ト タ イプの相対的な リ ソース使用数を示すための概算値です。正確な概算値と し て使用し ないで く だ さい。 LUT4 ベースのデバイ ス フ ァ ミ リ には、 Spartan-3、 Spartan-3E、 Spartan-3A、 Spartan-3A DSP、 および Virtex-4 FPGA が含まれます。 LUT6 ベースのデバイ ス フ ァ ミリ には、 Zynq™-7000 EPP、 Virtex-5、 Virtex-6、 Spartan-6、 Artix™-7、 Kintex™-7、 および Virtex-7 FPGA が含まれます。

表 3-1 : ILA の ト リ ガー ポー ト の比較ユニ ッ ト タ イプ (続き)

タ イプ ビ ッ ト 値1 比較関数スラ イスご との

ビ ッ ト 数2 説明

X-Ref Target - Figure 3-5

図 3-5 : ト リ ガー シーケンサーのブロ ッ ク図 (16 ステー ト 、 16 比較ユニ ッ ト )

Match Unit 0

Match Unit 1

Match Unit 2

Match Unit 15

Level1

Match Unit 0

Match Unit 1

Match Unit 2

Match Unit 15

Level2

Match Unit 0

Match Unit 1

Match Unit 2

Match Unit 15

Level16

Trigger

UG029_trig_seq_blk_diag_081903

48 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Core Inserter の機能

[Enable Storage Qualification]

ILA コ アは、 ト リ ガー条件に加えて、 ス ト レージ必要条件の設定も可能です。 ス ト レージ必要条件

は、比較関数イベン ト のブール式の組み合わせです。これらの比較関数イベン ト は、コ アの ト リ ガー

ポー ト に接続されている比較ユニ ッ ト コ ンパレーターで検出されます。 ス ト レージ必要条件は、 ト

リ ガー条件 と は異な り 、 ト リ ガー ポー ト の比較ユニ ッ ト の イベン ト を検証し、 各データ サンプル

のキ ャプチャ または格納を決定し ます。 ト リ ガー条件と ス ト レージ必要条件を併用する と、 キ ャプ

チャ プロセス を開始する タ イ ミ ングやキ ャプチャするデータ を定義でき ます。 こ のス ト レージ必要

条件を イ ネーブルにする場合は、 [Enable Storage Qualification] をオンにし ます。

[Enable Trigger Output Port]

ILA コ アの ト リ ガー条件モジ ュールの ト リ ガ出力ポー ト は、 ChipScope Pro Core Inserter ではイ

ネーブルにでき ません。 こ のポー ト は、 CORE Generator™ でのみイ ネーブルにでき ます。

ILA コ アのキャ プチャ パラ メ ーターの設定

ILA コ アのキ ャ プチ ャ パ ラ メ ーターは、 ChipScope Pro Core Inserter の 2 番目の タ ブ ([Capture Parameters]) で設定し ます。

[Data Depth]

ワード数と は、 ILA コ アがサンプル バッ フ ァーに格納でき る 大データ サンプル ワード を指し ま

す。 こ のワード 数によ り 、 ILA ユニ ッ ト で使用される各ブロ ッ ク RAM のデータ幅が決定し ます。

CORE Generator および ChipScope Pro Core Inserter には、 リ ソース使用量予測機能があ り 、 特定

のデータ幅およびワード数設定で使用されるブロ ッ ク RAM リ ソース数が示されます。

[Data Width]

データ幅と は、 ILA コ アに格納されている各データ サンプル ワード幅を指し ます。 データ ワード

と ト リ ガー ワード がそれぞれ独立し ている場合、 大許容データ幅はターゲ ッ ト デバイ ス タ イプ

およびワード数によ って異な り ます。 ただし、 どの組み合わせでも 大許容データ幅は 4096 ビ ッ

ト (Spartan-3、 Spartan-3E、 Spartan-3A、 Spartan-3A DSP、 および Virtex-4 デバイ スでは 256 ビ ッ

ト ) です。

[Data Same As Trigger]

ILA ト リ ガー ポー ト でキ ャプチャ されるデータが次の 2 つで制御されます。

· オンの場合 :

• ト リ ガー ポー ト に接続されている信号を ト リ ガーおよびデータ キ ャプチャで使用でき ま

す。 こ のモー ド は、 コ アの ト リ ガーに使用するデータ をキ ャプチャ /修正でき る ため、 ロ

ジ ッ ク解析ツールでよ く 使用されます。

• データ ポー ト に各 ト リ ガー ポー ト を含むよ う に選択でき ます。 この設定の場合、 ILA コ

アのポー ト マ ッ プに DATA 入力ポー ト は含まれません。

• こ のモード では、 ILA コ アで使用する CLB および配線 リ ソース を節約でき ますが、 大

データ サンプル ワード幅は 4096 ビ ッ ト (Spartan-3、 Spartan-3E、 Spartan-3A、 Spartan- 3A DSP、 および Virtex-4 デバイ スでは 256 ビ ッ ト ) に制限されます。

· オフの場合 :

• データ ポー ト と ト リ ガー ポー ト が互いに独立し ています。

• こ のモード は、 キ ャプチャするデータ量を制限する場合に役立ちます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 49UG029 (v13.4) 2012 年 1 月 18 日

第 3 章 : ChipScope Pro Core Inserter の使用

• データが ト リ ガーと異なる場合は、 [Data Width] を指定する必要があ り ます。

[Trigger Ports Used As Data]

[Data Same As Trigger] をオンにする と、データ オプシ ョ ン画面に各 TRIGn ポー ト のチェ ッ ク ボ ッ

ク スが表示されます。 データ ポー ト に含める場合は、 これらの ト リ ガー ポー ト のチェ ッ ク ボ ッ ク

ス をオンに し ます。 大データ幅の 4096 ビ ッ ト (Spartan-3、 Spartan-3E、 Spartan-3A、 Spartan- 3A DSP、 および Virtex-4 デバイ スでは 256 ビ ッ ト ) がすべての ト リ ガー ポー ト に適用されます。

ATC2 のデータ キャ プチャ設定

ATC2 コアを挿入する場合は、次の ATC2 データ キ ャプチャ オプシ ョ ンを設定する必要があ り ます。

[Capture Mode]

ATC2 コ アのキ ャプチャ モード は 2 種類あ り 、CLK 入力信号に対して同期データ をキ ャプチャする

STATE モー ド と、 非同期デー タ を キ ャ プチ ャす る TIMING モー ド のいずれかを設定で き ます。

STATE モード の場合、 ATC2 コ アを通るデータ パスでパイプラ イ ン化されたフ リ ップフ ロ ップが使

用 さ れ、 こ れ ら の フ リ ッ プ フ ロ ッ プの ク ロ ッ ク は、 CLK 入力ポー ト 信号に よ り 供給 さ れ ます。

TIMING モー ド の場合、 ATC2 コ アを通るデータ パスは出力ピ ンに至る まで完全に組み合わせロ

ジ ッ クで構成されます。また、TIMING モード の ATCK ピンは追加データ ピン と し て使用されます。

[Max Frequency Range]

[Max Frequency Range] のパラ メ ーターを選択し て、 ATC2 コ アの動作周波数の値を指定し ます。

ATC2 コ アのイ ンプ リ メ ンテーシ ョ ンは、 選択し た 大周波数範囲に 適化されます。 選択可能な

大周波数範囲は、 [0 ~ 100 MHz]、 [101 ~ 200 MHz]、 [201 ~ 300 MHz]、 および [301 ~ 500 MHz] です。 大周波数範囲の選択は、 [Capture Mode] で [STATE] を選択し た場合のみ、 コ アの

イ ンプ リ メ ンテーシ ョ ンに影響し ます。

[Enable Auto Setup]

[Enable Auto Setup] をオンにする と、 アジレン ト テ ク ノ ロ ジー社のロ ジ ッ ク アナラ イザーによ り

ポ ッ ド接続に 適な ATC2 ピンを自動的に設定でき ます。 また、 各 ATC2 ピンに 適な位相および

電圧サンプ リ ング オフセ ッ ト も自動的に決定されます。 こ のオプシ ョ ンは、 デフ ォル ト でイ ネーブ

ルにされています。

[Enable "Always On" Mode]

オンにする と、 ATC2 コ アの内部ロ ジ ッ クおよび出力バ ッ フ ァーが常にイ ネーブルに されます。 オ

ンの場合、 FPGA デバイ スのコ ンフ ィ ギュ レーシ ョ ン時に信号バン ク 0 によ り ATD ピンが駆動さ

れます。 こ のモード では、 初に ATC2 コ アを手動で設定せずに、 デバイ ス コ ンフ ィ ギュ レーシ ョ

ン直後に発生する イベン ト をキ ャプチャでき ます。 こ のオプシ ョ ンは、 デフ ォル ト でデ ィ スエーブ

ルに設定されてお り 、 キ ャプチャ モード が [TIMING] モード の場合のみ使用でき ます。

[Pin Edit Mode]

ピンの I/O 規格ピン、 駆動電流、 およびスルー レー ト を個別に設定するか、 またはグループ と し て

設定するかを選択でき ます。 [Individual] に設定する と、 各ピ ンのパラ メ ーターを個別に設定でき

ます。 また 、 [Same as ATCK] に設定する と、 すべての ATCK ピ ンが同じパラ メ ーターにな り ま

す。 各ピンの配置は、 [Pin Edit Mode] の設定に関わらず、 それぞれ設定する必要があ り ます。

[ATD Pin Count]

ATC2 コ アは、 ATD 出力ピンを 4 ~64 個の範囲内でイ ンプ リ メ ン ト でき ます。

50 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Core Inserter の機能

[Endpoint Type]

[Endpoint Type] では、 ATCK および ATD 出力ピ ンでシングル エン ド ([SINGLE-ENDED]) また

は差動出力 ([DIFFERENTIAL]) ド ラ イバーのいずれかを選択し ます。すべての ATCK および ATD ピンで同一の ド ラ イバー終端タ イプを使用する必要があ り ます。

[Signal Bank Count]

ATC2 コ アには、 実行時に選択可能な内部データ信号バン ク マルチプレ クサーが含まれています。

[Signal Bank Count] には、 こ のマルチプレ ク サーでイ ンプ リ メ ン ト するデータ入力ポー ト 数/信号

バン ク数を入力し ます。 設定可能な値は、 1、 2、 4、 8、 16、 32、 64 です。

[TDM Rate]

TDM (時分割多重) レー ト の設定では、 各データ ピンに伝送されるデータ量を 200% に増加させる

こ と ができ ます。 ATC2 コアは、 キャプチャ した ト レース データの格納にオンチッ プ メ モ リ リ ソー

ス を使用し ません。 その代わ り に、 専用コネ ク タ を使用し て FPGA ピンに接続し ているアジレン ト

テ ク ノ ロジー社の Logic Analyzer へデータ を送 り ます。TDM レー ト を 1X に設定し た場合、データ

がデバイ ス ピンへ伝送される速度は、DATA ポー ト への入力と同一ですが、2X に設定する と、DATA ポー ト の 2 倍速にな り ます。 TDM レー ト は、 キャプチャ モード が [STATE] の場合のみ 2X に設定

でき ます。

[Data Width]

ATC2 コ アの各入力データ ポー ト 幅は、 キ ャプチャ モー ド および TDM レー ト によ って異な り ま

す。 STATE モード の場合、 各データ ポー ト 幅は、 (ATD ピ ン数) * (TDM レー ト ) です。 TIMING モード の場合、 ATCK ピンは追加データ ピ ン と し て使用される ため、 各データ ポー ト 幅は、 (ATD ピン数 + 1) * (TDM レー ト ) にな り ます。

[Individual Pin Settings]

[Individual Pin Settings] 表では、 各 ATCK および ATD ピンのロ ケーシ ョ ン、 I/O 規格、 出力駆動

電流、 およびスルー レー ト を設定し ます。 出力ク ロ ッ ク (ATCK) およびデータ (ATD) ピンは、 あ

らかじめ ATC2 コ アにイ ン ス タ ンシエー ト されています。 つま り 、 ATCK および ATD ピンは、 ほ

かのデザイ ン階層から 上位に手動で移動する必要はあ り ませんが、 CORE Generator でこれらの

ピ ンの ロ ケーシ ョ ンおよび特性を指定する必要があ り ます。 こ れら のピ ン属性は、 ATC2 コ アの

*.ncf フ ァ イルに追加されます。

[Pin Name]

ATC2 コ アの出力ピ ンには ATCK および ATD の 2 種類があ り ます。 ATCK ピ ンは、 キ ャ プチャ

モード が [STATE] の場合はク ロ ッ ク ピン と し て使用され、 [TIMING] の場合はデータ ピン と し て

使用されます。 ATD ピンは、 常にデータ ピン と し て使用されます。 ピン名は変更でき ません。

[Pin Loc]

[Pin Loc] では、 ATCK または ATD ピンのロ ケーシ ョ ンを設定し ます。

[IO Standard]

[IO Standard] では、 各 ATCK または ATD ピンの I/O 規格を設定し ます。 設定可能な I/O 規格は、

デバイ ス フ ァ ミ リ およびド ラ イバーの終端タ イプによ って異な り ます。 I/O 規格名は、 ザイ リ ン ク

ス ソ フ ト ウ ェ ア マ ニ ュ ア ル [213 ページ の リ フ ァ レ ン ス 14 を 参照] の 『制約ガ イ ド』 の

「IOSTANDARD」 セ ク シ ョ ンに含まれている名前と一致し ています。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 51UG029 (v13.4) 2012 年 1 月 18 日

第 3 章 : ChipScope Pro Core Inserter の使用

[VCCO]

[VCCO] 列には、 ピ ン ド ラ イバーの出力電圧が表示されます。 この値は、 選択し た I/O 規格によ っ

て異な り ます。

[Drive]

[Drive] には、 ピン ド ラ イバーの 大出力駆動電流が 2 ~ 24mA の範囲内で示されてお り 、 こ の値

は選択し た I/O 規格に依存し ています。

[Slew Rate]

[Slew Rate] では、 各 ATCK または ATD ピ ンのスルー レー ト を [FAST] または [SLOW] のいずれ

かに設定でき ます。

[Core Utilization]

ATC2 コ ア ジェネレーターには、 コ アの リ ソース使用量を監視する機能があ り 、 ATC2 コ アが使用

するルッ ク ア ッ プ テーブル (LUT) 数およびフ リ ッ プフ ロ ッ プ数が予測されます。 こ の値は、 パラ

メ ー タ ー設定に依存 し てい ます。 ATC2 コ アは、 ブ ロ ッ ク RAM ま たは追加 ク ロ ッ ク リ ソ ース

(BUFG、 DCM コ ンポーネン ト など) を使用し ません。

ILA 信号のネ ッ ト 接続の選択

[Net Connections] タブでは、 ILA コ アに接続する信号を選択し ます。 ト リ ガーとデータが異なる場

合は、 ク ロ ッ ク、 ト リ ガー、 データ ポー ト をそれぞれ指定する必要があ り ます。 ト リ ガーとデータ

が同じ場合は、 ク ロ ッ ク と ト リ ガー /データ ポー ト を指定し ます。 [CLOCK PORT] をダブルク リ ッ

ク またはプラ ス記号 (+) マーク を展開し ます。 未接続の場合は、 赤色で表示されます。

ATC2 の [Net Connections] タブでは、ATC2 コ アに接続する信号を選択でき ます。 ク ロ ッ クおよび

データ ポー ト を指定する必要があ り ます。 [Clock Net] を展開表示し ます。 未接続の場合は、 赤色

で表示されます。

コ ア接続を変更する場合は、[Modify Connections] を ク リ ッ ク し ます。[Select Net] ダ イ ア ロ グ ボ ッ

ク スが表示されます。 こ のダ イ ア ロ グ ボ ッ ク スは、 ILA または ATC2 コ アに接続する ネ ッ ト を簡

単に選択で き る イ ン タ ー フ ェ イ ス です。 [Select Net] ダ イ ア ロ グ ボ ッ ク ス の左上に あ る

[Structure/Nets] ペイ ンで、 デザイ ンの階層構造を確認でき ます。 左下ペイ ンには、 選択し た階層レ

ベルのすべてのネ ッ ト が表示されます。 こ の表に含まれる情報は次の とお り です。

· [Net Name] : ネ ッ ト 名が EDIF ネ ッ ト リ ス ト での記載と同様に表示されます。このネ ッ ト 名は、

合成プロセスでの名前変更または 適化の結果、 HDL ソースの対応する信号名 と異な る場合

があ り ます。

· [Source Instance] : 現在の階層のネ ッ ト が駆動される下位階層にあ る コ ンポーネン ト のイ ン ス

タ ン ス名です。 この ソース イ ン ス タ ン スは、 必ずし も ネ ッ ト の ド ラ イバー元を示し ているので

はあ り ません。

· [Source Component] : ソース イ ンス タ ンスで定義されたコ ンポーネン ト タ イプが表示されます。

· [Base Type] : ネ ッ ト を駆動する 下位のコ ンポーネン ト が表示されます。 こ の列には、 プ リ ミ

テ ィ ブかブラ ッ ク ボ ッ ク ス コ ンポーネン ト のいずれかが表示されます。

表の上に表示されている ド ロ ッ プダウ ンから検索対象を選択し、 [Pattern] ボ ッ ク スに検索するネ ッ

ト 識別子の文字列を入力し てから [Filter] を ク リ ッ クする と、検索対象のみを表に表示でき ます。ま

た、 表中の各コ ラ ム (ネ ッ ト 識別子) のヘッ ダーを ク リ ッ クする と、 ネ ッ ト 識別子の順番が降順/昇

順に切 り 替わ り ます。

52 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Core Inserter の機能

注記 : ネ ッ ト 名はアルフ ァベッ ト 順またはバス エレ メ ン ト 順に並び替え られます。バス エレ メ ン ト

を認識するには、 区切 り 文字 (かっ こ ( および各かっ こ [ など) を使用し ます。

[Select Net] ダ イ ア ロ グ ボ ッ ク スの右上には、ILA コ アの ク ロ ッ ク信号、 ト リ ガー信号、およびデー

タ信号を示すタブがあ り ます。ATC2 コ アの [Select Net] ダ イ ア ロ グ ボ ッ ク ス を開いている場合は、

デー タ 信号 タ ブのみが表示 さ れ ま す。 ト リ ガー ま たはデー タ ポー ト が複数あ る 場合は、 [Net Selections] ペイ ンの下部に複数のタブが表示されます。 階層レベルで選択されたネ ッ ト は、 ILA ま

たは ATC2 キ ャプチャ コ アの入力と接続でき ます。 手順は次の とお り です。

1. [Select Net] ダイ アログ ボッ ク スの左下の表で、キャプチャ コアに接続するネ ッ ト を選択し ます。

メ モ : キ ャプチャ コ ア入力接続と同数のネ ッ ト を選択でき ます。 ネ ッ ト を連続し て選択する場

合は Shift キーを押し ながら、左ク リ ッ ク し ます。ネ ッ ト を不連続に選択する場合は、Ctrl キー

を押し ながら ク リ ッ ク し ます。 また、 ネ ッ ト 1 つと 複数のキ ャプチャ コ ア ポー ト 信号を選択

し て、 こ のネ ッ ト を複数のキ ャプチャ コ ア入力信号に接続する こ と も可能です。

2. [Select Net] ダ イ ア ロ グボ ッ ク スの右上ペイ ンで、 キ ャプチャ コ ア入力カテゴ リ ([Clock Signals]、 [Trigger Signals]、 または [Data Signals] ( ト リ ガー とデータが同一の場合は、

[Trigger/Data Signals]) を選択し ます。

3. 右側のキ ャプチャ コ ア入力の表で、 選択し たネ ッ ト と接続するチャネルを選択し ます。

メ モ : ネ ッ ト 数と同数のキ ャプチャ コ ア入力を選択でき ます。ILA コ ア入力を連続し て選択す

る場合は Shift キーを押し ながら、 左ク リ ッ ク し ます。 ILA コ ア入力を不連続に選択する場合

は、 Ctrl キーを押し なが ら ク リ ッ ク し ます。 また、 ネ ッ ト 1 つと 複数のキ ャプチャ コ ア ポー

ト 信号を選択し て、 こ のネ ッ ト を複数のキ ャプチャ コ ア入力信号に接続する こ と も可能です。

4. [Select Net] ダ イ ア ロ グ ボ ッ ク スの右下にあ る [Make Connections] を ク リ ッ クする と、選択し

たネ ッ ト と キ ャプチャ コ アが接続されます。

既存の接続を削除する場合は、 [Remove Connections] を ク リ ッ ク し ます。 また、 選択し た接続の順

序を変更する場合は、 [Move Nets Up] または [Move Nets Down] を使用し ます。 ネ ッ ト 接続終了

後、 [OK] を ク リ ッ ク し て ChipScope Pro Core Inserter の画面に戻 り ます。

こ のよ う な手順で、 すべての ト リ ガーおよびデータ ネ ッ ト を接続し ます。 すべてのネ ッ ト がバスに

接続される と、 ILA または ATC2 バス名の色が赤から黒に変わ り ます。

ク ロ ッ ク、 ト リ ガー、 データ ネ ッ ト を指定し た ら、 [Insert] を ク リ ッ ク し ます。

ChipScope Pro Core Inserter を ス タ ン ド ア ロ ンで起動し ている場合、 Core Insertion の実行を尋ね

るダ イ ア ロ グ ボ ッ ク スが表示されます。 [はい] を ク リ ッ ク し た場合、 コ アが生成されてネ ッ ト リ ス

ト に挿入され、 EDIF2NGD ツールで NGO フ ァ イルが生成されます。 プロセスの詳細は、 下部の

メ ッ セージ ウ イ ン ド ウに表示さ れます。 ChipScope で コ ア挿入が正常に完了し た場合は、 「Core Generation Complete」 と表示されます。

Project Navigator から ChipScope Pro Core Inserter を起動し ている場合は、Project Navigator へ戻

る こ と を確認する ダ イ ア ロ グ ボ ッ ク スが表示さ れます。 [はい] を ク リ ッ ク し た場合、 ChipScope Pro Core Inserter の設定が保存されて、 Project Navigator の画面が表示されます。 実際のコ ア生成

およびコ ア挿入プロセスは、 Project Navigator で適切な順序で実行されます。

ユニ ッ ト の追加

各デバイ スは、 使用でき るブロ ッ ク RAM 数と パラ メ ーターに従い、 大 15 個までの ILA または

ATC2 ユニ ッ ト をサポー ト でき ます。

· ILA ユニ ッ ト をプロジェ ク ト に追加する場合は、 [Edit] → [New ILA Unit] を ク リ ッ ク し ます。

または、ウ イ ン ド ウ左のツ リ ーにあ る ICON を ク リ ッ ク し、[New ILA Unit] を ク リ ッ ク し ます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 53UG029 (v13.4) 2012 年 1 月 18 日

第 3 章 : ChipScope Pro Core Inserter の使用

· ATC2 ユニ ッ ト をプロ ジェ ク ト に追加する場合は、 [Edit] → [New ATC2 Unit] を ク リ ッ ク し ま

す。 または、 ウ イ ン ド ウ左のツ リ ーにあ る ICON を ク リ ッ ク し、 [New ATC2 Unit] を ク リ ッ ク

し ます。

追加し たユニ ッ ト のパラ メ ーター設定方法は、 前述し た手順と同じです。

ネ ッ ト リ ス ト へのコアの挿入

コ アを挿入するには、 [Insert] → [Insert Core] を ク リ ッ クするか、 またはツールバーの [Insert Core Into Design] を ク リ ッ ク し ます。

注記 : ISE Project Navigator で ChipScope Pro Core Inserter フ ローを使用し ている場合は、[Insert] → [Insert Core] を ク リ ッ クせずに [Return to Project Navigator] を ク リ ッ ク し ます。 これによ り 、

Project Navigator の変換プロセスで自動的にコ アが挿入されます。詳細は、39 ページの「ISE Project Navigator での ChipScope Pro Core Inserter の使用」 を参照し て く ださ い。

プロジ ェ ク ト のプ リ フ ァ レンス設定

プ リ フ ァ レ ン スは、 [Tools]、 [ISE Integration]、 および [Miscellaneous] の 3 つのセク シ ョ ンに分か

れています。

[Tools] では、ChipScope Pro Core Inserter が EDIF2NGD ツールを起動する と きに使用する コマン

ド ラ イ ン引数を設定し ます。

[ISE Integration] では、 ChipScope Pro Core Inserter と ISE Project Navigator の統合方法を設定し

ます。 ISE 統合オプシ ョ ンがイ ネーブル (デフ ォル ト ) の場合、 ChipScope Pro Core Inserter で ISE の一時的ネ ッ ト リ ス ト デ ィ レ ク ト リ (_ngo) の作業デ ィ レ ク ト リ が自動的に検索されます。 有効な

_ngo デ ィ レ ク ト リ が確認される と、 ChipScope Pro Core Inserter のプロ ジェ ク ト で ISE プロ ジェ

ク ト の中間 NGD フ ァ イ ルが自動的に上書き さ れます。 中間 NGD フ ァ イルが上書き さ れる前に

ポ ッ プア ッ プ ウ ィ ン ド ウ を表示するには、 [Prompt then Backup] を選択し ます。

[Miscellaneous] では、ChipScope Pro Core Inserter のその他の動作を設定し ます。た と えば、[Select Net] ダ イ ア ロ グ ボ ッ ク スでポー ト を表示する よ う に設定でき ます。 これは、 コ アを 上位ではな

く 、下位の EDIF ネ ッ ト リ ス ト に挿入する場合などに有効です。これらのポー ト のネ ッ ト は、[Select Net] ダ イ ア ロ グ ボ ッ ク スで灰色表示されます。 また、 違反し ている接続を [Select Net] ダ イ ア ロ グ

ボ ッ ク スに表示するオプシ ョ ン も あ り ます。 このオプシ ョ ンをオンにする と、 [Select Net] ダ イ ア

ロ グ ボ ッ ク スには違反し ている接続が赤色表示されます。

また、 ソース コ ンポーネン ト のイ ンス タ ンス名、 ソース コ ンポーネン ト タ イプ、 ベース ネ ッ ト ド

ラ イバー タ イプを [Select Net] ダイ アロ グ ボ ッ ク スに表示し ないよ う に設定でき ます。 ChipScope Pro Core Inserter のプロジェ ク ト のプ リ フ ァ レンス設定をデフ ォル ト に戻す場合は、[Reset] を ク リ ッ

ク し ます。

54 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

第 4 章

ChipScope Pro Analyzer の使用

ChipScope Pro Analyzer の概要

ChipScope™ Pro Analyzer ツールは、 ChipScope Pro ロ ジ ッ ク アナ ラ イ ザー コ ア と 総称 さ れ る

ICON (Integrated CONtroller)、 ILA (Integrated Logic Analyzer)、 VIO (Virtual Input/Output)、 お

よび IBERT (Internal Bit Error Ratio) コア と直接イ ン ターフ ェースする ツールです。

注記 : ChipScope Pro Analyzer ツールでは、 ATC2 (Agilent Trace Core) コ アを認識でき ますが、実

際に ACT2 コ ア と通信し て制御するには、 アジレ ン ト テ ク ノ ロ ジー社のロジ ッ ク アナラ イザーと

JTAG (Joint Test Action Group、 IEEE 規格) ケーブルを接続する必要があ り ます。

こ のツールでは、 デバイ スのコ ンフ ィ ギュ レーシ ョ ン、 ト リ ガーの選択、 コ ン ソールの設定、 キ ャ

プチャ結果の表示が即時に実行でき ます。 また、 データ表示や ト リ ガーを さ まざ まな方法で操作可

能で、 デザイ ンの機能を容易かつ速やかに検証でき ます。

ChipScope Pro Analyzer ツールは、サーバーと ク ラ イ アン ト の 2 つのアプ リ ケーシ ョ ンで構成され

ています。 サーバーは、 コマン ド ラ イ ン アプ リ ケーシ ョ ンで、 30 ページの表 1-11 に含まれている

JTAG ダウ ン ロード ケーブルを使用し てターゲ ッ ト システムの JTAG チェーンに接続し ます。 ク ラ

イ アン ト は GUI ベースのアプ リ ケーシ ョ ンで、 JTAG チェーンで接続されたデバイ スや、 それらの

デバイ ス内のコ アを操作でき ます。

ローカル ホス ト モード では、 同じマシン上でサーバーと ク ラ イ アン ト が実行でき、 リ モー ト モー

ド であれば、 別々のマシン上でも動作し ます。 リ モー ト モード は、 次の場合に便利です。

• 別のロ ケーシ ョ ンにあ る システムのデバッ グ

• ほかのチーム メ ンバー と の 1 つのシステム リ ソースの共有

• 別の場所にいる相手への機能や問題のデモ

ChipScope Pro Analyzer のサーバー イン ターフ ェ イス

JTAG ダ ウ ン ロー ド ケーブルを介し て、 直接ローカル マシンに接続し た ターゲ ッ ト システムをデ

バ ッ グする場合には、 サーバーを手動で起動する必要はあ り ません。 リ モー ト ク ラ イ アン ト か ら

サーバーに通信する場合にのみ、 手動でサーバー アプ リ ケーシ ョ ンを起動する必要があ り ます。

注記 : ChipScope Pro Analyzer サーバーのアプ リ ケーシ ョ ンが一度に接続でき る ク ラ イ アン ト の数

は 1 つです。

サーバーは、 次のコマン ド で起動でき ます。

• 32 ビ ッ ト Windows マシンの場合 :

<XILINX_ISE_INSTALL>\bin\nt\cse_server.exe <command line options>

• 64 ビ ッ ト Windows マシンの場合 :

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 55UG029 (v13.4) 2012 年 1 月 18 日

第 4 章 : ChipScope Pro Analyzer の使用

<XILINX_ISE_INSTALL>\bin\nt64\cse_server.exe <command line options>

• 32 ビ ッ ト Linux マシンの場合 :

<XILINX_ISE_INSTALL>/bin/lin/cse_server <command line options>

• 64 ビ ッ ト Linux マシンの場合 :

<XILINX_ISE_INSTALL>/bin/lin64/cse_server <command line options>

XILINX_ISE_INSTALL はザイ リ ン ク ス ISE® Design Suite ツールのイ ン ス ト ール デ ィ レ ク ト リ

を指し ます。サーバー アプ リ ケーシ ョ ンには、表 4-1 に示すよ う な複数のコマン ド ラ イ ン オプシ ョ

ンがあ り ます。 サーバーのス ク リ プ ト は、 必要に応じ てカ ス タマイ ズでき ます。

ク ラ イエン ト アプ リ ケーシ ョ ンからサーバー アプ リ ケーシ ョ ンに接続する方法の詳細は、 64 ペー

ジの 「サーバー ホス ト の接続設定」 を参照し て く だ さい。

ChipScope Pro Analyzer のク ラ イアン ト イン ターフ ェ イス

ChipScope Pro Analyzer のク ラ イ アン ト の GUI は、 次の 4 つで構成されています。

• プロ ジェ ク ト ツ リ ー : ウ ィ ン ド ウの左側で、 上下に分かれた上側のウ ィ ン ド ウ

• 信号ブラ ウザー : ウ ィ ン ド ウの左側で、 上下に分かれた下側のウ ィ ン ド ウ

• メ ッ セージ ペイ ン : ウ ィ ン ド ウの下部

• メ イ ン ウ ィ ン ド ウ エ リ ア

プロ ジェ ク ト ツ リ ー と信号ブラ ウザーと に分割されたペイ ン、および メ ッ セージ ペイ ンは、[View] メ ニ ューで選択を解除する と非表示にでき ます。 また、 それぞれの大き さは、 ウ ィ ン ド ウの端を任

意の位置まで ド ラ ッ グ し て調整でき ます。 各ウ ィ ン ド ウの境界にあ る ス ク ロール バーの矢印を ク

リ ッ クする と、 ウ ィ ン ド ウのサイ ズを 小または 大に調整でき ます。

プロジ ェ ク ト ツ リー

プ ロ ジ ェ ク ト ツ リ ーには、 JTAG チェーン と、 そのチェーンに接続さ れたデバ イ スのコ アがグ ラ

フ ィ ッ ク で表示されます。チェーン内のデバイ スすべてがツ リ ーに表示されますが、有効なターゲ ッ

ト デバイ ス と コ アのみに操作を実行でき ます。 プロ ジェ ク ト ツ リ ーで さ ら に操作が実行可能であ

る場合には、 階層ノ ード が表示されます。 た と えば、 コ アが挿入されている ビ ッ ト ス ト リ ームでデ

バイ ス を コ ンフ ィ ギュ レーシ ョ ン し た場合、 各ユニ ッ ト の階層ノ ード が表示されます。 ツ リ ーの各

階層では、 文脈依存の メ ニ ューを使用でき ます。 メ ニ ューにア ク セスするには、 ツ リ ー内の ノ ード

表 4-1 : ChipScope Pro Analyzer サーバーのコマン ド ラ イン オプシ ョ ン

コマン ド ラ イ ン オプシ ョ ン

説明

-port <portnumber> ク ラ イ アン ト からサーバーへの接続を確立する場合に、 TCP/IP の

ポー ト 番号を指定し ます。 デフ ォル ト のポー ト 番号は 50001 です。

-password <password> 権限のないア ク セスから サーバーを保護し ます。 パス ワー ド は、 デ

フ ォル ト では設定されていません。

-l <logfile>

ロ グ フ ァ イルの場所を保存指定し ます。 デフ ォル ト のデ ィ レ ク ト リ

は次の とお り です。

$HOME/.chipscope/cs_analyzer_<portnumber>.log

$HOME は、ユーザーのホーム デ ィ レ ク ト リ 、<portnumber> はサー

バーで使用する TCP/IP のポー ト 番号です。

56 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer のク ラ イアン ト イン ターフ ェ イス

で右ク リ ッ ク し ます。 デバイ スやユニ ッ ト を別名に変更する、 サブ ウ ィ ン ド ウ を開 く 、 デバイ ス を

コ ン フ ィ ギ ュ レーシ ョ ンする、 ま たはプ ロ ジ ェ ク ト を操作する などの操作は、 すべて こ れら の メ

ニ ューから実行でき ます。

プロ ジェ ク ト ツ リ ーのデバイ スやコ ア ユニ ッ ト の ノ ー ド を別名で保存するには、 ノ ー ド 上で右ク

リ ッ ク し て、 [Rename] を ク リ ッ ク し ます。 編集を終了するには、 Enter キーか上下の矢印キー、 ま

たはツ リ ー内の他の ノ ード を ク リ ッ ク し ます。

信号ブ ラウザー

信号ブラ ウザーには、 プロ ジェ ク ト ツ リ ーで選択し た ILA、 IBA、 または VIO コ アの信号がすべ

て表示されます。 信号は別名に変更し た り 、 バスにグループ化し た り 、 信号ブラ ウザーの文脈依存

メ ニ ューを使用し て さ まざまななデータ ビ ューに追加でき ます。

信号名、 バス名、 ト リ ガー ポー ト 名の変更

信号ブラ ウザーで信号名、 バス名、 ト リ ガー ポー ト 名を変更するには、 それぞれをダブルク リ ッ ク

するか、 または右ク リ ッ ク し て [Rename] を ク リ ッ ク し ます。 編集を終了するには、 Enter キーか

上下の矢印キー、 またはツ リ ー内の他の ノ ード を ク リ ッ ク し ます。

ビ ューでの信号の追加/削除

[Waveform] または [Listing] ビ ューからすべての信号を削除するには、 信号ブラ ウザーのデータ信

号またはバス を右ク リ ッ ク し、 [Clear All] → [Waveform] または [Clear All] → [Listing] を ク リ ッ

ク し ます。VIO コ アでコ ン ソールの信号をすべて削除する場合は、VIO コ ン ソールの信号またはバ

ス上で右ク リ ッ ク し て [Clear All]→ [Console] を ク リ ッ ク し ます。また、[Add All to View] メ ニ ュー

を選択し て、 すべての信号 と バス を [View] に追加する こ と がで き ます。 選択し た信号やバスは、

[Add to View] メ ニ ューで追加し ます。

信号やバス を連続し て複数選択する場合は、 初の信号を ク リ ッ ク し てか ら Shift キーを押し たま

まグループ 後の信号を ク リ ッ ク し ます。 信号やバス を非連続に複数選択するには、 Ctrl キーを押

し たま ま信号やバス を順にク リ ッ ク し ます。 こ の方法を使用する と、 バス内の信号の順序は選択し

た順にな り ます。

バスに信号を組み合わせる、 または追加するには

ILA コ アで組み合わせてバスに含め る こ と が可能な信号は、 データ信号のみです。 VIO コ アの場

合、 特定のタ イプの信号をグループ化し てバス を構成でき ます。 信号を組み合わせてバスに含める

には、 前述の方法と同様に、 Shift キーまたは Ctrl キーを使用し て信号を選択し ます。 Shift キーを

使用する場合、 ツ リ ー内の一番上の信号が生成し たバスの LSB ( 下位ビ ッ ト ) にな り ます。 Ctrl キーを使用する場合、 バス内の信号順序は ク リ ッ ク し た順序にな り 、 初に ク リ ッ ク し た信号が

LSB にな り ます。

選択後は、 信号上で右ク リ ッ ク し、 [Move to Bus] → [New Bus] を ク リ ッ ク し ます。 ILA コ アの場

合は [Data Signals and Buses] の一番上に新しいバスが生成され、 VIO コ アの場合は特定のサブツ

リ ーの一番上に生成されます。 既存のバスに 1 つまたは複数の信号を追加するには、 まず信号を右

ク リ ッ ク し、 [Move to Bus] のサブ メ ニ ューでバス名を選択し ます。 信号は常にバスの MSB ( 上

位ビ ッ ト ) 側に追加されます。 こ のコマン ド を実行する と、 信号はバスに含められた後に、 信号 リ

ス ト から削除されます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 57UG029 (v13.4) 2012 年 1 月 18 日

第 4 章 : ChipScope Pro Analyzer の使用

バス内の配列順序の反転

バス内のビ ッ ト 順序を反転する (LSB を MSB にする) には、バス上で右ク リ ッ ク し て [Reverse Bus Order] を ク リ ッ ク し ます。信号ブラ ウザーおよびバス を含むすべてのデータ ビ ューには変更がすぐ

に反映され、 バスの値が再計算されます。

バスの基数

各バスは、 次の基数のいずれかでデータ ビ ューに表示されます。

• ASCII

• 2 進数

• 16 進数

• 8 進数

• 符号付き 10 進数

• ト ーク ン

• 符号なし 10 進数

ACII は、 バス のビ ッ ト 数が 8 で割 り 切れる場合に使用で き ます。 基数を変更する と、 各データ

ビ ューに含まれるバスの基数も変わ り ます。

符号付き 10 進数と符号な し 10 進数

バスの値は、次の等式を使用し て符号付き 10 進数と符号な し 10 進数のいずれかに置き換え る こ と

ができ ます。

バスの値 = (<scale factor> * Data) + <offset>

精度= <precision>

いずれの バス基数を選択し て も、 ス ケール係数 <scale factor>、 オフセ ッ ト <offset>、 および 精度

<precision> を入力する ダ イ ア ロ グ ボ ッ ク スが表示されます。

• スケール係数

「* Data」 の前のテキ ス ト ボ ッ ク スには、データ値を乗算するのに使用する定数のスケール係数

を入力し ます。 デフ ォル ト のスケール係数は 10 です。

• オフセ ッ ト

2 番目のテキス ト ボ ッ ク スには、 スケール係数処理されたデータ値に追加される定数のオフ

セ ッ ト 値を入力し ます。

• 精度

3 番目のテキス ト ボ ッ ク スには、 精度を小数点以下の桁数で入力し ます。 デフ ォル ト の精度は

0 です。

た と えば、 小数点以下 10 桁の精度で、 -0.5 ~ 1.5 の範囲のサ イ ン波を表し た 16 ビ ッ ト バス を表

示する場合、 次のよ う にパラ メ ーターを設定し ます。

スケール係数 = 3.0517578125E-5 (1/215 と同じ )

オフセ ッ ト = 0.5

精度 = 10

58 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer のク ラ イアン ト イン ターフ ェ イス

ト ーク ン

ト ーク ンは、別の ASCII フ ァ イルで定義された文字列のラベルで、特定のバス値に割 り 当てる こ と

ができ ます。 このラベルは、 ア ド レ スのデコードやステー ト マシンなどのアプ リ ケーシ ョ ンで便利

です。 ト ーク ン フ ァ イル (拡張子は .tok) は単純なフ ォーマ ッ ト なので、 どのテキ ス ト エデ ィ ター

でも作成や編集ができ ます。 ト ーク ンは NAME=VALUE と い う 形式になってお り 、NAME は ト ー

ク ン名、 VALUE は ト ーク ン値 (16 進数、 2 進数、 または 10 進数) を指し ます。 値はデフ ォル ト で

16 進数になっています。 値の基数を指定する場合は、 値に \b (2 進数)、 \u (符号な し 10 進数)、 \h (16 進数) を付けて く だ さい。

デフ ォル ト ト ーク ンは、 VALUE の一致が見つから ない と きにデフ ォル ト の ト ーク ン値を設定する

のに使用でき ます。 @DEFAULT_TOKEN キーを使用する と、 デフ ォル ト の ト ーク ン名を設定でき

ます。 @DEFAULT_TOKEN 行が使用されている場合、 ト ーク ン名 HEX が使用されます。 ト ーク

ン フ ァ イ ルの コ メ ン ト は # で始 ま り ま す。 ト ー ク ン フ ァ イ ルの 初の コ メ ン ト 以外の行は、

@FILE_VERSION=1.0.0 であ る必要があ り ます。

注記 : = は予約文字で、 TOKEN 文字列に使用でき ません。

次に、 ト ーク ン フ ァ イルの例を示し ます。

#File version@FILE_VERSION=1.0.0

# Default token value@DEFAULT_TOKEN=ERROR

# Explicit token valuesZERO=00ONE=01TWO=02THREE=11\bFOUR=4\hFIVE=101\bSIX=6SEVEN=111\bEIGHT=1000\bNINE=9\hTEN=A\h

ト ーク ンは、 バス を右ク リ ッ ク し て [Bus Radix] → [Token] を ク リ ッ クする と選択でき ます。 ト ー

ク ン フ ァ イルを選択する ダ イ ア ロ グ ボ ッ ク スが表示されます。 バスが 8 ビ ッ ト 幅で指定し た ト ー

ク ン値が 4 ビ ッ ト 幅しかない と き など、 バス幅が ト ーク ン値よ り 大きい場合、 バス と同じ幅にする

よ う ト ーク ンの 上位ビ ッ ト 側に 0 が挿入されます。

バスの削除

バス を削除するには、 そのバス を右ク リ ッ ク し、 [Delete Bus] を ク リ ッ ク し ます。 バスは、 関連す

るすべてのデータ ビ ューから削除されます。

[Type] と [Activity Persistence] (VIO のみ)

VIO 信号には 2 つの付加プロパテ ィ 、 [Type] と [Activity Persistence] があ り ます。これらのプロパ

テ ィ の詳細は、 80 ページの 「VIO コ アのバスおよび信号のア ク テ ィ ビテ ィ 持続時間」 を参照し て

く ださ い。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 59UG029 (v13.4) 2012 年 1 月 18 日

第 4 章 : ChipScope Pro Analyzer の使用

[Message] ペイ ン

[Messages] ペイ ンには、 ステータ ス メ ッ セージの リ ス ト が表示されます。 エラー メ ッ セージは赤

で表示されます。 ウ ィ ン ド ウの境界を ド ラ ッ グ し て任意の位置まで移動させる と、 [Message] ペイ

ンのサイ ズを変更でき ます。プロ ジェ ク ト ツ リ ー /信号ブラ ウザーのペイ ンの境界も変更でき ます。

メ イ ン ウ ィ ン ド ウ

メ イ ン ウ ィ ン ド ウでは、 同時に複数のサブ ウ ィ ン ド ウ ([Trigger]、 [Waveform]、 [Listing]、 [Plot]) が表示されます。 各ウ ィ ン ド ウ サイ ズは、 必要に応じ て変更でき ます。

ChipScope Pro Analyzer の機能

プロジ ェ ク ト での作業

プロ ジェ ク ト には、 信号名、 信号の順序、 バス構成、 ト リ ガー条件など、 ChipScope Pro Analyzer プロ グ ラ ムの内容に関する重要な情報が含まれています。これらの情報は、ChipScope Pro Analyzer の使用中に自由に読み出し た り 保存でき ます。

ChipScope Pro Analyzer ツールを 初に起動し た と き、 「new project」 と い う 名前の新規プロ ジェ

ク ト が自動で生成されます。既存のプロジェ ク ト を開 く には、[File]→ [Open Project] を ク リ ッ クす

るか、 [File] メ ニ ューか ら 近使用し たプロ ジェ ク ト を ク リ ッ ク し ます。 ChipScope Pro Analyzer のタ イ ト ル バー と プロ ジェ ク ト ツ リ ーには、 プロ ジェ ク ト 名が表示されます。 新規プロ ジ ェ ク ト

を保存せずに終了し よ う とする と、プロ ジェ ク ト を保存するかど う かを尋ねる ダ イ ア ロ グ ボ ッ ク ス

が表示されます。

新規プロジ ェ ク ト の作成と保存

新規プロジェ ク ト を作成するには、 [File] → [New Project] を ク リ ッ ク し ます。 「new project」 と い う

新規プロ ジェ ク ト が作成され、 プロジェ ク ト がア ク テ ィ ブにな り ます。 プロジェ ク ト を別名で保存

するには、 [File] → [Save Project] を ク リ ッ ク し ます。 プロジェ ク ト フ ァ イルの拡張子は .cpj です。

プロジ ェ ク ト の保存

作業中のプ ロ ジ ェ ク ト 名を変更 し た り 、 ま たはフ ァ イ ルを別名で保存する には、 [File]→ [Save Project As] を ク リ ッ ク し、 新しいフ ァ イル名を入力後、 [保存] を ク リ ッ ク し ます。

波形を印刷する

ChipScope Pro の特長の 1 つに、 [File]→ [Print] を実行する と計測し たデータの波形が印刷でき る

と い う 点があ り ます。 [File] → [Print] を ク リ ッ ク し て、 Print Wizard を起動し ます。

Print Wizard は、 次の 3 つの連続ウ ィ ン ド ウで構成されています。

1. (1 of 3) は、 印刷オプシ ョ ン と設定のウ ィ ン ド ウです。

2. (2 of 3) は、 印刷波形のプレ ビ ューのナビゲーター ウ ィ ン ド ウです。

3. (3 of 3) は、 印刷を確認する ウ ィ ン ド ウです。

Print Wizard (1 of 3) ウ ィ ン ド ウ

[Print Wizard] ウ ィ ン ド ウでは、 さ ま ざ まな波形印刷のオプシ ョ ン設定ができ ます。 こ れら の波形

印刷オプシ ョ ンの詳細を説明し ます。

60 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer の機能

[Horizontal Scaling]

ページのカ ラ ムに印刷されるの波形データの量は、 次の 2 つの方法のいずれかで調節でき ます。

• [Fit To] : 波形を指定のページ内に収めます。

• [Fixed] : 指定し た数の波形サンプルを各ページに収めます。

デフ ォル ト では、 波形全体が 1 ページに印刷されます。

[Signal/Bus Selection]

印刷する波形の信号やバスは、 次の 3 つの方法のいずれかで調節でき ます。

• [Current View] : 作業中の [Waveform] ウ ィ ン ド ウで表示されているすべての信号と バスの波

形データ を印刷し ます。

• [All] : コ ア ユニ ッ ト 全体で使用可能なすべての信号と バスの波形データ を印刷し ます。

• [Selected] : [Waveform] ウ ィ ン ド ウで選択し た信号と バスのみの波形データ を印刷し ます。

デフ ォル ト では、 [Current View] で印刷されます。

[Time/Sample Range]

印刷時の単位やサンプル数は、 次の 4 つの方法のいずれかを用いて設定でき ます。

• [Current View] : 現在表示されている波形と同じ範囲やサンプル設定で波形を印刷し ます。

• [Full Range] : サンプル バッ フ ァー全体に含まれるすべてのサンプルを範囲とする波形データ

を印刷し ます。

• [Between X/O Cursors] : X カーソルから O カーソルまでをサンプ リ ング範囲と し た波形デー

タ を印刷し ます。

• [Custom View] : 次で定義されるサンプル範囲を使用し て波形データ を印刷し ます。

• 開始ウ ィ ン ド ウ番号

• 開始ウ ィ ン ド ウ内のサンプル番号

• 終了ウ ィ ン ド ウ番号

• 終了ウ ィ ン ド ウ内のサンプル番号

デフ ォル ト では、 [Current View] で印刷されます。

[Print Signal Names]

信号名を、 各ページに印刷する か、 ま たは 初のページにのみ印刷する かを選択し ます。 [ShowCursor Values] がオンになっている場合、 この値が X/O カーソル値の表示にも影響し ます。

[X/O Cursor Values]

X/O カーソ ル値を印刷に含め るかど う か も選択でき ます。 X/O カーソル値を表示し て印刷する場

合、 [Print Signal Names] の設定によ って、 全ページに表示されるか、 初のページだけに表示さ

れるかが決ま り ます。

[Footer]

[Show Footer] をオンにする と、 各ページの下部にフ ッ ターを含める こ と ができ ます。 フ ッ ターに

は、 ChipScope Pro Analyzer のプロジェ ク ト 名、 波形設定、 印刷設定、 およびページ番号など、 役

立つ情報が表示されます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 61UG029 (v13.4) 2012 年 1 月 18 日

第 4 章 : ChipScope Pro Analyzer の使用

ナビゲーシ ョ ン ボタ ン

[Print Wizard (1 of 3)] ウ ィ ン ド ウの下部のボタ ンで、 次の操作を実行でき ます。

• [Page Setup] : [ページ設定] ウ ィ ン ド ウ を開き ます。

• [Next] : [Print Wizard (2 of 3)] ウ ィ ン ド ウ を開き ます。

• [Cancel] : 印刷をキ ャ ンセルし て [Print Wizard] ウ ィ ン ド ウ を閉じ ます。

[Next] を ク リ ッ クする と、 [Print Wizard (2 of 3)] ウ ィ ン ド ウが表示されます。

Print Wizard (2 of 3) ウ ィ ン ド ウ

[Print Wizard (2 of 3)] ウ ィ ン ド ウでは、 印刷する波形のプレ ビ ューが表示されます。

ページ プレビ ュー ボタ ン

次に示すよ う に、 ページ上部のボタ ンで印刷する波形をプレ ビ ューし ます。

• [<<] および [>>] で、 プレ ビ ュー ページの先頭ページ、 終ページにそれぞれ移動し ます。

• [<] および [>] で、 前のページ、 次のページにそれぞれ移動し ます。

• 中央のテキ ス ト ボ ッ ク スでは、 指定し たページのプレ ビ ューに移動し ます。

ナビゲーシ ョ ン ボタ ン

[Print Wizard (2 of 3)] ウ ィ ン ド ウの下部のボタ ンで、 次の操作を実行でき ます。

• [Back] : [Print Wizard (1 of 3)] ウ ィ ン ド ウに戻 り ます。

• [Send to PDF] : [Print Wizard (3 of 3)] ウ ィ ン ド ウ を開き、 PDF フ ァ イル と し て印刷し ます。

• [Send to Printer] : [Print Wizard (3 of 3) ウ ィ ン ド ウ] を開き、 プ リ ン ターに送信し ます。

• [Close] : 印刷をキ ャ ンセルし て [Print Wizard] ウ ィ ン ド ウ を閉じ ます。

バスの展開表示と非表示

印刷プレ ビ ューで、 バス を展開表示し た り 非表示し た り し て、 波形の操作ができ ます。 た と えば、

バス を展開表示する と、 そのページの信号やバスが次のページに移動し て、 ページ上部の印刷プレ

ビ ューの合計ページ数が変わ り ます。

Print Wizard (3 of 3) ウ ィ ン ド ウ

[Print Wizard (2 of 3)] ウ ィ ン ド ウで、[Send to PDF] を ク リ ッ クする と、[Print Wizard (3 of 3): PDF] の確認ウ ィ ン ド ウが表示されます。[Yes] を ク リ ッ クする と、波形が PDF フ ァ イルに印刷され、 [No] を ク リ ッ クする と、 [Print Wizard (2 of 3)] ウ ィ ン ド ウに戻 り ます。 [Change File] を ク リ ッ クする

と、 フ ァ イルのブラ ウザー ウ ィ ン ド ウが起動し、 PDF フ ァ イルを新規作成し た り 選択でき ます。

[Print Wizard (2 of 3)] ウ ィ ン ド ウで、 [Send to Printer] を ク リ ッ クする と、 [Print Wizard (3 of 3): Printer] の確認ウ ィ ン ド ウに移動し ます。 [はい] を ク リ ッ クする と波形データがプ リ ン ターに送信

され、 [いいえ] を ク リ ッ クする と、 [Print Wizard (2 of 3)] ウ ィ ン ド ウに戻 り ます。

ページ設定

[ページ設定] ウ ィ ン ド ウ は、 [Print Wizard (1 of 3)] ウ ィ ン ド ウ ま たは [File] → [Page Setup] メ

ニ ューから開 く こ と ができ ます。

注記 : ChipScope Pro Analyzer では、デフ ォル ト のシステム プ リ ン ターでのみ印刷でき ます。印刷

設定ウ ィ ン ド ウでプ リ ン ターを変更し て も反映されません。プ リ ン ターを変更するには、ChipScope

62 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer の機能

Pro Analyzer プロ グ ラ ムを終了し、 デフ ォル ト のシステム プ リ ン ターを変更し てから ChipScope Pro Analyzer を再起動し ます。

信号名のイ ンポー ト

プロ ジェ ク ト の開始時は、 各コ アの信号名はすべて一般名称です。 信号名は 57 ページの 「信号名、

バス名、 ト リ ガー ポー ト 名の変更」 に示すよ う にそれぞれ変更するか、 または 1 つまたは複数のコ

アに含まれる名称すべてが含まれてい る フ ァ イ ルを イ ンポー ト し ます。 こ の よ う な フ ァ イ ルは、

CORE Generator™、 ChipScope Pro Core Inserter、 EDK Platform Studio、 System Generator for DSP、 PlanAhead™ ツール、 および FPGA Editor ツールで作成でき ます。 フ ァ イルか ら信号名を

イ ンポー ト するには、 [File] → [Import] を ク リ ッ ク し、 [Signal Import] ダ イ ア ロ グ ボ ッ ク ス を表示

し ます。

[Select New File] を ク リ ッ ク し て、信号名のイ ンポー ト フ ァ イルを選択し ます。 [Open Signal File] ダ イ ア ロ グ ボ ッ ク スでイ ンポー ト フ ァ イルを選択し ます。 フ ァ イルを選択する と、 フ ァ イルに含

まれる コ アのタ イプに従って [Unit/Device] の値が更新されます。 イ ンポー ト フ ァ イルに複数のコ

アの信号名が含まれる場合、 ChipScope Pro キ ャプチャ コ アのみを含むデバイ スすべてのデバイ ス

番号がコ ンボ ボ ッ ク スに表示されます。

イ ンポー ト フ ァ イルに 1 つのコ アのみの信号名が含まれる場合、 信号名のイ ンポー ト フ ァ イルで

指定し た タ イプに合致する コ ア名がコ ンボ ボ ッ ク スに表示されます。

信号名を イ ンポー ト するには、 [OK] を ク リ ッ ク し ます。 フ ァ イルのパラ メ ーターがターゲ ッ ト コ

アのパラ メ ーターと異なる場合は、 警告 メ ッ セージが表示されます。 続行する場合は、 信号名はコ

アに規定通 り に適用されます。

データのエクスポー ト

ILA コ アを使用し てキ ャプチャ し たデータ を フ ァ イルにエク スポー ト し、 後から表示や編集ができ

ます。 データ をエク スポー ト するには、 [File] → [Export] を ク リ ッ ク し、 [Export Signals] ダ イ ア ロ

グ ボ ッ ク ス を表示し ます。

エキ スポー ト には、 3 つのフ ォーマ ッ ト 、 [VCD] (value change dump) フ ォーマ ッ ト 、 タブ区切 り

の [ASCII] フ ォーマ ッ ト 、アジレ ン ト テ ク ノ ロ ジー社の [FBDF] (Fast Binary Data Format) があ り

ます。使用する フ ォーマ ッ ト のラ ジオ ボタ ンをオンにし ます。 [Core] ボ ッ ク スからエク スポー ト す

る コ アを選択し ます。

別の信号やバスのセ ッ ト もエク スポー ト でき ます。 [Signals to Export] の選択肢は次の通 り です。

• [All Signals/Buses] : 特定コ アの信号およびバス

• [Waveform Signals/Buses] : [Waveform] ビ ューに表示されている信号と バス

• [Listing Signals/Buses] : [Listing] ビ ューに表示されている信号 とバス

• [Bus Plot Buses] : コ アの [Bus Plot] ビ ューに含まれる信号と バス

信号をエク スポー ト するには、 [Export] を ク リ ッ ク し、 表示される ダ イ ア ロ グ ボ ッ ク スでターゲ ッ

ト デ ィ レ ク ト リ と フ ァ イル名を指定し ます。

ChipScope Pro Analyzer を閉じ る、 または終了する

[File] → [Exit] を ク リ ッ ク し て ChipScope Pro Analyzer を終了し ます。 終了時には、 作業中のプロ

ジェ ク ト が自動的に保存されます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 63UG029 (v13.4) 2012 年 1 月 18 日

第 4 章 : ChipScope Pro Analyzer の使用

オプシ ョ ンの確認

ChipScope Pro Analyzer ウ ィ ン ド ウ左側ペイ ンおよび下部の [Message] ペイ ンは、 表示/非表示を

切 り 替え る こ と ができ ます。 デフ ォル ト では、 いずれのウ ィ ン ド ウ も初回起動時に表示されます。

プ ロ ジ ェ ク ト ツ リ ー /信号ブ ラ ウザー ペイ ン を非表示にする には、 [View] → [Project Tree] を ク

リ ッ ク し ます。 [Message] ペイ ンを非表示にするには、 [View] → [Messages] を ク リ ッ ク し ます。

サーバー ホス ト の接続設定

ChipScope Pro Analyzer ク ラ イ アン ト の GUI アプ リ ケーシ ョ ンを実行するには、 ローカルまたは

リ モー ト システムで動作中の ChipScope Pro Analyzer サーバー アプ リ ケーシ ョ ンに接続する必要

があ り ます。 [JTAG Chain] → [Server Host Setting] を ク リ ッ ク し、 サーバーを設定するダ イ ア ロ グ

ボ ッ ク スを表示し ます。

ローカル モード で作業する場合、 [Server] は常に 「localhost:<port>」 に設定する必要があ り

ます。 <port> には、 未使用の TCP/IP ポー ト 番号を入力でき ます。 デフ ォル ト の <port> 番号は

50001 です。 ローカル モード では、 パス ワード の設定は不要です。

注記 : ローカル モード では、 サーバーが自動的に起動し ます。

リ モー ト モード で作業する場合、 [Server] に IP ア ド レ ス (または適切なシステム名) およびポー ト

番号を 「192.168.0.1:50001」 ( ま たは 「servername:50001」 ) の形式で設定す る 必要があ り ます。

[Password] には、リ モー ト システムでサーバー起動時に使用し たパス ワード を入力する必要があ り

ます。 リ モー ト モード では、JTAG ダウ ン ロード ケーブルで接続を開始する まで実際の接続が確立

されません。

注記 : 55 ページの 「ChipScope Pro Analyzer のサーバー イ ン ターフ ェ イ ス」 で説明し た とお り 、 リ

モー ト モード ではサーバーを手動で起動する必要があ り ます。

パラ レル ケーブルで接続する

パラ レル ケーブルで接続するには、ケーブルが PC のパラ レル ポー ト に接続されているかど う かを

確認し ます。 [JTAG Chain] → [Xilinx Parallel Cable] を ク リ ッ ク し、 パラ レル ケーブルを選択する

ダ イ ア ロ グ ボ ッ ク ス を表示 し ます。 [Xilinx Parallel III] ま たは [Xilinx Parallel IV] 選択す る か、

[Auto Detect Cable Type] を選択し てケーブルを自動検出し ます。

[Xilinx Parallel IV] または [Auto Detect Cable Type] を選択し た場合、 ケーブルの速度に 10MHz、

5MHz (デフ ォル ト )、 2.5MHz、 1.25MHz、 625kHz のいずれかを選択でき ます。 その場合は、 被試

験ボー ド で も適切な速度を選択し ます。 次に [Port] でプ リ ン ター ポー ト 名を選択し (通常はデ

フ ォル ト の LPT1 が適切) 、 [了解] を ク リ ッ ク し ます。 その後、 ChipScope Pro Analyzer で バウ ン

ダ リ スキ ャ ン チェーンの構成が確認されます (67 ページの 「バウ ンダ リ ス キ ャ ン (JTAG) チェー

ンの設定」 を参照)。

「Failed to Open Communication Port」 と い う エラー メ ッ セージが表示された場合は、 ケーブルが

LPT ポー ト に接続されているかど う かを確認し ます。 パラ レル ケーブル ド ラ イバーを イ ン ス ト ー

ルし ていない場合は、ChipScope Pro ツールのイ ンス ト ール プロ グ ラ ム手順に従って、デバイ ス ド

ラ イバー ソ フ ト ウ ェ アを イ ンス ト ールし て く だ さい。

プ ラ ッ ト フ ォーム ケーブル USB で接続する

プラ ッ ト フ ォーム USB で接続するには、 ケーブルがコ ンピ ューターの USB ポー ト に接続されて

いるかど う かを確認し ます。 [JTAG Chain]→ [XilinxPlatform USB Cable] を ク リ ッ ク し、 プラ ッ ト

フ ォーム ケーブル USB を設定するダ イ ア ロ グ ボ ッ ク ス を表示し ます。

64 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer の機能

USB ポー ト の選択

ChipScope Pro Analyzer ツールでは、接続し ているサーバー マシンに接続されているザイ リ ン ク ス

プ ラ ッ ト フ ォーム ケーブル USB JTAG ケーブルすべてが [Port] ボ ッ ク ス に自動的に表示さ れま

す。 [Port] には、 選択可能なUSB ポー ト が USB2<n> と い う 形式で表示されます。 <n> は 1 ~ 127 の整数値で、 デフ ォル ト のポー ト は USB21 に設定さ れています。 USB ポー ト 番号は、 プ ラ ッ ト

フ ォーム ケーブル USB ダウ ン ロード ケーブルがシステムの USB ポー ト に接続される順番に基づ

いています。 た と えば、 システムに接続されている 初のプラ ッ ト フ ォーム ケーブル USB ダウ ン

ロー ド ケーブルが USB21 に割 り 当て られる場合、 次のケーブルは USB22 に割 り 当て られます。

新しいプラ ッ ト フ ォーム ケーブル USB ダウ ン ロード ケーブルでは、ケーブルから読み出される電

子シ リ アル番号 (ESN) が表示されます。

注記 : 列挙は、システムの電源が投入される と きは保持されない場合があ り ます。また、特定の順番

にケーブルをシステムに接続し ない限 り 、 プラ ッ ト フ ォーム ケーブル USB を識別でき ません。

ケーブルの LED の点滅

選択し たケーブルの LED を点滅させるには、 ダ イ ア ロ グ ボ ッ ク スに含まれている [Blink LED] を

ク リ ッ ク し ます。

ケーブル速度の選択

ケーブルの速度には、 12MHz、 6MHz、 3MHz (デフ ォル ト )、 1.5MHz、 または 750kHz のいずれ

かを使用でき ます。 被試験ボード で も適切な速度を選択し て く ださ い。

Digilent 社製 USB JTAG ケーブルに接続する

TAG-SMT1、 JTAG-HS1 ケーブルなどの Digilent 社製 USB JTAG ケーブルに接続する場合、 コ ン

ピ ューターの USB ポー ト のいずれかにケーブルを接続する必要があ り ます。 次に、 [JTAG Chain] → [Digilent USB JTAG Cable] を ク リ ッ ク し ます。

ケーブル デバイスの選択

ChipScope Pro Analyzer ツールでは、接続し ているサーバー マシンに接続されている Digilent USB JTAG ケーブルすべてが [Device ] ボ ッ ク スに自動的に表示されます。 任意の Digilent USB JTAG ケーブルを リ ス ト から選択でき ます。

ケーブル速度の選択

ケーブル デバ イ ス を選択し た ら、 その選択し たケーブルでサポー ト さ れる TCK 速度が [Speed] ボ ッ ク スに表示されます。 任意の速度を リ ス ト から選択でき ます。

注記 : 通常、 ケーブルの TCK 大速度は被試験ボード が実行可能な速度に制限されます。 場合に

よ ってはケーブル自体の 大速度をはるかに下回る可能性があ り ます。

プ ラ ッ ト フ ォーム ケーブル USB 接続の複数使用

ChipScope Pro Analyzer で複数のケーブルを使用するには、 次の 3 つが必要です。

• 1 つのマシンに接続されている複数のザイ リ ン ク ス JTAG ケーブル

• 1 つのマシンで実行されている cs_server アプ リ ケーシ ョ ンの複数イ ン ス タ ン ス (それぞれが

異なるポー ト と通信)

• 同じマシンまたは リ モー ト サーバー機能を使用し て別のマシンで実行されている ChipScope Pro Analyzer の複数イ ンス タ ンス

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 65UG029 (v13.4) 2012 年 1 月 18 日

第 4 章 : ChipScope Pro Analyzer の使用

1 つのマシンに複数のザイ リ ン クス JTAG ケーブルを接続する

同じマシンに接続されている複数の JTAG ケーブル と通信するには、複数のプラ ッ ト フ ォーム ケー

ブル USB、 パラ レル ケーブル III、 またはパラ レル ケーブル IV をマシンに接続でき る こ と を確認

する必要があ り ます。 プラ ッ ト フ ォーム ケーブル USB では、 必要なケーブル数に応じ て 1 つまた

は複数の USB ハブを使用する必要があ る場合があ り ます。 パラ レル ケーブル III、 またはパラ レル

ケーブル IV では、 1 つまたは複数のパラ レル ポー ト 拡張カード が必要になる場合があ り ます。

注記 : 現時点では、 特定の物理的プラ ッ ト フ ォーム ケーブル USB と列挙が関連付け られていませ

ん。 こ のため、 マシンを再起動する と、 列挙と物理ケーブルの関連付けが異なる結果になる場合が

あ り ます。 すべてのケーブルの接続を解除し、 任意の列挙順に接続し直すと、 こ の問題を回避でき

ます。

cs_server の複数イ ンス タ ンスを使用できるよ う に ChipScope Pro Analyzer を設定する

複数のケーブルを使用でき る よ う に設定するには、 まず同じマシン上で cs_server.exe (Windows ア

プ リ ケーシ ョ ン) または cs_server.sh (Linux アプ リ ケーシ ョ ン) の複数イ ン ス タ ン ス を別々のポー

ト を使用し て起動し ます。 次は、 Linux で異なる 2 つのポー ト を使用し て 2 つのサーバーを起動す

る例です。

# cs_server.sh -port 50001# cs_server.sh -port 50002

ChipScope Pro Analyzerの複数イ ンス タ ンスを開始/設定する

複数の ChipScope Pro Analyzerク ラ イエン ト イ ンス タ ンス を起動、設定し ます (表 4-2)。ChipScope Pro Analyzer のイ ンス タ ンスはそれぞれ異なる cs_server と USB ポー ト に接続し ます。

JTAG チ ェーン プ ラグイ ンに接続する

ChipScope Pro Analyzer では、 [JTAG Chain] → [Open Plugin] コマン ド を使用して JTAG チェーン

のプラ グ イ ン接続を実行でき ます。各 JTAG チェーンのプラ グ イ ンには特定のパラ メーターがあ り 、

これらの値は [Open Plug-in] ダ イ アログ ボ ッ ク スの [Plug-in Parameters] に表示されます。プラ グ イ

ン パラ メーターを選択した後に [了解] を ク リ ッ ク し て、 JTAG プラ グ イ ンへの接続を開き ます。

自動コアのステータ スのポーリ ング

コ アを搭載する と、 イ ン ターフ ェ イ ス ケーブルよ り 定期的にコ アでのキ ャプチャのステータ スが確

認 さ れます。 ChipScope Pro Analyzer と ほかのプ ロ グ ラ ムで同時にケーブルを使用する場合は、

ポー リ ング をオフに し た方がいい こ と が よ く あ り ます。 オフにするには、 [JTAG Chain] → [Auto Core Status Poll] でチ ェ ッ ク ボ ッ ク ス を オ フ に し ま す。 オ フ の場合、 [Run] ま た は [Trigger Immediate] を実行し た と きに、 ChipScope Pro Analyzer でコ アのス テータ スは自動的に確認され

ません。

表 4-2 : 複数のク ラ イエン ト イ ンス タ ンスの設定

ChipScope Pro Analyzer

インス タ ンス番号

サーバー ホス ト 設定プ ラ ッ ト フ ォーム ケーブル USB

ポー ト 番号

1 <IP Address>:50001 USB21

2 <IP Address>:50002 USB22

66 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer の機能

オフにする こ と でケーブル と の通信が完全に不可能になるのではな く 、コ アを搭載し た場合に限 り 、

定期的なポー リ ングが実行でき な く な り ます。 ポー リ ングのデ ィ スエーブル後に1 つまたは複数の

コ アを ト リ ガーする と、 [Auto Core Status Poll] オプシ ョ ンをオンにし ない限 り 、 キ ャプチャ バッ

フ ァーがデバイ スから ダウ ン ロード ができず、 データ ビ ューに表示されません。

ターゲッ ト デバイスのコ ン フ ィ ギュ レーシ ョ ン

ChipScope Pro Analyzer ツールは、 複数のターゲ ッ ト デバイ スに使用でき ます。 まず、 バウ ンダ リ

スキ ャ ン チェーンですべてのデバイ ス を設定し ます。

バウンダ リ スキャ ン (JTAG) チ ェーンの設定

ChipScope Pro Analyzer でダ ウ ン ロー ド ケーブル と の通信を確立する と、 バ ウ ン ダ リ ス キ ャ ン

(JTAG) チェーンの構成が自動的に確認されます。 すべてのザイ リ ン ク ス FPGA、 CPLD、 PROM、

お よ び System ACE™ デバ イ ス が自動的に検出 さ れ ま す。 有効な タ ーゲ ッ ト デバ イ ス に対 し

IDCODE 全体を検証で き ます。 チ ェ ーンの構成を確認する には、 [JTAG Chain] → [JTAG Chain Setup] を ク リ ッ ク し ます。ダイ ア ログ ボ ッ ク スに検出されたすべてのデバイ スが順に表示されます。

自動的に検出されないデバイ スは、 コ ア と 確実に通信でき る よ う IR (命令レジス タ) の長さ を指定

する必要があ り ます。 こ の情報は、 デバイ スの BSDL フ ァ イルに記述されています。 USERCODE は、[Read USERCODEs] を ク リ ッ ク し てターゲ ッ ト FPGA デバイ スから読み出すこ と ができ ます。

ChipScope Pro Analyzer ツールでは、デフ ォル ト で JTAG チェーンに接続されたデバイ スのテス ト

ア ク セス ポー ト (TAP) のステータ スが自動的に記録されます。ChipScope Pro Analyzer を System ACE CompactFlash (CF) コ ン ト ローラーやプロセ ッ サのデバッ グ ツールなど、 ほかの JTAG コ ン

ト ローラー と 併用する場合には、 ターゲ ッ ト デバイ スの実際の TAP ス テー ト は、 ChipScope Pro Analyzer の記録コ ピー と は異な り ます。 こ の場合 JTAG ト ラ ンザク シ ョ ン シーケンスの実行前に、

ChipScope Pro Analyzer で TAP コ ン ト ローラーを [Run-Test] や [Idle] などの既知ステー ト にし て

お く 必要があ り ます。 [JTAG Chain Device Order] ダ イ ア ロ グ ボ ッ ク スで [Advanced] を ク リ ッ ク

する と、ダ イ ア ロ グ ボ ッ ク スに JTAG ト ラ ンザク シ ョ ンの開始と終了を制御するパラ メ ーターが表

示されます。 JTAG チェーンをほかの JTAG コ ン ト ローラー と併用する場合は、 [Start transactions in Test-Logic/Reset, End in Run-Test/Idle] を選択し ます。

デバイスのコ ン フ ィ ギュ レーシ ョ ン

ChipScope Pro Analyzer では、 JTAG モー ド に限 り 、 次のダ ウ ン ロー ド ケーブルを使用し て ター

ゲ ッ ト FPGA デバイ スのコ ンフ ィ ギュ レーシ ョ ンができ ます。

JTAG ポー ト 経由でダ ウ ン ロード ケーブルを使用し て ターゲ ッ ト デバイ ス をプロ グ ラ ムする場合

には、 [Device] メ ニ ューか ら コ ンフ ィ ギ ュ レーシ ョ ンするデバイ ス名を選択し、 [Configure] を ク

リ ッ ク し ます。 有効な ターゲ ッ ト デバイ スのみコ ン フ ィ ギ ュ レーシ ョ ン可能 と な り 、 [Configure] オプシ ョ ンが使用でき ます。 プロ ジェ ク ト ツ リ ーのデバイ ス上で右ク リ ッ ク し て も、 [Device] と同

じ メ ニ ューを表示でき ます。

[Configure] を ク リ ッ クする と、 JTAG コ ンフ ィ ギュ レーシ ョ ンを設定する ダ イ ア ロ グ ボ ッ ク スが

表示されます。 こ のダ イ ア ロ グ ボ ッ ク スには、 JTAG デバイ ス コ ンフ ィ ギュ レーシ ョ ン フ ァ イル

を選択するセ ク シ ョ ン と、 デザイ ン レベルの CDC フ ァ イルを選択するセ ク シ ョ ンがあ り ます。 デ

バ イ ス に ダ ウ ン ロ ー ド す る コ ン フ ィ ギ ュ レ ー シ ョ ン フ ァ イ ル を 選択す る には、 [JTAG Configuration] セ ク シ ョ ンで [Select New File] を ク リ ッ ク し ます。 [Open Configuration File] ダ イ

ア ロ グ ボ ッ ク スが開 く ので、 ブラ ウザーか ら ターゲ ッ ト デバイ スのコ ンフ ィ ギ ュ レーシ ョ ンに使

用するデバイ ス コ ンフ ィ ギュ レーシ ョ ン フ ァ イルを選択し ます。 適切な BIT フ ァ イルを選択し た

ら、 [開 く ] を ク リ ッ ク し て元のダ イ ア ロ グ ボ ッ ク ス に戻 り ます。 ま た、 [Clean previous project

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 67UG029 (v13.4) 2012 年 1 月 18 日

第 4 章 : ChipScope Pro Analyzer の使用

setting] をオンにする と、 デバイ ス を コ ン フ ィ ギ ュ レーシ ョ ンする前に既存のプロ ジェ ク ト 設定を

消去する こ と も可能です。

注記 : オンにし た場合、プロジェ ク ト に含まれるすべての信号名、バス、およびその他の設定が削除

されます。 この操作は、 元に戻すこ と ができ ません。

注記 : 適切な BitGen 設定を使用し て生成された BIT フ ァ イルを選択する こ と が重要です。 特に、

BitGen で -g StartupClk:JtagClk オプシ ョ ンが使用される こ と を確認し て く だ さい。

ChipScope Pro Core Inserter または PlanAhead ツールで生成されたデザイ ン レベルの CDC フ ァ イ

ルを選択するには、 [Import Design-level CDC File] をオンにし てから [Select New File] を ク リ ッ

ク し ます。[Open CDC File] ダ イ ア ロ グ ボ ッ ク スが開 く ので、ブラ ウザーから ターゲ ッ ト デバイ ス

で使用する CDC フ ァ イルを選択し ます。 適切な CDC フ ァ イルを選択し た ら、 [開 く ] を ク リ ッ ク

し て元のダ イ ア ロ グ ボ ッ ク スに戻 り ます。 [Auto-create buses] をオンにする と、 CDC フ ァ イルに

含まれる信号名から自動的にバスが作成されます。ChipScope Pro Analyzer では、バス エレ メ ン ト

の識別にアルゴ リ ズムが使用されます。 エレ メ ン ト 番号は信号名の 後に表示され、 ( )、 [ ]、 また

は で囲まれるか、 またはアンダース コ アを挟んで表示されます。

注記 : デザイ ン レベルの CDC フ ァ イルがコ ンフ ィ ギュ レーシ ョ ン BIT フ ァ イル と同じデ ィ レ ク ト

リ にあ る場合、 CDC フ ァ イルが自動的に選択されます。

BIT と オプシ ョ ンの CDC フ ァ イ ルを選択し た ら [OK] を ク リ ッ ク し てデバ イ ス を コ ン フ ィ ギ ュ

レーシ ョ ン し ます。

コ ン フ ィ ギュ レーシ ョ ン進捗状況の確認

デバイ スのコ ンフ ィ ギュ レーシ ョ ン中、ChipScope Pro Analyzer のウ ィ ン ド ウ下部にステータ スが

表示されます。 DONE ステータ スが表示されない場合は、 コ ンフ ィ ギュ レーシ ョ ン中に発生し た問

題を示すダ イ ア ロ グ ボ ッ ク スが表示されます。ダウ ン ロード が正し く 完了し た場合、 ターゲ ッ ト デ

バイ スで ChipScope Pro コ アが自動的に検索され、存在する コ アの数だけプロ ジェ ク ト ツ リ ーが更

新されます。各コ ア ユニ ッ ト にフ ォルダーが 1 つ作成され、 そのユニ ッ ト には下位ノ ード が表示さ

れます。

JTAG ユーザーと ID コー ドの表示

ターゲ ッ ト デバイ スが正し く コ ン フ ィ ギ ュ レーシ ョ ン された こ と を確認する方法の 1 つは、 ター

ゲ ッ ト デバイ スから ユーザー定義の ID コード とデバイ ス ID コード をア ッ プロードする こ と です。

ユーザー定義の ID コー ド は 8桁の 16 進数コード で、 BitGen オプシ ョ ン -g UserID を使用し て設

定でき ます。

特定デバイ スのユーザー定義 ID コード をア ッ プロード し て表示するには、 特定のデバイ ス を右ク

リ ッ ク し て [Device]→ [Show USERCODE] を ク リ ッ ク し ます。特定のデバイ スの固定デバイ ス ID を表示する場合は、 特定のデバイ ス を右ク リ ッ ク し て [Device] → [Show IDCODE] を ク リ ッ ク し

ます。 これらの ク エ リ 結果は、 メ ッ セージ ペイ ンに表示されます。 IDCODE および USERCODE は、 [JTAG Chain] → [JTAG Chain Setup] で表示 さ れ る [JTAG Chain Device Order] ダ イ ア ロ グ

ボ ッ ク スにも表示されます。

コ ン フ ィ ギュ レーシ ョ ン ステータ スの表示

32 ビ ッ ト のコ ンフ ィ ギュ レーシ ョ ン ステータ ス レジス タには、 コ ンフ ィ ギュ レーシ ョ ン ピン と そ

の他の内部信号のステータ スの情報などが含まれています。 コ ンフ ィ ギュ レーシ ョ ンで問題が発生

し た場合は、 ターゲ ッ ト デバイ ス を右ク リ ッ ク し て [Device] → [Show Configuration Status] を ク

リ ッ ク し て メ ッ セージ ペイ ンを確認し ます。

注記 : すべてのターゲ ッ ト デバイ スには、 ステータ ス情報を示す内部レジス タ と し て、 1) コ ンフ ィ

ギュ レーシ ョ ン ステータ ス レジス タ (32 ビ ッ ト ) と 2) JTAG 命令レジス タ (長さはデバイ スによ り

68 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer の機能

異なる) の 2 つがあ り ます。有効な ターゲ ッ ト デバイ スにのみにコ ンフ ィ ギュ レーシ ョ ン ステータ

ス レジス タがあ り ます。すべてのデバイ スに読み出し可能な JTAG 命令レジス タがあ り ますが、 ス

テータ スが表示されるかど う かは、 特定デバイ スのイ ンプ リ メ ンテーシ ョ ンで決定されます。 各コ

ンフ ィ ギュ レーシ ョ ン ステータ ス ビ ッ ト の定義は、 特定の FPGA デバイ スのコ ンフ ィ ギュ レー

シ ョ ンに関する資料を参照し て く ださ い。

デバイ スによ っては、 JTAG 命令レジス タにステータ ス情報も保持されます。 JTAG チェーンに接

続されている どのデバイ スでも [Device] → [Show JTAG Instruction Register] を ク リ ッ ク し て、 そ

の情報を メ ッ セージ ペイ ンに表示でき ます。

[Trigger Setup] ウ ィ ン ド ウ

ILA コ アで ト リ ガーを設定する には、 [Window] → [New Unit Windows] か ら コ アを選択し ます。

コ アのダ イ ア ロ グ ボ ッ ク スが表示され、 [Trigger Setup]、 [Waveform]、 [Listing]、 [Bus Plot] およ

びコ ン ソール ウ ィ ン ド ウ を任意の組み合わせで表示でき ます。こ のダ イ ア ロ グ ボ ッ ク スからはウ ィ

ン ド ウ を閉じ る こ と はでき ません。

ト リ ガーの設定は、 プロ ジェ ク ト ツ リ ーの [Trigger Setup] をダブルク リ ッ クするか、 または右ク

リ ッ ク し て [Open Trigger Setup] を ク リ ッ ク し て も同様に実行でき ます。

各 ILA コ アにはそれぞれ ト リ ガーを設定でき る [Trigger Setup] ウ ィ ン ド ウがあ り ます。 各コ ア内

部の ト リ ガーは、 デザイ ンを コ ンパイルし直さずに実行時に編集でき る機能を備えています。 次に

ト リ ガー機能の 3 つのコ ンポーネン ト の変更方法を説明し ます。

• [Match Functions] : 各比較ユニ ッ ト と の一致または比較を定義し ます。

• [Trigger Conditions] : バイナ リ 式または 1 つあ るいは複数の比較演算子のシーケン スに基づい

て、 全体の ト リ ガー条件を定義し ます。

• [Capture Settings] : キ ャプチャするサンプル数、 ウ ィ ン ド ウ数、 ウ ィ ン ド ウ内の ト リ ガーの位

置を定義し ます。

[Trigger Setup] ウ ィ ン ド ウでは、 各コ ンポーネン ト の表示を展開/非展開でき ます。 展開するには、

ウ ィ ン ド ウ下部のボタ ンを ク リ ッ ク し ます。 非展開するには、 展開し ている コ ンポーネン ト 左側の

ボタ ンを ク リ ッ ク し ます。

[Capture Settings]

[Trigger Setup] ウ ィ ン ド ウの [Capture Settings] で、 ト リ ガー イベン ト を発生させる ウ ィ ン ド ウ数

と、 ウ ィ ン ド ウ中のイベン ト の位置を定義し ます。 ウ ィ ン ド ウ と は、 1 度の ト リ ガー イベン ト を含

む連続し たサンプル シーケン ス を指し ます。 パ ラ メ ーターに無効な数が入力される と、 テキ ス ト

フ ィ ール ド が赤にな り ます。

[Type]

使用する ウ ィ ン ド ウのタ イプを定義し ます。 [Window] を選択し た場合は、 各ウ ィ ン ド ウのサンプ

ル数は 2 のべき乗にする必要があ り ます。 ただし、 ト リ ガーはウ ィ ン ド ウのどの位置にでも設定で

き ます。 [N Samples] を選択し た場合には、 バ ッ フ ァーには ト リ ガーご と に定義し たサンプル数に

対し て可能な限 り のウ ィ ン ド ウ数が含められます。 こ のオプシ ョ ンを選択し た場合、 ト リ ガーは常

にウ ィ ン ド ウの 初のサンプルと な り ます。

[Windows]

[Type] で [Window] を選択し た場合のみ、 このテキス ト フ ィ ール ド が使用でき ます。 こ のフ ィ ール

ド で定義でき る値は、 正の整数 1 ~ キ ャプチャ バッ フ ァーのワード数です。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 69UG029 (v13.4) 2012 年 1 月 18 日

第 4 章 : ChipScope Pro Analyzer の使用

[Depth]

[Type] で [Window] を選択し た場合のみ、 このテキス ト フ ィ ール ド が使用でき ます。 こ のボ ッ ク ス

では、 各キ ャプチャ ウ ィ ン ド ウのワード数を定義し ます。 [Windows] に入力し た値に基づいて、 こ

のボ ッ ク スに選択肢が自動的に含められます。 選択可能な値は、 2 のべき乗数のみです。

注記 : ト リ ガー条件全体で、[Occurring in at least n cycles] または [Lasting for at least n consecutive cycles] が設定されている カ ウ ン ターを含む比較ユニ ッ ト が 1 つでも含まれる場合、 ウ ィ ン ド ウの

ワード数または ト リ ガーご と のサンプル数を 8 以上にする必要があ り ます。 これは、 ILA コ アに含

まれる ト リ ガー ロジ ッ クがパイプラ イ ン化されている ためです。

[Position]

[Type] で [Window] を選択し た場合のみ使用でき ます。 こ のボ ッ ク スでは、 各ウ ィ ン ド ウの ト リ

ガー発生地点を定義し ます。 0 ~ キ ャプチャ バッ フ ァーのワード 数 - 1 の値までの整数を入力でき

ます。

[Samples Per Trigger]

[Type] で [N Samples] を選択し た場合のみ使用でき ます。 こ のボ ッ ク スでは、 ト リ ガー条件が発生

し た後にキ ャプチャするサンプル数を定義し ます。 1 ~ キ ャプチャ バッ フ ァーのワード数 - 1 の

正の整数を入力でき ます。 ト リ ガー マーク は、 ウ ィ ン ド ウに sample 0 と し て常に表示されます。

表示可能なサンプル ウ ィ ン ド ウ数は、 全体のサンプル ワー ド 数を前提 と し て、 キ ャプチャ可能な

限 り の数と な り ます。

注記 : ト リ ガー条件全体で、[Occurring in at least n cycles] または [Lasting for at least n consecutive cycles] が設定されている カ ウ ン ターを含む比較ユニ ッ ト が 1 つでも含まれる場合、 ウ ィ ン ド ウの

ワード数または ト リ ガーご と のサンプル数を 8 以上にする必要があ り ます。 これは、 ILA コ アに含

まれる ト リ ガー ロジ ッ クがパイプラ イ ン化されている ためです。

[Storage Qualification]

ス ト レージ必要条件と は、 コ アの ト リ ガー ポー ト に接続されている比較ユニ ッ ト のコ ンパレータで

検出された イベン ト のブール式の組み合わせです。 こ の条件では、 各々のデータ サンプルのキ ャプ

チャ と 保存の実行を決定する ト リ ガー ポー ト に接続されている比較ユニ ッ ト の イベン ト が評価さ

れます。 ト リ ガー条件と ス ト レージ必要条件を併用し、 キ ャプチャ プロセスの開始と終了、 キ ャプ

チャするデータ をそれぞれ定義でき ます。

[Storage Condition] ダ イ ア ロ グ ボ ッ ク スには、 すべての比較ユニ ッ ト が表示されます。 比較ユニ ッ

ト は 1 行ずつ表示されます。 [Enable] 列には、 比較ユニ ッ ト が ト リ ガー条件の一部かど う かが示さ

れます。 [Negate] 列では、 ト リ ガー条件で比較ユニ ッ ト が個別にネゲー ト (ブール式の NOT) され

るかど う かが示されます。

ス ト レージ必要条件では、 すべてのデータ をキ ャプチャする よ う 設定するか、 またはイ ネーブルに

されているすべての比較ユニ ッ ト をブール式の AND または OR で組み合わせた ものを満たすデー

タのみをキ ャプチャする よ う 設定でき ます。 表の右上も あ る [Negate Whole Equation] を ク リ ッ ク

する と、 全体のブール式をネゲー ト する こ と も でき ます。 終的な関数式は、 ウ ィ ン ド ウ下部の

[Storage Condition Equation] に表示されます。

[Match Functions]

比較演算子では、 1 つの比較ユニ ッ ト に対する ト リ ガー値を定義し ます。 すべての比較演算子は、

[Trigger Setup] ウ ィ ン ド ウの [Match Functions] セ ク シ ョ ンで定義し ます。 [Trigger Conditions] セ

ク シ ョ ンでは、1 つまたは複数の比較演算子をブール式またはシーケン スで定義し、ChipScope Pro コ ア全体の ト リ ガー条件を指定でき ます。

70 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer の機能

[Match Unit]

こ のフ ィ ール ド には、 比較演算子が適用される比較ユニ ッ ト が示されます。 比較ユニ ッ ト 番号横の

ア イ コ ン を ク リ ッ ク (またはフ ィ ール ド をダブル ク リ ッ ク ) し て、 比較ユニ ッ ト を展開する と、 ツ

リ ー構造で各 ト リ ガー ポー ト ビ ッ ト を表示でき ます。 その後、 各ビ ッ ト の値の確認および設定が

でき ます。

[Function]

こ のボ ッ ク スでは、 比較のタ イプを選択し ます。 コ ンパレータは、 比較ユニ ッ ト で使用可能な と き

のみ表示されます。

[Value]

こ のフ ィ ール ド では、比較ユニ ッ ト に適用する ト リ ガー値を選択し ます。 この値は、 [Radix] フ ィ ー

ル ド に基づいて表示されます。 ダブルク リ ッ クする と、 編集でき る よ う にな り ます。 変更する値の

前にカーソルを置いて値を入力する と、 値を上書きでき ます。 または、 フ ィ ール ド を一度ク リ ッ ク

し た後に、 入力し ます。 各種基数で使用可能な文字は、 次の とお り です。

• [Hex] : X、 0 ~ 9、 A ~ F。 X は、 そのニブルの 4 ビ ッ ト すべてが ド ン ト ケア (don’t care) で

あ る こ と を示し ます。 ク エスチ ョ ン マーク (?) は、 1、 0、 X、 R、 F、 および B を組み合わせ

たニブルであ る こ と を示し ます。

• [Octal] : X、 ?、 0 ~ 7。

• [Binary] : X ( ド ン ト ケア)、 0、 1、 R (立ち上が り )、 F (立ち下が り )、 B (いずれかの遷移)、 お

よび N (遷移なし ) です。R、F、B、および N は、比較ユニ ッ ト が遷移 ([Basic w/edges]、[Extended w/edges]、 [Range w/edges]) を検出でき る場合にのみ有効です。

• [Unsigned] :0 ~ 9 (1 つの n ビ ッ ト バスに対し て、 0 ~ 2n-1)

• [Signed] : 0 ~ 9 (1 つの n ビ ッ ト バスに対し て、 -2n-1 ~ 2n-1-1)

[Bin] を基数に選択し た場合、特殊文字にマウ ス ポ イ ン ターを置 く と、ビ ッ ト 名や位置を示し たツー

ルの ヒ ン ト が表示されます。

[Radix]

[Value] に表示する基数を選択し ます。 選択肢は、 [Hex]、 [Octal]、 [Bin]、 [Signed] ([In Range] 比

較および [Out of Range] 比較の場合は使用不可)、 [Unsigned] です。

[Counter]

比較ユニ ッ ト に比較カ ウ ン ターがあ る場合は、 [Counter] 列のテキ ス ト 文字が黒にな り ます。 カ ウ

ン ターがない場合は、 [Counter] 列のテキ ス ト が淡色表示にな り ます。 比較カ ウ ン ターの値を変更

するには、 カ ウ ン ター セルを ク リ ッ ク し、 比較ユニ ッ ト カ ウ ン ターのダ イ ア ロ グ ボ ッ ク ス を表示

させます。

こ のフ ィ ール ド では、 比較演算子イベン ト を何度発生させるか選択し ます。

• [Occurring in exactly n clock cycles] を選択し た場合は、n 回の連続または n 回の断続イベン ト

が比較演算子カ ウ ン ターの条件を満た し ます。

• [Occurring in at least n clock cycles] を選択し た場合は、n 回の連続または n 回の断続イベン ト

が、 比較演算子カ ウ ン ターの条件を満た し、 全体の ト リ ガー条件が満た される までこ の状態を

維持し ます。

• [Occurring for at least n consecutive cycles] を選択し た場合は、 n 回の連続イベン ト が、 比較

演算子カ ウ ン ターの条件を満た し、 全体の ト リ ガー条件が満た されるか、 比較演算子の値が満

た されな く なる までこ の状態を維持し ます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 71UG029 (v13.4) 2012 年 1 月 18 日

第 4 章 : ChipScope Pro Analyzer の使用

注記 : ト リ ガー条件全体で、[Occurring in at least n cycles] または [Lasting for at least n consecutive cycles] が設定されている カ ウ ン ターを含む比較ユニ ッ ト が 1 つでも含まれる場合、 ウ ィ ン ド ウの

ワード数または ト リ ガーご と のサンプル数を 8 以上にする必要があ り ます。 これは、 ILA コ アに含

まれる ト リ ガー ロジ ッ クがパイプラ イ ン化されている ためです。

[Trigger Conditions]

ト リ ガー条件は、 ブール式または 1 つ以上の比較演算子のシーケン スです。 コ アでは、 ト リ ガー条

件に基づいてデータがキ ャプチャ されます。 ト リ ガー条件は、 複数設定でき ます。 ト リ ガー条件を

新規に追加する場合は、 [Add] を ク リ ッ ク し ます。 ト リ ガー条件を削除する場合には、 セルをハイ

ラ イ ト し て、 [Del] を ク リ ッ ク し ます。 1 つのコ アに対し て複数の ト リ ガー条件が定義でき ますが、

1 回に選択 (ア ク テ ィ ブに) でき る ト リ ガー条件は 1 つだけです。

[Active]

[Active] 列には、 現在ア ク テ ィ ブな ト リ ガー条件を示すボタ ンが表示されます。

[Trigger Condition Name]

[Trigger Condition Name] 列には、 特定の ト リ ガー条件の名前が表示さ れます。 デフ ォル ト では、

デフ ォル ト の 「Trigger Condition n」 と表示されます。

[Trigger Condition Equation]

[Trigger Condition Equation] には、 全体の ト リ ガー条件を構成するブール式または比較演算子のス

テー ト シーケンスが表示されます。 デフ ォル ト の ト リ ガー条件は、 存在するすべての比較演算子の

論理 AND (各比較ユニ ッ ト に対 し て 1 つの比較演算子) です。 ト リ ガー条件を変更す る には、

[Condition Equation] を ク リ ッ ク し て、 [Trigger Condition] ダ イ ア ロ グ ボ ッ ク スを表示し ます。

[Trigger Condition] ダイアログ ボ ッ クス

コ ア に ト リ ガーの シー ケ ン ス が含 ま れ る 場合、 [Trigger Condition] ダ イ ア ロ グ ボ ッ ク ス に

[Boolean] と [Sequencer] の 2 つのタブが表示されます。 [Boolean] タブを選択する と、 ト リ ガー条

件 と し て使用可能な比較ユニ ッ ト のブール式を使用でき ます。 [Sequencer] タブを選択する と、 ト

リ ガー条件はス テー ト マシン と な り 、 比較演算子を満たす場合にそれぞれのス テー ト 遷移で ト リ

ガーされます。

[Boolean] タブには、 すべての比較ユニ ッ ト が表形式で表示されます。 比較ユニ ッ ト は 1 行ずつ表

示されます。[Enable] 列には、比較ユニ ッ ト が ト リ ガー条件の一部かど う かが示されます。[Negate] 列では、 ト リ ガー条件で比較ユニ ッ ト が個別にネゲー ト (ブール式の NOT) されるかど う かが示さ

れます。

また、 イ ネーブルにし たすべての比較ユニ ッ ト は、 [AND Equation] または [OR Equation] を選択

し て、ブール式の AND または OR に組み合わせて含める こ と ができ ます。[Negate Whole Equation] をオンにする と、 全体のブール式をネゲー ト する こ と もでき ます。 終的な関数式は、 ウ ィ ン ド ウ

下部の [Trigger Condition Equation] に表示されます。

[Trigger Condition] ウ ィ ン ド ウの [Sequencer] タブでは、 ト リ ガー シーケン スに含める レベル数を

選択する コ ンボ ボ ッ ク ス と 全レベルを示し た表が表示されます。 シーケンサはレベル 1 か ら始ま

り 、 レベル 1 で指定し た比較ユニ ッ ト が満た される と レベル 2 に進みます。 レベル数はコ アのパラ

メ ーターで、 大 16 レベルまで設定でき ます。 各レベルでは、 比較ユニ ッ ト が満た されたかど う

かが確認されます。 特定の比較演算子が存在し ないこ と を確認する場合など、 レベルをネゲー ト す

るには、 [Negate] をオンにし ます。 シーケン スは、 ウ ィ ン ド ウ下部の [Trigger Condition Equation] に表示されます。

72 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer の機能

M0 = M1 = M3 で定義されている ト リ ガー シーケン スは、 比較ユニ ッ ト のイベン ト M0、 M1、 M3 が順に発生する こ と で (こ れら のイベン ト 間に イベン ト が発生し たかど う かに関わ らず) 満たすこ

と ができ ます。 [Use Contiguous Match Events Only] をオンにする と、 M0、 M1、 M3 の順に連続

的に遷移する場合にのみ ト リ ガー シーケンスが満た されます。 つま り 、 M0 の後に M1、 !M1、 M3 に遷移する場合は、 ト リ ガー シーケンスは満た されません。

[Output Enable]

コ アに ト リ ガー出力があ る場合、 [Output Enable] 列を設定でき ます。 この列のボ ッ ク スで、 ILA コ

アの trig_out ポー ト で駆動する信号タ イプを選択し ます。

• [Disabled] : 出力は常に定数 0 です。

• [Pulse (High)] : ロジ ッ ク 1 の 1 ク ロ ッ ク サイ クルのパルスで、 ト リ ガー イベン ト から 10 ク

ロ ッ ク サイ クル後に出力されます。

• [Pulse (Low)] : ロジ ッ ク 0 の 1 ク ロ ッ ク サイ クルのパルスで、 ト リ ガー イベン ト から 10 ク

ロ ッ ク サイ クル後に出力されます。

• [Level (High)] : 出力は、 ト リ ガー イベン ト から 10 サイ クル後に 0 から 1 に遷移し ます。

• [Level (Low)] : 出力は、 ト リ ガー イベン ト から 10 サイ クル後に 1 から 0 に遷移し ます。

ト リ ガー設定の保存と再利用

[Trigger Setup] ウ ィ ン ド ウのすべての情報は、 フ ァ イルに保存し て、 作業中のプロ ジェ ク ト または

ほかのプロ ジェ ク ト で再利用でき ます。 作業中の ト リ ガー設定を保存するには、 [Trigger Setup] →

[Save Trigger Setup] を ク リ ッ ク し、[Save Trigger Setup As file] ダ イ ア ロ グ ボ ッ ク スで任意の場所

に .ctj と い う 拡張子で保存し ます。作業中のプロジェ ク ト に ト リ ガー設定を読み込むには、[Trigger Setup] → [Read trigger Setup] を ク リ ッ ク し、[Read Trigger Setup] ダ イ アロ グ ボ ッ ク スで ト リ ガー

設定フ ァ イル (.ctj) を選択し ます。ト リ ガー設定フ ァ イルを選択し た後に [開 く ] を ク リ ッ クする と、

フ ァ イルに保存されている設定が [Trigger Settings] ウ ィ ン ド ウに読み込まれます。

ト リ ガーの実行と待機

ト リ ガーを設定し た後は、 [Trigger Setup] → [Run] を ク リ ッ ク し て ト リ ガーを作動する状態にし ま

す。 ト リ ガーは、 ト リ ガー条件が満た されるか解除される まで作動する状態のま まに保持されます。

ト リ ガー条件が満た される と、 キ ャプチャ設定に従ってデータがコ アにキ ャプチャ されます。 サン

プル バッ フ ァーがフルになる と、 データのキ ャプチャが停止し ます。 その後、 コ アからデータが読

み込まれ、[Waveform] ウ ィ ン ド ウおよび [Listing] ウ ィ ン ド ウ、またはそのいずれかに表示されます。

ト リ ガーを強制実行するには、 [Trigger Setup] → [Trigger Immediate] を ク リ ッ ク し ます。 これで、

ユニ ッ ト で ト リ ガー条件と ス ト レージ必要条件が無視され、 ト リ ガー位置がサンプル 0 に設定され

ているサンプル ウ ィ ン ド ウ 1 つを使用し て ト リ ガーがすぐに実行されます。 サンプル バ ッ フ ァー

のデータがフルになる と、 ト リ ガーが解除され、 キ ャプチャ されたデータが [Waveform] ウ ィ ン ド

ウおよび [Listing] ウ ィ ン ド ウ、 あ るいはそのいずれかに表示されます。

ト リ ガーの中止と解除

ト リ ガーを解除するには、[Trigger Setup] → [Stop Acquisition] を ク リ ッ ク し ます。[Trigger Setup] → [Run] を続けて ク リ ッ クする と、 ト リ ガーが再設定されます。

注記 : データ取得を中止する と、ア ク テ ィ ブな ト リ ガーが解除され、その時点までにキ ャプチャ され

たデータが失われます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 73UG029 (v13.4) 2012 年 1 月 18 日

第 4 章 : ChipScope Pro Analyzer の使用

ト リ ガー実行モー ド

ChipScope Pro Analyzer ツールでは、 ILA コ アで 3 つの ト リ ガー実行モード がサポー ト されてい

ます。

• [Single]

• [Repetitive]

• [Startup]

ト リ ガー実行モード は、 [Trigger Setup] → [Trigger Run Mode] または [Trigger Run Mode] ツール

バー ボ タ ン ([Trigger Setup] ウ ィ ン ド ウがア ク テ ィ ブな と きのみ) で選択でき ます。

シングル ト リ ガー実行モー ド

シングル ト リ ガー実行モード では、 ILA コ アの ト リ ガーを設定し、[Trigger Setup] ウ ィ ン ド ウでの

指定に従いデータ をキ ャプチャ し、 キ ャプチャ し たデータ をア ッ プロード /表示し て、 停止し ます。

停止後は、 ユーザーが通信する と きのみ、 ト リ ガーが再設定されてプロセスが繰 り 返されます。

反復 ト リ ガー実行モー ド

反復 ト リ ガー実行モード はシングル ト リ ガー実行モード と類似し てますが、 次の点が異な り ます。

反復 ト リ ガー実行モード では、 ト リ ガーが実行されてキ ャプチャ されたデータがア ッ プロード /表示

された後に停止する代わ り に、 ILA コ アの ト リ ガーが自動的に再設定されます。直前の ト リ ガー イ

ベン ト でキ ャプチャ されたデータは、 後続の ト リ ガーが発生し ない限 り 、 継続し てデータ ビ ューア

- ([Waveform]、 [Listing]、 [Bus Plat]) に表示されます。 後続の ト リ ガーが発生し、 データ キ ャプ

チャ バ ッ フ ァーがフルにな る と、 データ ビ ューアーには新し いデータが表示さ れます。 こ のプロ

セスは、 手動で ト リ ガーを停止し ない限 り 繰 り 返されます。

反復 ト リ ガーの記録

各反復 ト リ ガー実行でキ ャ プチ ャ さ れたデータ は、 フ ァ イ ルに記録で き ます。 記録する場合は、

[Trigger Setup] → [Setup Repetitive Trigger Logging] を ク リ ッ ク し てダ イ ア ロ グ ボ ッ ク ス を開き ま

す。 [Browse] ボ タ ン を ク リ ッ ク し て、 ロ グ フ ァ イ ルの保存場所を選択 し ます。 ChipScope Pro Analyzer で生成される各フ ァ イルには、反復 ト リ ガー実行の繰 り 返しに対応する シーケン ス番号が

含まれています。以前に使用し たデータ ロ グ フ ァ イルに上書きする場合は、[Overwrite any existing files] をオンにし ます。

データ ロ グ フ ァ イルのフ ォーマ ッ ト は、 VCD (Value Change Dump)、 ASCII (タブ区切 り )、 また

はア ジ レ ン ト テ ク ノ ロ ジー社の FBDF (Fast Binary Data Format) か ら 選択で き ます。 使用す る

フ ォーマ ッ ト のラ ジオ ボ タ ンをオンにし ます。

[Signals to Export] ボ ッ ク スから エク スポー ト する信号およびバスのセ ッ ト を選択し ます。次に、エ

ク スポー ト 可能な信号を示し ます。

• [All Signals/Buses] : 特定コ アの信号およびバス

• [Waveform Signals/Buses] : [Waveform] ビ ューに表示されている信号と バス

• [Listing Signals/Buses] : [Listing] ビ ューに表示されている信号 とバス

• [Bus Plot Buses] : コ アの [Bus Plot] ビ ューに含まれる信号と バス

シングル ト リ ガー実行モード の信号の記録またはエク スポー ト については、データのエク スポー ト

を参照し て く ださ い。

74 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer の機能

ス ター ト ア ッ プ ト リ ガー実行モー ド

ス ター ト ア ッ プ ト リ ガー実行モー ド を使用する と、 ChipScope Pro Analyzer を使用し な く て も、

FPGA デバイ スの起動後に発生する イベン ト で ト リ ガーする よ う に ILA コ アを設定でき ます。こ の

モード を使用するには、 次の 3 つの手順に従 う 必要があ り ます。

1. ト リ ガー設定を指定し、 ス ター ト ア ッ プ ト リ ガー設定 (CTJ) とデザイ ン制約フ ァ イル (UCF) を保存し ます。

2. こ のス ター ト ア ッ プ ト リ ガー設定とデザイ ン制約フ ァ イルを使用し てデザイ ンを イ ンプ リ メ

ン ト し直し ます。

3. ChipScope Pro Analyzer を ス ター ト ア ッ プ ト リ ガー実行モード で使用し てデバイ ス を コ ン

フ ィ ギュ レーシ ョ ン し、 ト リ ガー発生後にキ ャプチャ されたデータ をア ッ プロードおよび表示

し ます。

ト リ ガー実行モード を使用し た ト リ ガー設定を指定するには、まず [Trigger Run Mode] を [Single] に設定し ます。 比較関数、 ト リ ガー条件、 およびキ ャプチャ を設定し ます。 ト リ ガー設定を指定し

た ら、 [Trigger Setup] → [Save Trigger Startup Files] を ク リ ッ ク し て、 次の必須フ ァ イルを指定し

ます。

• テス ト 中の FPGA デバイ スのデザイ ンに対応する NGD デザイ ンフ ァ イル

Project Navigator を使用し ている場合、NGD デザイ ン フ ァ イルは通常 FPGA デバイ ス を コ ン

フ ィ ギュ レーシ ョ ンするのに使用し た BIT フ ァ イル と同じデ ィ レ ク ト リ にあ り ます。

PlanAhead を使用し ている場合、NGD デザイ ン フ ァ イルはプロ ジェ ク ト デ ィ レ ク ト リ のサブ

デ ィ レ ク ト リ <project>.runs/<implementation run name> にあ り ます。 こ こでの <project> は

デフ ォル ト で project_1、 <implementation run name> は impl_1 を指し ます。

• ト リ ガー設定を含む CTJ フ ァ イル

• 必要な ト リ ガー設定に該当する ILA コ アの初期化設定を含んだ UCF フ ァ イル

注記 : デザイ ンを イ ンプ リ メ ン ト するのに PlanAhead ツールを使用する場合は、 イ ンプ リ メ ンテー

シ ョ ン run デ ィ レ ク ト リ に CTJ または UCF を保存し ないよ う にし て く ださ い。 PlanAhead ツール

では、 デザイ ンの再イ ンプ リ メ ン ト 前に、 こ のデ ィ レ ク ト リ のフ ァ イルがすべて削除されます。

ト リ ガー ス ター ト ア ッ プ フ ァ イルを保存する と、 ChipScope Pro Analyzer で CTJ ト リ ガー設定が

UCF デザイ ン制約に変換されるので、 この制約をデザイ ンに適用する必要があ り ます。 こ の新しい

UCF フ ァ イルをプロ ジェ ク ト に追加し、 Project Navigator か PlanAhead ツールを使用し てデザイ

ンを イ ンプ リ メ ン ト し直す必要があ り ます。

注記 : こ のス ター ト ア ッ プ ト リ ガー UCF フ ァ イルは、元の UCF デザイ ン制約フ ァ イル と は別にプ

ロ ジェ ク ト に追加し てお く 必要があ り ます。 デザイ ンに追加する ス ター ト ア ッ プ ト リ ガー UCF フ ァ イルは、 ILA コ アにつき 1 つのみにし ます。

デザ イ ン を イ ンプ リ メ ン ト し直し、 新し い BIT フ ァ イルを作成し た ら、 ChipScope Pro Analyzer ツールでこの BIT を使用し てデバイ ス を コ ンフ ィ ギュ レーシ ョ ン し ます。ス ター ト ア ッ プ ト リ ガー

条件が発生し たかど う かを確認するには、まず [Trigger Run Mode] を [Startup] に変更し ます。BIT フ ァ イ ルを生成する ために イ ンプ リ メ ン テーシ ョ ン プ ロ セ ス で使用さ れた ス ター ト ア ッ プ ト リ

ガー フ ァ イルを指定する ダ イ ア ロ グボ ッ ク スが表示されます。 ス ター ト ア ッ プ ト リ ガー実行モー

ド の場合、 [Apply Settings and Arm Trigger] および [Stop Acquisition] ツールバー ボタ ンが黄色で

ハイ ラ イ ト され、 [Trigger Immediate] ボタ ンはオフになっています。 [Trigger Setup] ウ ィ ン ド ウ も

オフになってお り 、 BIT フ ァ イルに保存された リ ガー設定と矛盾する変更ができ ないよ う になって

います。

[Run] ツールバー ボタ ンを ク リ ッ クする と、 ILA コ アのステータ スが確認でき ます。 ILA コ アが ト

リ ガーされデータ キ ャプチャ バッ フ ァーがフルになる と、 キ ャプチャ されたデータがア ップロード

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 75UG029 (v13.4) 2012 年 1 月 18 日

第 4 章 : ChipScope Pro Analyzer の使用

および表示されます。ILA コアが ト リ ガーされない場合、 またはデータ キ ャプチャ バッ フ ァーがフ

ルになら ない場合は、 ILA コアのステータ スが ト リ ガー設定ウ ィ ン ド ウの一番下に表示されます。

注記 : ス ター ト ア ッ プ ト リ ガー モード は、FPGA デバイ スがス ター ト ア ッ プ ステー ト から変更され

た直後にのみ設定されます。 ト リ ガーを設定し直す場合は、 デバイ ス を コ ンフ ィ ギュ レーシ ョ ン し

直す必要があ り ます。 ス ター ト ア ッ プ ト リ ガー設定を変更するには、 [Trigger Run Mode] を

[Single] に変更し、 こ のセク シ ョ ンで説明し た手順を繰 り 返す必要があ り ます。

ト リ ガーおよびキャ プチャ ステータ ス

各 ILA コ アの ト リ ガー ロ ジ ッ ク の ス テータ スは、 [Trigger Setup] ウ ィ ン ド ウ下部のス テータ ス

バーに表示されます。 次の情報が表示されます。

• 「Waiting for trigger」( ト リ ガー待機中)、 「Capture started」 (キ ャプチャ開始)、 または 「Slow or stopped clock」 (低速またはク ロ ッ ク の停止) などの ト リ ガー ス テー ト がス テータ ス バー左側

に表示されます。

• キ ャプチャ し たサンプル数を示すキ ャプチャ バッ フ ァー ステー ト も ス タータ ス バー左側に表

示されます。

• 「SINGLE RUN」 (シングル実行)、 「REPETITIVE RUN」 (反復実行)、 または 「IDLE」 (ア イ ド

ル) などの ト リ ガー モード は、 ステータ ス バー右側に表示されます。

ILA コ アの ト リ ガーおよびキ ャプチャ ロ ジ ッ クのステー ト を示すア イ コ ン も表示されます。

• 半分塗 り つぶされた円は、 ト リ ガーと キ ャプチャ ロ ジ ッ ク の片方または両方がア ク テ ィ ブであ

る こ と を示し ます。

• 完全に塗 り つぶされた円は、 キ ャプチャ バッ フ ァーがフルで ト リ ガー ロ ジ ッ ク がア イ ド ルで

あ る こ と を示し ます。

ト リ ガー ス テータ ス ア イ コ ンは、 小化表示 さ れてい る [Trigger Setup] ウ ィ ン ド ウ の タ イ ト ル

バーにも表示されます。 こ の表示は、複数の ILAコ アの ト リ ガー ステータ ス を監視する と きに便利

です。

ト リ ガーおよびキ ャプチャ ステータ ス情報の詳細は、 メ ッ セージ ペイ ンにも表示されます。

[Waveform] ウ ィ ン ド ウ

特定の ILA コ アの波形を表示するには、 [Window] → [New Unit Windows] を ク リ ッ ク し てそのコ

ア を選択 し ます。 その コ ア ユニ ッ ト 向けにダ イ ア ロ グ ボ ッ ク ス が表示 さ れ、 [Trigger Setup]、

[Waveform]、 [Listing]、 [Bus Plot] ウ ィ ン ド ウのすべて またはいずれの組み合わせで も選択し て、

表示でき ます。 このダ イ ア ロ グ ボ ッ ク スからはウ ィ ン ド ウ を閉じ る こ と はでき ません。 プロ ジェ ク

ト ツ リ ーの [Waveform] をダブルク リ ッ クするか、 または右ク リ ッ ク し て [Open Waveform] を ク

リ ッ ク し て も、 波形を表示でき ます。

[Waveform] ウ ィ ン ド ウには、 多 く のロ ジ ッ ク アナラ イザーやシ ミ ュ レータ同様にサンプル バ ッ

フ ァーの波形が表示されます。 [Waveform] ウ ィ ン ド ウでは、 バスの作成、 基数選択、 名前の変更

など、 すべての信号ブラ ウザー操作を実行でき ます。 信号で操作を実行するには、 [Bus/Signal] 列

の信号またはバス を右ク リ ッ ク し ます。

バスおよび信号の並べ替え

バスや信号は [Waveform] ウ ィ ン ド ウで並べ替え る こ と ができ ます。 信号やバス を選択し、 任意の

位置に ド ラ ッ グする と、 [Bus/Signal] 列の移動可能な位置に赤線が表示されます。

注記 : 信号は、 内で移動でき ます。 この場合、 まずバス内の信号を 1 つまたは複数選択し、 Alt + ↑

キーと Alt + ↓ キーを押し ます。

76 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer の機能

信号およびバスの切り取り、 コ ピー、 貼り付け、 削除

信号またはバス上で右ク リ ッ ク し て表示される メ ニ ューから、 信号やバスの切 り 取 り 、 コ ピー、 貼

り 付け、 削除を実行でき ます。 1 つまたは複数の信号とバス、 あ るいはそのいずれかを選択し て右ク

リ ッ ク し、 メ ニューから任意の作業を実行し ます。 この作業は、 Windows のキー操作でも実行でき

ます (Ctrl + X キーで切 り 取 り 、 Ctrl + C キーでコ ピー、 Ctrl + V キーで貼 り 付け、 Del キーで削除)。

表示の拡大と縮小

[Waveform] → [Zoom] → [Zoom In] を ク リ ッ ク し て表示された波形の中央を拡大するか、 波形部

分で右ク リ ッ ク し、 [Zoom] → [Zoom In] を ク リ ッ ク し ます。 波形を縮小表示するには [Waveform] → [Zoom] → [Zoom Out] を ク リ ッ クするか、 波形部分で右ク リ ッ ク し、 [Zoom]→ [Zoom Out] を

ク リ ッ ク し ます。

波形全体を表示するには、 [Waveform] → [Zoom] → [Zoom Fit] を ク リ ッ クするか、 波形部分で右

ク リ ッ ク し、 [Zoom] → [Zoom Fit] を ク リ ッ ク し ます。

波形を部分的に拡大するには、 拡大するエ リ アを ド ラ ッ グ し て四角形で囲み、 ポ ッ プア ッ プ ウ ィ ン

ド ウで [Zoom Area] を ク リ ッ ク て拡大し ます。

X 軸 ま たは O 軸で設定 し た部分を 拡大す る には、 [Waveform] → [Zoom] → [Zoom X] ま たは

[Zoom O] を ク リ ッ クするか、波形部分で右ク リ ッ ク し て [Zoom] → [Zoom X] または [Zoom O] を

ク リ ッ ク し ます。 その他のズーム機能と し て、 直前の拡大倍数で拡大するには、 [Zoom] → [Zoom Previous] を ク リ ッ ク し、その次の拡大倍数で拡大するには [Zoom] → [Zoom Forward] を ク リ ッ ク

し ます。 また、特定のサンプル範囲を拡大するには、 [Zoom] → [Zoom Sample] を ク リ ッ ク し ます。

波形の中央寄せ

特定の地点で波形を中央寄せにするには、 次の 2 つの方法があ り ます。

• [Waveform] → [Go To] → [Go To X Cursor] または [Go To O Cursor] を ク リ ッ ク し て、X マー

カーおよび O マーカーを軸にするか、 [Go To Trigger] から直前の ト リ ガー位置 ([Previous])、

次の ト リ ガー位置 [(Next)] を ク リ ッ ク し ます。

カーソル

波形ウ ィ ン ド ウでは、2 つのカーソル、X および O が使用でき ます。カーソルを挿入する場合には、

波形上で右ク リ ッ ク し、[Place X Cursor] または [Place O Cursor] を ク リ ッ ク し ます。垂直線はカー

ソ ルの位置を示し ます。 また、 その地点の信号やバスのス テータ スは、 X または O 列に示されま

す。 両カーソルの位置と その差異は、 [Waveform] ウ ィ ン ド ウ下部に表示されます。 両カーソルは、

初めはサンプル 0 に配置されています。

カーソルを移動する場合には、 波形の別の位置で右ク リ ッ クするか、 波形フ ォームのヘッ ダーで X または O ラベルのハン ドルを ド ラ ッ グするか、または波形上でカーソルの線そのものを ド ラ ッ グ し

ます。 マウ ス をカーソルに合わせる と、 ド ラ ッ グ ア イ コ ンが表示されます。

サンプルの表示数

波形の横軸に、 サンプル ウ ィ ン ド ウ (デフ ォル ト ) に対するサンプル数、 またはバ ッ フ ァーの総サ

ンプル数が表示さ れます。 各ウ ィ ン ド ウ で 0 か ら サンプル数を表示する には、 右ク リ ッ ク し て メ

ニ ューを表示させ、 [Ruler] → [Sample # in Window] を ク リ ッ ク し ます。 バッ フ ァーの総サンプル

数をサンプル数と し て表示するには、 右ク リ ッ ク し て メ ニューを表示させ、 [Ruler] → [Sample # in Buffer] を ク リ ッ ク し ます。右ク リ ッ ク メ ニューの [Ruler] → [Negative Time/Samples] を ク リ ッ ク

する と、 サンプルの正の範囲と負の範囲を切 り 替え る こ と ができ ます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 77UG029 (v13.4) 2012 年 1 月 18 日

第 4 章 : ChipScope Pro Analyzer の使用

マーカーの表示

ト リ ガーの各地点には、 固定された赤い線が垂直方向に表示されます。 サンプルがキ ャプチャ され

なかった期間を示すウ ィ ン ド ウでは、 ウ ィ ン ド ウ と ウ ィ ン ド ウの間に固定された黒い線が表示され

ます。これら マーカーのいずれかを非表示する場合は、右ク リ ッ ク で メ ニューを表示させ、[Markers]→ [Window Markers] または [Markers] → [Trigger Markers] を ク リ ッ ク し てオフにし ます。

データ キャ プチ ャ タ イム ス タ ンプ

キ ャプチャ されたデータがア ッ プ ロー ド され表示された日付および時間を示すタ イ ム ス タ ンプは

[Waveform] ウ ィ ン ド ウの左下に表示されます。 反復 ト リ ガー実行モー ド を使用する場合は、 ILA コ アが ト リ ガ-されデータがア ッ プロード された回数を示すシーケンス番号も表示されます。

[Listing] ウ ィ ン ド ウ

特定の ILA コ アの [Listing] ウ ィ ン ド ウ を表示するには、 [Window] → [New Unit Windows] を ク

リ ッ ク し てその コ ア を選択 し ます。 その コ ア ユニ ッ ト 向けにダ イ ア ロ グ ボ ッ ク ス が表示 さ れ、

[Trigger Setup]、 [Waveform]、 [Listing]、 [Bus Plot] ウ ィ ン ド ウのすべてまたはいずれの組み合わ

せでも選択し て、 表示でき ます。 このダ イ ア ロ グ ボ ッ ク スからはウ ィ ン ド ウ を閉じ る こ と はでき ま

せん。プロジェ ク ト ツ リ ーの [Listing] をダブルク リ ッ クするか、[Listing] を右ク リ ッ ク し て [Open Listing] を ク リ ッ ク し て も、 [Listing] ウ ィ ン ド ウ を表示でき ます。

[Listing] ウ ィ ン ド ウには、 サンプル バッ フ ァーの値一覧が表形式で表示されます。個々の信号およ

びバスが列に表示されます。 [Listing] ウ ィ ン ド ウでは、 バスの作成、 基数選択、 名前の変更などの

すべての信号ブラ ウザー操作を実行でき ます。 信号で操作を実行するには、 列のヘッ ダーで信号ま

たはバス を右ク リ ッ ク し ます。

バスおよび信号の並べ替え

バスや信号は [Listing] ウ ィ ン ド ウで並べ替え る こ と ができ ます。表の信号あ るいはバスのヘッ ダー

を ク リ ッ ク し、 任意の位置に ド ラ ッ グ し ます。

信号およびバスの削除

信号やバスは、 それぞれ列の任意の位置で右ク リ ッ ク し、 [Remove] を ク リ ッ クする と、 [Listing] ウ ィ ン ド ウから削除でき ます。 [Remove All] を ク リ ッ クする と、 すべての信号およびバスが削除さ

れます。

カーソル

[Listing] ウ ィ ン ド ウで も、 [Waveform] ウ ィ ン ド ウ同様にカーソルを使用でき ます。 カーソルを配

置するには、 [Listing] ウ ィ ン ド ウのデータ部分で右ク リ ッ ク し、 [Place X Cursor] または [Place O Cursor] を ク リ ッ ク し ます。 表には、 カーソル と 同様の色の線が表示されます。 表の任意の位置に

カーソルを移動させる場合には、 前述と同じ要領でマウ ス を任意の位置で右ク リ ッ クするか、 初

の列でカーソルのハン ド ルを右ク リ ッ ク し て任意の位置に ド ラ ッ グ し ます。

Go To カーソル

カーソルの位置まで自動的に [Listing] ウ ィ ン ド ウ を ス ク ロールするには、右ク リ ッ ク し て メ ニ ュー

を表示させ、[Go To] → [Go To X Cursor] または 、[Go To] → [Go To O Cursor] を ク リ ッ ク し ます。

78 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer の機能

[Bus Plot] ウ ィ ン ド ウ

ILA バ ス の特定の セ ッ ト を [Bus Plot] ウ ィ ン ド ウ で確認す る には、 [Window] → [New Unit Windows] を ク リ ッ ク し て適切なコ アを選択し ます。そのコ ア ユニ ッ ト 向けにダ イ ア ロ グ ボ ッ ク ス

が表示され、 [Trigger Setup]、 [Waveform]、 [Listing]、 [Bus Plot] ウ ィ ン ド ウのすべてまたはいず

れの組み合わせでも選択し て、 表示でき ます。 このダ イ ア ロ グ ボ ッ ク スからはウ ィ ン ド ウ を閉じ る

こ と はでき ません。 プロ ジェ ク ト ツ リ ーの [Bus Plot] をダブルク リ ッ クするか、 またはク リ ッ ク し

て [Open Bus Plot] を ク リ ッ ク し て も同様に [Bus Plot] ウ ィ ン ド ウ を表示でき ます。

特定のコ アのバスはいずれも [Bus Plot] ウ ィ ン ド ウで表示でき ます。 [Bus Plot] ウ ィ ン ド ウで、 時

間軸で見たバスの値、 またはバス間の値比較をグ ラ フ化でき ます。

[Plot]

ウ ィ ン ド ウ左上のボタ ンで 2 つのプロ ッ ト タ イプ、 [data vs. time] と [data vs. data] を選択でき ま

す。 [data vs. time] をオンにする と、 任意の数のバス を ま と めて表示でき ます。 オンにし た と きは、

2 つのバス を選択し、 次に従 う 必要があ り ます。

• プロ ッ ト の x 座標 = 特定の時間の片方のバスの値

• y 座標 = 同じ時間のも う 一方のバスの値

バスはそれぞれ基数に基づいて色別表示さ れます。 16 進数、 2 進数、 8 進数、 ト ーク ン、 および

ASCII 基数は、 ス ケール係数が 1.0、 精度が 0 の符号な し 10 進数と し て表示されます。

[Display]

バスのグ ラ フは、 [lines]、 [points]、 [lines & points] で表示でき ます。 選択し た [Display] のタ イプ

は、 表示されるすべてのバスの値に反映されます。

[Bus Selection]

[Bus Selection] で、 座標に示すバス を個別に選択し て波形を表示するか (data vs. time モード )、 ま

たは複数のバス を選択し て比較表示 (data vs. data モード ) でき ます。 各バスの色は、 バス名の隣の

カ ラー ボ ッ ク ス を ク リ ッ クする と変更でき ます。

[Min/Max]

[Min/Max] で、 表示中のバスの軸の 小値および 大値が表示されます。

カーソルの ト ラ ッ キング

[X:] および [Y:] ボ ッ ク スは、 バス グ ラ フの下部にあ り 、 [Bus Plot] ウ ィ ン ド ウ表示中はマウ スの

カーソルの現在の x 座標と y 座標の位置を示し ます。

VIO コ アのコ ン ソール ウ ィ ン ド ウ

VIO コ アの [Console] ウ ィ ン ド ウ を開 く には、 [Window] → [New Unit Windows] を ク リ ッ ク し て

コ アを選択し ます。 そのコ ア ユニ ッ ト 向けにダ イ ア ロ グ ボ ッ ク スが表示されるので、 [Console] を

選択し ます。 こ のダ イ ア ロ グ ボ ッ ク スからはウ ィ ン ド ウ を閉じ る こ と はでき ません。

コ ン ソール ウ ィ ン ド ウは VIO コ ア用です。 特定の VIO コ アのコ ン ソールを開 く には、 プロ ジェ ク

ト ツ リ ーの [VIO Console] をダブルク リ ッ ク し ます。 こ のウ ィ ン ド ウでは、 VIO コ アの入力信号

のステータ スや動作確認および VIO コ アの出力信号のステータ ス を変更でき ます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 79UG029 (v13.4) 2012 年 1 月 18 日

第 4 章 : ChipScope Pro Analyzer の使用

こ のウ ィ ン ド ウでは、 信号またはバス を右ク リ ッ ク し て、 バスの作成、 基数の選択、 名前の変更な

どの信号ブ ラ ウザー操作をすべて実行でき ます。 ウ ィ ン ド ウは、 [Bus/Signal] と [Value] の 2 列で

構成されています。

[Bus/Signal] 列

[Bus/Signal] には、 VIO コ アのバス名および信号名が含まれます。 バスの場合は、 バス を構成する

信号の展開/非展開表示、 または非表示にでき ます。 さ まざまな信号管理に加え、 マウ スの右ク リ ッ

ク でさ らに 2 種類のパラ メ ーター、 [Type] と [Activity Persistence] を設定でき ます。

VIO コ アのバスおよび信号のタ イプ

信号のタ イプによ ってコ ン ソール ウ ィ ン ド ウの [Value] 列での表示方法が異な り ます。VIO 信号の

タ イプに応じ て、 表示される タ イプが異な り ます。

• VIO 入力信号には、 次のよ う な表示タ イプがあ り ます。

• テキ ス ト : ASCII 文字

• LED

- LED は、 赤、 青、 緑から選択

- ア ク テ ィ ブ High または ア ク テ ィ ブ Low のいずれか

• VIO 入力バスの表示タ イプは 1 種類のみ : テキス ト

• VIO 出力信号には、 次のよ う な制御タ イプがあ り ます。

• テキ ス ト : ASCII 形式のテキス ト フ ィ ール ド

• プ ッ シュ ボ タ ン (ア ク テ ィ ブ High か ア ク テ ィ ブ Low のいずれか)

• ト グル ボ タ ン

• パルス列 (同期出力のみ)

• シングル パルス (同期出力のみ)

• VIO 出力バスには 2 種類の制御タ イプがあ り ます。

• テキ ス ト

• パルス列 (同期出力バスのみ)

VIO コ アのバスおよび信号のアク テ ィ ビテ ィ 持続時間

信号の持続時間では、 [Value] に表示させる信号のア ク テ ィ ビテ ィ 時間を示し ます (信号のア ク テ ィ

ビテ ィ については 81 ページの 「[Value] 列」 を参照)。

[Activity Persistence] では次を設定でき ます。

• [Infinite] : ア ク テ ィ ビテ ィ が永久的に表示されます。

• [Long] : サンプル周期 80 回分のア ク テ ィ ビテ ィ が表示されます。

• [Short] : サンプル周期 8 回分のア ク テ ィ ビテ ィ が表示されます。

設定時間を過ぎ る と、 新規のア ク テ ィ ビテ ィ が表示されます。 後のサンプル周期中にア ク テ ィ ビ

テ ィ が発生し なかった場合には、 [Value] にア ク テ ィ ビテ ィ は表示されません。

バスおよび信号の並べ替え

バスや信号は [Waveform] ウ ィ ン ド ウで並べ替え る こ と ができ ます。 信号やバス を選択し、 任意の

位置に ド ラ ッ グする と、 [Bus/Signal] 列の移動可能な位置に赤線が表示されます。

80 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer の機能

信号およびバスの切り取り、 コ ピー、 貼り付け、 削除

マウ ス を右ク リ ッ ク し て メ ニ ューを表示させ、 信号と バスのそれぞれで切 り 取 り 、 コ ピー、 貼 り 付

け、 削除を実行でき ます。 信号またはバスにマウ ス を合わせて右ク リ ッ ク し、 メ ニ ューから任意の

動作を選択するか、 標準の Windows のキーの組み合わせを使用し ます (Ctrl + X キーで切 り 取 り 、

Ctrl + C キーでコ ピー、 Ctrl + V キーで貼 り 付け、 Del キーで削除)。

[Value] 列

[Value] 列には、 コ ン ソール ウ ィ ン ド ウに含まれる各信号の現在値が表示されます。 VIO コ アの入

力では、 これらのセルは編集でき ません。 また、バスは選択し た基数に基づいて表示されます。VIOコ アの入力値は、 [JTAG Scan Rate] の選択に従い定期的に更新されます。 VIO コ アの各入力では、

信号の現在値の変化によ って、 前回の入力確認後のア ク テ ィ ビテ ィ 情報がキ ャプチャ されます。 高

速デザイ ンの場合には、 信号が 0 の と きにサンプ リ ング され、 0 から 1 に遷移し、 再びサンプ リ ン

グが実行される前に信号が 0 に戻る こ と が考え られます。

同期入力の場合には、 デザイ ン ク ロ ッ クに対し てア ク テ ィ ビテ ィ が検知され、 グ リ ッチを検知する

際に有効です。 0 から 1 への遷移が検出された場合、 値と共に上向きの矢印が表示されます。 また、

1 から 0 への遷移が検出された場合には、 下向きの矢印が表示されます。 その両方を検知する と、

両方向矢印が表示されます。 表に示されたア ク テ ィ ビテ ィ の時間を、 信号の持続時間 と 呼びます。

持続時間は、 右ク リ ッ クで表示される [Activity Persistence] メ ニューから、 個別に選択する こ と も

でき ます。

注記 : ア ク テ ィ ビテ ィ を示す矢印は、 同期の場合は黒、 非同期の場合は赤で示されます。

VIO 信号やバスの値のタ イプは、 それぞれ右ク リ ッ ク し、 [Type] から選択でき ます。

[Text Field]

[Type] に [Text Field] を選択し た場合は、 次の文字のみを使用し て入力し ます。

• 信号およびバイナ リ バスには 0 または 1

• 16 進数のバスには 0 ~ 9 および A ~ F

• 8 進数のバスには 0 ~ 7

• 有効な符号付き または符号なしの整数

[Push Button]

[Type] に [Push Button] を選択し た場合は、PCB の実際のプ ッ シュ ボタ ンがシ ミ ュ レーシ ョ ン され

ます。 ア ク テ ィ ブ High の と きに 0、 ア ク テ ィ ブ Low の と きに 1 が押されない と、 無効な値が設定

されます。 ボタ ンが押されている間は、 VIO コ アから有効な値が出力されます。

[Toggle Button]

[Type] に [Toggle Button] を選択し た場合は、 ク リ ッ ク ご と に 1 や 0 に変換でき ます。

[Pulse Train] (同期出力のみ)

[Type] に [Pulse Train] を選択し た場合は、 同期出力を制御でき ます。 パルス列は 1 および 0 で構

成された 16 サ イ クル列で、 ユーザーが定義し ます。 パルス列を変更するには、 [Edit] を ク リ ッ ク

し、[Pulse Train] ダ イ ア ロ グ ボ ッ ク ス を表示し ます。パルス列の各サイ クルご と にテキス ト フ ィ ー

ル ド が 1 つあ り ます。 テキ ス ト フ ィ ール ド は、 前のバス または信号の値に基づいて、 デフ ォル ト で

割 り 当て られます。 バスの場合には、 こ のフ ィ ール ド は常にバイナ リ で表示され、 個別の信号を明

示的に制御し ます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 81UG029 (v13.4) 2012 年 1 月 18 日

第 4 章 : ChipScope Pro Analyzer の使用

[Run] を ク リ ッ クする と、 パルス列が一度に実行されます。 これによ り 、 デザイ ン ク ロ ッ ク に対す

る出力制御の精度が高ま り ます。

[Single Pulse] (同期出力のみ)

[Signal Pulse] は、 特殊なプ ッ シ ュ ボ タ ンです。 ボタ ンが押される と、 コ アがその間一定の有効な

値を 1 つ駆動する代わ り に High サイ クルを 1 つ含むパルス列が一度だけ実行されます。

VIO コ ア用のメ ニューと ツールバー

VIO コ ン ソールの使用中は、 VIO コ ア専用 メ ニ ューおよびツールバーを使用し て、 必要に応じ て

VIO コ アの入出力動作を変更でき ます。 ツールバーの左から右方向へ順に説明し ます。

[JTAG Scan Rate]

VIO コ アの入力を読み出す際の [JTAG Scan Rate] は、 プルダウ ン リ ス ト で選択でき ます。 デフ ォ

ル ト のスキ ャ ン レー ト は 250ms です。 サンプ リ ング周期には、 [500ms]、 [1s]、 [2 s]、 [5 s]、 また

は [Manual Scan] も設定でき ます。 [Manual Scan] を選択し た場合、 [Sample Once (S!)] を使用で

き ます。 VIO コ アの入力を読み出すだけの場合は、 ツールバーで [Sample Once (S!)] を ク リ ッ クす

るか、 または [VIO] → [Sample Once] を ク リ ッ ク し ます。

[Update Static Outputs]

デフ ォル ト では、 VIO コ アの出力のいずれかを変更する と、 その出力設定情報がすぐに VIO コ ア

に送信されます。パルス列以外のすべての出力を一度に更新するには、ツールバーで [Update Static Outputs (U!)] を ク リ ッ クするか、 または [VIO] → [Update Static Outputs] を ク リ ッ ク し ます。

[Reset All Outputs]

すべての出力をデフ ォル ト 設定に リ セ ッ ト する (テキ ス ト フ ィ ール ド と ト グル ボタ ンは 0、 パルス

列はすべて 0 ) には、 ツールバーで [Reset All Outputs] を ク リ ッ ク す る か、 [VIO] → [Reset All Outputs] を ク リ ッ ク し ます。

[Clear All Activity]

VIO コ アのすべての入力のア ク テ ィ ビ テ ィ 表示を リ セ ッ ト する場合は、 ツールバーで [Clear All Activity] を ク リ ッ クするか、 または [VIO] → [Clear All Activity] を ク リ ッ ク し ます。 設定し てあ

る持続時間にかかわらず、 すべての入力のア ク テ ィ ビテ ィ が リ セ ッ ト されます。

システム モニ ター

Virtex®-5、 Virtex-6、 Virtex-7、 Kintex™-7 FPGA デバイ ス、 および Zynq™-7000 EPP デバイ ス

には、Xilinx Analog-to-Digital Converter (XADC) と呼ばれるシステム モニ ター機能が含まれてい

ます。 XADC は、 多数のオンチ ッ プ センサー と組み合わせる と、 オンチ ッ プ電源電圧およびチ ッ

プ温度などの FPGA の物理的な動作パラ メ ーターを計測でき ます。詳細は、 次の資料を参照し て く

ださ い。

• 『Virtex-5 FPGA システム モニ ター ユーザー ガ イ ド』 [213 ページの リ フ ァ レ ン ス 22 を参照]

• 『Virtex-6 FPGA システム モニ ター ユーザー ガ イ ド』 [213 ページの リ フ ァ レ ン ス 23 を参照]

• 『7 シ リ ーズ FPGA の XADC 12 ビ ッ ト 1MSPS デュ アル アナロ グ - デジタル コ ンバーター』

(UG480) [213 ページの リ フ ァ レ ンス 13 を参照]

ChipScope Pro Analyzer では、 システム モニ ター プ リ ミ テ ィ ブのオンチ ッ プ電圧および温度セン

サーに JTAG を介し て リ アル タ イ ムでア ク セスでき ます。すべてのオンチ ッ プ センサーは、FPGA デバイ スが有効なビ ッ ト ス ト リ ームでコ ンフ ィ ギュ レーシ ョ ン される前後で使用でき ます。 システ

82 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer の機能

ム モニ ターの機能を使用するのに、 デザイ ンにシステム モニ ター プ リ ミ テ ィ ブ ブロ ッ ク を イ ン ス

タ ンシエー ト する必要はあ り ません。 ただし、 FPGA デバイ スのシステム モニ ター特有ピンがシス

テム ボード に正し く 接続されている必要があ り ます。

ChipScope Pro Analyzer のプロ ジェ ク ト ツ リ ーでは、 JTAG チェーンに含まれる FPGA デバイ ス

に [System Monitor] ノ ー ド が表示 さ れます。 こ の ノ ー ド を右 ク リ ッ ク す る と、 [System Monitor Console] ビ ューアーを表示するオプシ ョ ンが表示されます。 左ク リ ッ クする と、 信号ブラ ウザーの

さ まざまなセンサーが表示されます。 信号ブラ ウザでセンサー名や表示単位を変更でき ます。

[System Monitor Console]

各システム モニ ター センサーの値は、 [System Monitor Console] の [History] 列に表示するか、 ま

たはロ グ フ ァ イルに書き出すこ と ができ ます。 各センサーで次の値を表示でき ます。

• システム モニ ターのセンサーから直接読み出される現在の値

• システム モニ ターのセンサー ピーク検出器によ り 直接読み出されるデバイ スの 小/ 大値

• JTAG ケーブル接続を開いた と き、 または 後にシステム モニ ターを リ セ ッ ト し た と きから

ChipScope Pro Analyzer で収集されたすべてのセンサー値から派生する サンプ リ ング 大/

小値

• JTAG ケーブル接続を開いた と き、 または 後にシステム モニ ターを リ セ ッ ト し た と きから

ChipScope Pro Analyzer で収集さ れたすべてのセンサー値のス ラ イデ ィ ング ウ ィ ン ド ウか ら

算出される ウ ィ ン ド ウ ご と の平均/ 大/ 小値

[System Monitor Console] で算出されるサンプ リ ング値またはウ ィ ン ド ウ ご と の値は、 ツールバー

の [Reset] を ク リ ッ クする と リ セ ッ ト でき ます。

注記 : システム モニターで有効なセンサー データがレポー ト されない場合は、 [System Monitor Console] に「Invalid Data」と い う メ ッ セージが表示されます。

[System Monitor Console] のツールバー

[System Monitor Console] のツールバーおよび右ク リ ッ ク メ ニューを使用する と、[System Monitor Console] をカス タマイ ズし た り 通信でき ます。

[JTAG Scan Rate]

システム モニ ター センサーのデータ を読み出す際の [JTAG Scan Rate] は、プルダウ ン リ ス ト で選

択でき ます。 デフ ォル ト 値は [1 s] ですが、 [2 s]、 [5 s]、 [10 s]、 [30 s]、 [1 min]、 または [Manual Scan] も設定でき ます。 [Manual Scan] を選択し た場合、 [Sample Once (S!)] を使用でき ます。 シス

テム モニ ターのデータ を読み出す場合は、 ツールバーの [Sample Once] を ク リ ッ クするか、 または

[System Monitor] → [Sample Once]を ク リ ッ ク し ます。

[Window Depth]

[System Monitor] ビ ューアーでのス ラ イ ンデ ィ ング ウ ィ ン ド ウの計算で使用さ れる ウ ィ ン ド ウの

深 さ は、 ツ ールバーの [Windows Depth] ボ ッ ク ス に入力す る か、 ま た は [System Monitor] →

[Window Depth] か ら設定でき ます。 サンプ リ ング ウ ィ ン ド ウ の深さ は、 2、 4、 8、 16、 32、 64、

128 サンプルに設定でき ます。

[External Input]

System Monitor コ ンポーネン ト では、外部センサーの電圧レベルが監視されます。 [External Input] を使用する と、 どの外部センサー入力でも一度に 1 つずつ確認でき ます。 有効な外部入力には、次

が含まれています。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 83UG029 (v13.4) 2012 年 1 月 18 日

第 4 章 : ChipScope Pro Analyzer の使用

• 16 個のユーザー定義 VAUXP/VAUXN 外部センサーのいずれか

• V_P/V_N 専用外部センサー

• V_REFP 参照電圧入力

• V_REFN 参照電圧入力

外部入力の表示をデ ィ スエーブルにするには [No Input] を選択し ます (デフ ォル ト )。

注記 : 7 シ リ ーズ FPGA の XADC ブロ ッ ク には、 外部入力選択で選択可能なオンチッ プ ブロ ッ ク

メ モ リ 電圧センサー (VCCBRAM) が含まれています。

リ セ ッ ト

ク リ ッ クする と、 [System Monitor Console] の表示が リ セ ッ ト されます。

[Enable Logging]

ツールバーの [Enable Logging] ボタ ンおよび [System Monitor] → [Enable Logging] メ ニ ュー コマ

ン ド では、 オフ ラ イ ン解析で使用する シス テム モニ ター センサーのデータ をテキ ス ト フ ァ イルに

保存する フ ァ イル記録機能を使用でき ます。

システム モニ ターのデータ記録

[System Monitor] → [Setup Logging] を ク リ ッ クする と、 ダ イ ア ロ グ ボ ッ ク スが表示されます。 こ

のダ イ ア ロ グ ボ ッ ク ス を使用し て記録機能の設定をカス タマイ ズ し ます。

[Log File]

[Browse] ボタ ンを ク リ ッ ク し て、 システム モニ ターのロ グ フ ァ イルの保存場所を選択し ます。 デ

フ ォ ル ト の保存場所は、 < CHIPSCOPE_INSTALL>/bin/<PLATFORM>/system_monitor.log

で、 <CHIPSCOPE_INSTALL> はイ ン ス ト ール デ ィ レ ク ト リ 、 <PLATFORM> はオペレーシングシス

テムのプラ ッ ト フ ォーム (nt、 nt64、 lin、 lin64、 または sol) を指し ます。

[Log File Format]

システム モニ ターのロ グ フ ァ イルはテキ ス ト フ ァ イルで、 マシン処理向け CSV (カンマ区切 り ) フ ァ イル可読形式のフ ァ イルの 2 種類のフ ォーマ ッ ト にする こ と ができ ます。

[Log File Limit]

システム モニ ターの記録システムでは、デ ィ ス ク容量を多 く 消費するデータが生成される可能性が

あ り ます。 こ の問題を回避する ため、 ロ グ フ ァ イル制限に基づいて複数のフ ァ イルに分割でき ま

す。 ロ グ フ ァ イル制限は、特定のサンプル数またはフ ァ イル サイ ズ (kb) に基づ く こ と ができ ます。

Virtex-5 FPGA GTP および GTX ト ラ ンシーバー用 IBERT コ ン ソール ウ ィン ド ウ

Virtex-5 FPGA GTP お よ び GTX ト ラ ン シーバー用の IBERT コ ア の コ ン ソ ール を 開 く には、

[Window] → [New Unit] → [Windows] でコ アを選択し ます。このコ ア ユニ ッ ト 向けのダ イ ア ロ グ

ボ ッ ク スが表示されるので [IBERT Console] を選択し ます。 こ のダ イ ア ロ グ ボ ッ ク スからはウ ィ

ン ド ウ を閉じ る こ と はでき ません。

プロ ジ ェ ク ト ツ リ ーの [IBERT Console] をダブルク リ ッ クするか、 または右ク リ ッ ク し て [Open IBERT Console] を ク リ ッ ク し て も コ ン ソール ウ ィ ン ド ウ を表示でき ます。

84 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer の機能

Virtex-5 FPGA GTP および GTX 用の IBERT コ ン ソ ール ウ ィ ン ド ウは、 「[Clock Settings] パネ

ル」、 87 ページの 「[MGT/BERT Settings] パネル」、 および 90 ページの 「[Sweep Test Settings] パ

ネル」 で構成されています。

[Clock Settings] パネル

こ のパネルには、 複数の列 と 行を 含むテーブルが表示 さ れ ま す。 各列では特定の ア ク テ ィ ブ

GTP_DUAL/GTX_DUAL が表示さ れ、 各行では特定の GTP_DUAL/GTX_DUAL 制御ま たはス

テータ ス設定が表示されます。

[CLKP/CLKN Settings]

[CLKP/CLKN Settings] では、 特定の GTP_DUAL/GTX_DUAL の リ フ ァ レン ス ク ロ ッ ク ピンを

表示し て制御し ます。

[GTP_DUAL/GTX_DUAL Alias] には、 GTP_DUAL/GTX_DUAL の MGT (マルチギガビ ッ ト ト

ラ ンシーバー ) 番号に初期設定されていますが、 新しい値を入力でき ます。

[GTP_DUAL/GTX_DUAL Location] には、デバイ スに含まれる GTP_DUAL/GTX_DUAL の X/Y 座標が表示されます。

[GTP_DUAL/GTX_DUAL Power] では、GTP_DUAL/GTX_DUAL の リ フ ァ レン ス ク ロ ッ ク回路

の電源を制御し ます。 GTP_DUAL/GTX_DUAL 機能を使用するには、 こ の電源制御を [On] にす

る必要があ り ます。 また、 こ の電源制御は リ フ ァ レ ン ス ク ロ ッ ク ソース または中間の通過タ イル

と し て リ フ ァ レ ン ス ク ロ ッ クの共有に関わっているいずれの GTP_DUAL/GTX_DUAL でも [On] にする必要があ り ます。

[CLKP/CLKN Coupling] では、 GTP_DUAL/GTX_DUAL リ フ ァ レ ンス ク ロ ッ ク ピンで使用され

る カ ッ プ リ ングのタ イプを制御し ます。 有効な設定は [AC] または [DC] です。

[CLKP/CLKN Freq (MHz)] には、特定の GTP_DUAL/GTX_DUAL コ ンポーネン ト の CLKP およ

び CLKN ピンに接続されている リ フ ァ レ ンス ク ロ ッ ク ソースの周波数が表示されます。 デフ ォル

ト 値は、 IBERT コ アの生成時に指定された リ フ ァ レ ン ス ク ロ ッ ク の周波数にな り ます。 周波数を

変更するには、 セルに別の値を入力し ます。

[REFCLK Settings]

[REFCLK Settings] では、 特定の GTP_DUAL/GTX_DUAL の リ フ ァ レ ン ス ク ロ ッ ク入力ソース

およびその他の関連パラ メ ーターを表示し て制御し ます。

[REFCLK Input] では、 シ ス テ ム に含 ま れてい る 有効な GTP_DUAL/GTX_DUAL か ら 特定の

GTP_DUAL/GTX_DUAL の リ フ ァ レ ンス ク ロ ッ ク ソース を選択でき ます。 リ フ ァ レ ン ス ク ロ ッ

ク入力を選択する と き、 次の規則に従 う 必要があ り ます。

1. リ フ ァ レ ン ス ク ロ ッ ク ソースは、 デステ ィ ネーシ ョ ン GTP_DUAL/GTX_DUAL から上下タ

イル 3 個以内の GTP_DUAL/GTX_DUAL にする必要があ り ます。 た と えば、 GTP_DUAL_ X0Y5 の リ フ ァ レ ン ス ク ロ ッ クは、 3 タ イル離れている GTP_DUAL_X0Y2 に設定でき て も、

4 タ イル離れている GTP_DUAL_X0Y1 には設定でき ません。

2. リ フ ァ レ ン ス ク ロ ッ ク ソース GTP_DUAL/GTX_DUAL、 デステ ィ ネーシ ョ ン GTP_DUAL/ GTX_DUAL、 およびそれら の間のすべての GTP_DUAL/GTX_DUAL に同じ REFCLK 入力

を選択する必要があ り ます。た と えば、GTP_DUAL_X0Y2 で GTP_DUAL_X0Y0 を リ フ ァ レ

ン ス ク ロ ッ ク入力ソース と し て使用するには、 GTP_DUAL_X0Y1 でも GTP_DUAL_X0Y0 を リ フ ァ レ ン ス ク ロ ッ ク入力ソース と し て使用する必要があ り ます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 85UG029 (v13.4) 2012 年 1 月 18 日

第 4 章 : ChipScope Pro Analyzer の使用

3. リ フ ァ レ ン ス ク ロ ッ ク ソース GTP_DUAL/GTX_DUAL、 デステ ィ ネーシ ョ ン GTP_DUAL/ GTX_DUAL、 およびその間にあ るすべての GTP_DUAL/GTX_DUAL で [GTP_DUAL/ GTX_DUAL Power] を [On] にする必要があ り ます。

[GTP_DUAL/GTX_DUAL PLL Status] では、 GTP_DUAL/GTX_DUAL コ ンポーネン ト に含まれ

る PLL のロ ッ ク ステータ スが表示されます。こ のステータ ス イ ンジケーターの有効なステー ト は、

LOCKED (緑色) または NOT LOCKED (赤色) です。

[REFCLKOUT Freq (MHz)] では、 GTP_DUAL/GTX_DUAL の REFCLKOUT ポー ト のク ロ ッ ク

周波数の概算値が MHz で表示されます。 こ のステータ スの正確度は、 コ ンパイル時に指定された

システム ク ロ ッ クの周波数によ って異な り ます。

[CH0 Clock Status]

[CH0 Clock Status] : 特定の GTP_DUAL/GTX_DUAL のチャネル 0 のさ まざ まな TX および RX ク ロ ッ ク出力のステータ スが表示されます。

[TXOUTCLK0 DCM Status] : GTP_DUAL/GTX_DUAL の TXOUTCLK0 ポー ト に接続されてい

る DCM のロ ッ ク ステータ スが表示されます。 こ のステータ ス イ ンジケータの有効なステー ト は、

LOCKED (緑色) または NOT LOCKED (赤色) です。

[TXOUTCLK0 Freq (MHz)] : GTP_DUAL/GTX_DUAL の TXOUTCLK0 ポー ト の ク ロ ッ ク周波

数の概算値が MHz で表示されます。 こ のス テータ スの正確度は、 コ ンパイル時に指定されたシス

テム ク ロ ッ クの周波数によ って異な り ます。

[TXUSRCLK0 Freq (MHz)] : GTP_DUAL/GTX_DUAL の TXUSRCLK0 ポー ト の ク ロ ッ ク 周波

数の概算値が MHz で表示されます。 こ のス テータ スの正確度は、 コ ンパイル時に指定されたシス

テム ク ロ ッ クの周波数によ って異な り ます。

[TXUSRCLK20 Freq (MHz)] : GTP_DUAL/GTX_DUAL の TXUSRCLK20 ポー ト の ク ロ ッ ク周

波数の概算値が MHz で表示されます。 このステータ スの正確度は、 コ ンパイル時に指定されたシ

ステム ク ロ ッ クの周波数によ って異な り ます。

[RXRECCLK0 DCM Status] : GTP_DUAL/GTX_DUAL の RXRECCLK0 ポー ト に接続されてい

る DCM のロ ッ ク ス テータ スが表示されます。 こ のステータ ス イ ンジケーターの有効なステー ト

は、 LOCKED (緑色) または NOT LOCKED (赤色) です。

[RXRECCLK0 Freq (MHz)] : GTP_DUAL/GTX_DUAL の RXRECCLK0 ポー ト の ク ロ ッ ク周波

数の概算値が MHz で表示されます。 こ のス テータ スの正確度は、 コ ンパイル時に指定されたシス

テム ク ロ ッ クの周波数によ って異な り ます。

[RXUSRCLK0 Freq (MHz)] : GTP_DUAL/GTX_DUAL の RXUSRCLK0 ポー ト の ク ロ ッ ク周波

数の概算値が MHz で表示されます。 こ のス テータ スの正確度は、 コ ンパイル時に指定されたシス

テム ク ロ ッ クの周波数によ って異な り ます。

[RXUSRCLK20 Freq (MHz)] : GTP_DUAL/GTX_DUAL の RXUSRCLK20 ポー ト の ク ロ ッ ク周

波数の概算値が MHz で表示されます。 このステータ スの正確度は、 コ ンパイル時に指定されたシ

ステム ク ロ ッ クの周波数によ って異な り ます。

[CH1 Clock Status]

[CH1 Clock Status] : 特定の GTP_DUAL/GTX_DUAL のチャネル 1 のさ まざまな RX ク ロ ッ ク出

力のステータ スが表示されます。

[RXRECCLK1 DCM Status] : GTP_DUAL/GTX_DUAL の RXRECCLK1 ポー ト に接続されてい

る DCM のロ ッ ク ス テータ スが表示されます。 こ のステータ ス イ ンジケーターの有効なステー ト

は、 LOCKED (緑色) または NOT LOCKED (赤色) です。

86 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer の機能

[RXRECCLK1 Freq (MHz)] : GTP_DUAL/GTX_DUAL の RXRECCLK1 ポー ト の ク ロ ッ ク周波

数の概算値が MHz で表示されます。 こ のス テータ スの正確度は、 コ ンパイル時に指定されたシス

テム ク ロ ッ クの周波数によ って異な り ます。

[RXUSRCLK1 Freq (MHz)] : GTP_DUAL/GTX_DUAL の RXUSRCLK1 ポー ト の ク ロ ッ ク周波

数の概算値が MHz で表示されます。 こ のス テータ スの正確度は、 コ ンパイル時に指定されたシス

テム ク ロ ッ クの周波数によ って異な り ます。

[RXUSRCLK21 Freq (MHz)] : GTP_DUAL/GTX_DUAL の RXUSRCLK21 ポー ト の ク ロ ッ ク周

波数の概算値が MHz で表示されます。 このステータ スの正確度は、 コ ンパイル時に指定されたシ

ステム ク ロ ッ クの周波数によ って異な り ます。

[MGT/BERT Settings] パネル

こ のパネルには、 複数の列 と 行を含むテーブルが表示さ れます。 各列では、 特定のア ク テ ィ ブな

GTP/GTX ト ラ ン シーバー チ ャ ネルが表示 さ れ ま す。 各行では特定の GTP/GTX ま た は

GTP_DUAL/GTX_DUAL 制御またはステータ ス設定が表示されます。

[MGT Settings]

[MGT Settings] では、 特定の GTP_DUAL/GTX_DUAL チャ ネルの さ まざ まな設定を表示し て制

御し ます。

[MGT Alias] は、GTP/GTX ト ラ ンシーバー チャネルの MGT 番号およびチャネル番号に初期設定

されていますが、 新しい値を入力でき ます。

[GTP_DUAL/GTX_DUAL Location] には、デバイ スに含まれる GTP_DUAL/GTX_DUAL の X/Y 座標が表示されます。

[MGT Link Status] には、特定の GTP/GTX ト ラ ンシーバー チャネルのレシーバーに接続されてい

る リ ン ク検出ロ ジ ッ ク のス テータ スが表示さ れます。 こ のス テータ ス イ ンジケーターの有効な ス

テー ト は、 LOCKED (緑色) または NOT LOCKED (赤色) です。

[REFCLKOUT PLL Status] には、 GTP_DUAL/GTX_DUAL の REFCLKOUT ポー ト に接続され

ている PLL のロ ッ ク ステータ スが表示されます。 このステータ ス イ ンジケーターの有効なステー

ト は、 LOCKED (緑色) または NOT LOCKED (赤色) です。

[Loopback Mode] では、 特定の GTP/GTX ト ラ ンシーバー チャネルのループバッ ク モード を制御

し ます。 次にループバッ ク モード の選択肢を示し ます。

• [None] : フ ィ ード バッ ク パスは使用されません。

• [Near-End PCS] : 回路は近端 GTP/GTX ト ラ ンシーバー チャネルに完全に含まれています。

TX フ ァブ リ ッ ク イ ン ターフ ェースから開始し て、 PCS を通過し、 GTP/GTX ト ラ ンシーバー

チャネルの PMA サイ ド を通過せずに RX フ ァブ リ ッ クに戻 り ます。

• [Near-End PMA] : 回路は近端 GTP/GTX ト ラ ンシーバー チャネルに完全に含まれています。

TX フ ァブ リ ッ クから開始し て、 PCS、 PMA、 PCS を通過し、 RX フ ァブ リ ッ ク イ ン ターフ ェ

イ スに戻 り ます。

• [Far-End PMA] : 回路は、 テス ト 装置やほかのデバイ スの一部などの外部チャネルのエン ド ポ

イ ン ト か ら 始 ま り 、 GTP/GTX ト ラ ン シーバー チ ャ ネル を 通過 し て元に戻 り ま す。 こ の

GTP/GTX ト ラ ンシーバー ループバッ ク モード では、 信号が RX ピンに入力され、 PMA 回路

を通過し て TX ピンに戻 り ます。

• [Far-End PCS] : 回路は、テス ト 装置やほかのデバイ スの一部などの外部チャネルのエン ド ポイ

ン ト か ら 始 ま り 、 GTP/GTX ト ラ ン シーバー チ ャ ネ ル を 通過 し て元に戻 り ま す。 こ の

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 87UG029 (v13.4) 2012 年 1 月 18 日

第 4 章 : ChipScope Pro Analyzer の使用

GTP/GTX ト ラ ンシーバー ループバッ ク モード では、信号が RX ピンに入力され、PMA、PCS、

PMA を通過し て TX ピンに戻 り ます。

• [Far-End Fabric] : 回路は、テス ト 装置やほかのデバイ スの一部などの外部チャネルのエン ド ポ

イ ン ト から始ま り 、GTP/GTX ト ラ ンシーバー チャネルおよび関連する フ ァブ リ ッ ク ロ ジ ッ ク

を通過し て元に戻 り ます。 こ の GTP/GTX ト ラ ンシーバー ループバッ ク モード では、 信号が

RX ピンに入力され、PMA、PCS、ワード数が少ないフ ァ ブ リ ッ ク ベースの FIFO、PCS、PMA を通過し て TX ピンに戻 り ます。

[Channel Reset] ボタ ンを ク リ ッ クする と、 内部 PMA および PCS 回路に加え関連する フ ァ ブ リ ッ

ク イ ン ターフ ェ イ スが ク リ ア、 リ セ ッ ト され、GTP/GTX ト ラ ンシーバー チャネルが リ セ ッ ト され

ます。

MGT のすべての属性をダ イナ ミ ッ ク リ コ ンフ ィ ギ ュ レーシ ョ ンポー ト (DRP) か ら表示し て変更

でき ます。 [Edit DRP] ボ タ ンを ク リ ッ クする と、 その GTP_DUAL/GTX_DUAL の [Edit DRP] ダ

イ ア ロ グ ボ ッ ク スが表示されます。

[By Attribute Name] タブの [Attribute Name] から表示または変更する属性を選択し ます。 属性は

アルフ ァベッ ト 順に表示されます。 属性を選択する と、 その時点の DRP が読み出され、 その属性

の現在の値が [Current Value] に表示されます。ダ イ ア ロ グ ボ ッ ク ス下部にあ る ラ ジオ ボ タ ンでは、

2 つの値フ ィ ール ド の基数が示 さ れてい ます。 新 し い値を入力す る には、 基数 タ イ プ ([Binary Value]、 [Hex Value]、 [UCF Value]) を選択し てから [New Value] にテキス ト を入力し て、 [Apply] を ク リ ッ ク し ます。

特定の属性ではな く 特定の DRP ア ド レ ス を変更する場合は、[By DRP Address] タブを ク リ ッ ク し

ます。 こ のタブは、 上級ユーザー向けです。 [Address] から変更する ア ド レ ス を選択し ます。 ラ ジ

オ ボタ ン ([Hex]/[Binary]) の選択に従い現在の値が 16 進数または 2 進数で表示されます。 値を変

更するには、 [New Value] に新しい値を入力し て、 [Apply] を ク リ ッ ク し ます。 ダ イ ア ロ グ ボ ッ ク

ス を閉じ るには [Close] を ク リ ッ ク し ます。

[Show Settings] を ク リ ッ クする と、 関連する GTP/GTX ト ラ ンシーバー チャネル ポー ト の現在の

設定および DRP 属性設定が表示されます。 [Export Settings] を ク リ ッ クする と、 これら の設定が

フ ァ イルにエク スポー ト されます。

[TX/RX Termination] では、 GTP ト ラ ンシーバー チャ ネルの終端を選択し ます。 選択でき る設定

は [50W] と [75W] です。 こ の設定は、 Virtex-5 LXT/SXT フ ァ ミ リ の GTP ト ラ ンシーバーでのみ

使用でき ます。 Virtex-5 FXT フ ァ ミ リ の GTX ト ラ ンシーバーでは使用でき ません。

[Edit Line Rate] ボタ ン ( メ モを参照) を ク リ ッ クする と、 GTP_DUAL/GTX_DUAL のラ イ ン レー

ト およびさ まざまな PLL 設定に関連し ているパラ メ ーターを指定でき ます。 ラ イ ン レー ト を編集

する と、 GTP_DUAL/GTX_DUAL コ ン ポーネ ン ト 内の両チ ャ ネルにその設定が適用 さ れます。

[GTP_DUAL/GTX_DUAL] は、 GTP_DUAL/GTX_DUAL コ ンポーネン ト を選択するのに使用し

ます。 [REFCLK Input Freq (MHz)] は読み取 り 専用フ ィ ール ド で、 入力 リ フ ァ レ ン ス ク ロ ッ ク の

周波数が表示 さ れ ま す。 [Internal Data Width] は、 GTP_DUAL コ ン ポーネ ン ト では [10 bit]、

GTX_DUAL コ ンポーネン ト では [20 bit] に固定 さ れています。 [Target Line Rate (Mb/s)] には、

REFCLK 入力周波数から派生し た有効な ラ イ ン レー ト と関連する PLL 設定 ([FB]、 [REF]、 およ

び [DIVSEL]) がすべて表示されます。[PLL VCO Freq (MHz)] には、PLL の電圧制御オシレーター

(VCO) の出力周波数が表示されます。 [Edit Line Rate] ウ ィ ン ド ウ下部には、 GTP_DUAL/ GTX_DUAL のラ イ ン レー ト に関するすべての属性が表示されます。

注記 : [Edit Line Rate] ボタ ンは Virtex-5 FPGA GTP および GTX ト ラ ンシーバー用 IBERT の v1.0 でのみ使用でき、v2.0 では使用でき ません。v2.0 コ アで ラ イ ン レー ト を変更する場合は、任意のラ

イ ン レー ト と REFCLK 情報を使用し てコ アを再生成し直し、 デザイ ンでタ イ ミ ングが満た される

こ と を確認する必要があ り ます。

88 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer の機能

[Coding] では、 GTP/GTX ト ラ ンシーバー チャ ネルの TX 側および RX 側それぞれで使用される

エン コードおよびデコード のタ イプを選択し ます。 選択肢は、 [None] および [8B/10B] です。

注記 : 8B/10B エン コード /デコード がイネーブルの場合は、 フ レーム付き カ ウ ン ター パターンおよ

びア イ ド ル パターンのみを使用でき ます。

[TX Settings]

[TX Settings] では、特定の GTP/GTX ト ラ ンシーバー チャネルの さ まざまな TX 設定を表示し て、

制御し ます。

[TXOUTCLK0 DCM Status] には、GTP_DUAL/GTX_DUAL の TXOUTCLK0 ポー ト に接続され

ている DCM のロ ッ ク ス テータ スが示されます。 こ のス テータ ス イ ンジケーターの有効なステー

ト は、 LOCKED (緑色) または NOT LOCKED (赤色) です。

[Invert TX Polarity] では、GTP/GTX ト ラ ンシーバー チャネルの TX ピンから送信されたデータの

極性を制御し ます。GTP/GTX ト ラ ンシーバーの TX 側の極性を反転するには、[Invert TX Polarity] をオンにし ます。

[Inject TX Bit Error] を ク リ ッ クする と、1 つの送信ワード に含まれる 1 ビ ッ ト の極性が反転されま

す。 こ の ト ラ ン ス ミ ッ ターに接続されているチャネルのレシーバー エン ド ポイ ン ト では、 1 ビ ッ ト

エラーが検出されるはずです。

[TX Diff Boost] では、TX_DIFF_BOOST 属性のステータ ス を制御し ます。 この属性は、GTP/GTX ト ラ ン シーバー チ ャ ネルの [TX Diff Output Swing] (TXDIFFCTRL お よ び TXBUFDIFFCTRL ポー ト で制御される ト ラ ン ス ミ ッ ターの差動出力幅 と し て も知られる) および [TX Pre-Emphasis] (TXPREEMPHASIS ポー ト で制御される ト ラ ン ス ミ ッ ターのプ リ エンフ ァ シス と し て も知られる) ポー ト 設定を向上 さ せる ために使用し ます。 [TX Diff Boost] は、 Virtex-5 LXT/SXT フ ァ ミ リ の

GTP ト ラ ンシーバーでのみ使用でき ます。Virtex-5 FXT フ ァ ミ リ の GTX ト ラ ンシーバーでは使用

でき ません。 これらの設定で値の有効な組み合わせは、『Virtex-5 FPGA RocketIO GTP Transceiver User Guide』 [213 ページ の リ フ ァ レ ン ス 2 を 参照] ま た は 『Virtex-5 FPGA RocketIO GTX Transceiver User Guide』 [213 ページの リ フ ァ レ ン ス 3 を参照] を参照し て く だ さい。

[RX Settings]

[RX Settings] では、 特定の GTP/GTX ト ラ ンシーバー チャネルのさ まざまな RX 設定を表示し て

制御し ます。

[RXOUTCLK DCM Status] では、GTP/GTX ト ラ ンシーバー チャネルの RXOUTCLK ポー ト に接

続されている DCM のロ ッ ク ス テータ スが表示されます。 こ のステータ ス イ ンジケーターの有効

なステー ト は、 LOCKED (緑色) または NOT LOCKED (赤色) です。

[Invert RX Polarity] では、GTP/GTX チャネルの RX ピ ンから受信し たデータの極性を制御し ます。

GTP/GTX の RX 側の極性を反転するには、 [Invert RX Polarity] をオンにし ます。

[RX Coupling] および [RX Termination Voltage] を共に使用し て GTP/GTX チャネル レシーバーの

カ ッ プ リ ン グおよび終端ネ ッ ト ワー ク を制御し ます。 こ れ ら の設定で値の有効な組み合わせは、

『Virtex-5 FPGA RocketIO GTP Transceiver User Guide』 [213 ページの リ フ ァ レ ンス 2 を参照] ま

たは 『Virtex-5 FPGA RocketIO GTX Transceiver User Guide』 [213 ページの リ フ ァ レ ン ス 3 を参

照] を参照し て く ださ い。

[Enable RX EQ] は、 GTP/GTX チャ ネルのレ シーバーのイ コ ラ イ ゼーシ ョ ンを イ ネーブルにし ま

す。 レシーバーのイ コ ラ イゼーシ ョ ンを イ ネーブルにする と、 [RX EQ WB/HP Ratio] および [RX EQ HP Pole Loc] を使用し て、 GTP/GTX チャネル レシーバーの広帯域/ハイ パス フ ィ ルター率と

ハイ パス フ ィ ルターの極位置を制御でき ます。これら の設定で値の有効な組み合わせは、『Virtex-5 FPGA RocketIO GTP Transceiver User Guide』 [213 ペー ジ の リ フ ァ レ ン ス 2 を 参照] ま た は

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 89UG029 (v13.4) 2012 年 1 月 18 日

第 4 章 : ChipScope Pro Analyzer の使用

『Virtex-5 FPGA RocketIO GTX Transceiver User Guide』 [213 ページの リ フ ァ レ ンス 3 を参照] を

参照し て く ださ い。

[RX Sampling Point] ス ラ イ ダーでは、 PMA_CDR_SCAN 属性を変更する こ と で ト ラ ンシーバー

の ク ロ ッ ク データ リ カバ リ (CDR) ユニ ッ ト の水平サンプ リ ング ポ イ ン ト を制御し ます。 ス ラ イ

ダー制御の整数値は、 現在の設定が表示され、 0 ~ 127 の値を指定でき ます。 0 は UI (ユニ ッ ト イ

ン ターバル) の も左のサンプル位置、 127 は UI の も右のサンプル位置を意味し ます。 UI の位

置は、 ス ラ イ ダ制御の右側にも表示されます。

注記 : [RX Sampling Point control] は、 GTP_DUAL/GTX_DUAL チャネルの PLL_RXDIVSEL _OUT属性が 1 に設定されている と きのみイ ネーブルにされます。 PLL_RXDIVSEL_OUT 属性の

設定を確認する場合は、 [Edit Line Rate] を使用し ます。

[BERT Settings]

[BERT Settings] では、特定の GTP/GTX ト ラ ンシーバー チャネルの さ まざまなビ ッ ト エラー率設

定を表示し制御し ます。

[TX/RX Data Pattern] では、 ト ラ ン ス ミ ッ ターのパターン ジェネレーターおよびレシーバのパター

ン チェ ッ カーで使用するデータ パターンを選択し ます。 使用でき るパターン タ イプは、 IBERT コ

アの生成中に イ ネーブルに さ れ る パ タ ーンに よ っ て異な り ますが、 PRBS (Pseudo Random Bit Sequence) 7 ビ ッ ト (X7 + X6 + 1)、 代替 PRBS 7 ビ ッ ト (X7 + X + 1)、 PRBS 9 ビ ッ ト 、 PRBS 11 ビ ッ ト 、 PRBS 15 ビ ッ ト 、 PRBS 20 ビ ッ ト 、 PRBS 23 ビ ッ ト 、 PRBS 29 ビ ッ ト 、 PRBS 31 ビ ッ

ト 、 ユーザー パターン (ク ロ ッ ク パターンを含む、任意の 20 ビ ッ ト データ パターンの生成に使用

可能)、 フ レーム付き カ ウ ン ター、 およびア イ ド ル パターンが含まれます。

注記 : 8B/10B エン コード /デコード がイネーブルの場合は、 フ レーム付き カ ウ ン ター パターンおよ

びア イ ド ル パターンのみを使用でき ます。

[RX Bit Error Ratio] には、 GTP/GTX ト ラ ンシーバー チャネルに対し て算出されたビ ッ ト エラー

率が含まれています。 こ の値は指数で表現されます。 た と えば、 1.000E-12 は 1 兆ビ ッ ト 受信する

たびに 1 ビ ッ ト エラーが発生する こ と を意味し ます。

[RX Line Rate] には、 GTP/GTX ト ラ ンシーバー チャネル向けに算出された ラ イ ン レー ト が表示

されます。 デザイ ンの時間を計測するのにシステム ク ロ ッ クが使用される ため、 不正確または不安

定なシステム ク ロ ッ ク を使用する と、 こ の値が不正になった り または変動し ます。 リ ン ク がない場

合は、 「N/A」 と表示されます。

[RX Received Bit Count] には、受信し たビ ッ ト 数の総計が表示されます。 このカ ウ ン ト は、 [BERT Reset] を ク リ ッ ク し た と きに リ セ ッ ト されます。

[RX Bit Error Count] には、 検出さ れたビ ッ ト エラー数の総計が表示されます。 このカ ウ ン ト は、

[BERT Reset] を ク リ ッ ク し た と きに リ セ ッ ト されます。

このボタ ンを ク リ ッ クする と、 ビ ッ ト エラー カ ウ ン ターおよび受信ビ ッ ト カ ウ ン ターが リ セ ッ ト

さ れます。 GTP/GTX ト ラ ンシーバー チャ ネルが リ ン ク さ れ安定し た ら、 BERT カ ウ ン ターを リ

セ ッ ト し て く ださ い。

[Sweep Test Settings] パネル

こ のパネルでは、 Virtex-5 FPGA GTP および GTX ト ラ ンシーバーのさ まざ まな設定を ス イープす

るチャネル テス ト を設定し ます。 TX および RX の両方の設定のス イープは、 ト ラ ンシーバーが近

端または外部ループバッ ク モード のいずれかに設定されている と きのみ機能し ます。 RX パラ メ ー

ターのス イープは、 リ ン ク の対応する TX エン ド ポイ ン ト が別のデバイ ス または同じデバイ スに含

まれる別の ト ラ ンシーバーに含まれる と きのみ実行でき ます。

90 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer の機能

こ のパネルは [Sweep Test Setup] および [Sweep Test Results] タブの 2 つのセク シ ョ ンから構成さ

れています。

[Sweep Test Setup]

[Sweep Test Setup] では、 ス イープ対象、 ス イープ テス ト 結果フ ァ イルの設定、 およびス イープ実

行時間を設定し ます。

スイープ パラ メ ーターの設定

次に、 ス イープに使用する ト ラ ンシーバーのパラ メ ーターを示し ます。

• [TX Diff Boost] (GTP のみ)

• [TX Diff Output Swing]

• [TX Pre-Emphasis]

• [RX EQ Enable]

• [RX EQ WB/HP Ratio]

• [RX EQ HP Pole Loc]

• [RX Sampling Point]

これらのス イープ パラ メーターは、 次のいずれかの方法で初期化でき ます。

• [Clear All Parameters] を ク リ ッ ク し てすべてのパラ メーターを ク リ ア し ます。

• [Set Parameters to Current Values] を ク リ ッ ク し て、 [MGT/BERT Settings] パネルの現在の値

にパラ メ ーターを設定し ます。

[Sweet Parameter] 表のパラ メ ーターの順序は、 パラ メ ータのス イープ順序を示し ています。 表上

部のパラ メ ーターの値は、表下部のパラ メ ーターに比べス イープ さ れる頻度が低 く な り ます。つま

り 、 表上部のパラ メ ーターはス イープ アルゴ リ ズムの外側ループにあ り 、 表下部のパラ メ ーター

はス イープ アルゴ リ ズムの内側ループにあ る と い う こ と です。 表のパラ メ ーターの順序は変更で

き ません。

各パラ メ ーターで開始値と終了値を設定する必要があ り ます。 パラ メ ーターの順序は変更でき ませ

ん。 開始値を選択する と、 その値に対し て有効な終了値が自動的に表示されます。 パラ メ ーターで

ス イープの設定を希望し ない場合は、 開始値 と 終了値を同じ値にし ます。 [Sweep Value Count] 列

では、 特定のパラ メ ーターでス イープされる値の数が示されます。 すべてのス イープ パラ メ ーター

に有効な開始値と終了値が設定される と、 ス イープ実行回数が [Total Iterations] に表示されます。

スイープ テス ト 結果フ ァ イルの設定

ス イープテ ス ト の結果は、 [Sweep Test Status] パネルに表示さ れます。 また ス イープ テ ス ト 結果

フ ァ イルに書き出すこ と もでき ます。 [Sweep Test Result File Settings] を ク リ ッ クする と、 ダ イ ア

ロ グ ボ ッ ク スが表示されます。

こ のダ イ ア ロ グ ボ ッ ク スでは、フ ァ イルの保存場所と各フ ァ イルに含める ス イープ実行回数を設定

でき ます。 ス イープ実行回数がフ ァ イルの制限を越え る場合は、 初の結果フ ァ イル と同じデ ィ レ

ク ト リ にベース フ ァ イル名の開始実行番号が付け られた複数のフ ァ イルが作成されます。

スイープ テス ト 結果

ス イープ テス ト を設定し た ら、 [Start] を ク リ ッ ク し てテス ト を開始し ます。 ク リ ッ ク し た後は、 ス

イープ パラ メーター表がデ ィ スエーブルにな り テス ト が実行されます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 91UG029 (v13.4) 2012 年 1 月 18 日

第 4 章 : ChipScope Pro Analyzer の使用

ス イープ テス ト の実行の過程で現在のス イープ結果フ ァ イル、 現在の実行回数、 経過時間、 および

概算残 り 時間ステータ スが表示されます。ス イープ結果は、画面下の [Sweep Test Log] テキス ト エ

リ アに表示さ れます。 ス イープ テ ス ト は [Pause] を ク リ ッ ク し て一時停止し た り 、 [Stop] および

[Reset] を ク リ ッ ク し て完全に停止する こ と ができ ます。

IBERT のツールバーおよび メ ニュー

[Reset All]

IBERT コ アのすべてのチャ ネルを リ セ ッ ト するには、 [IBERT_V5GTP/GTX] → [Reset All] を ク

リ ッ クするか、 またはツールバーの [Reset All] を ク リ ッ ク し ます。

[JTAG Scan Rate] および [Scan Now]

ツールバーの [JTAG Scan Rate] および [IBERT_V5GTP/GTX] → [JTAG Scan Rate] メ ニ ューを ク

リ ッ クする と、 ChipScope Pro Analyzer ツールで IBERT コ アのステータ ス情報を確認する頻度を

選択でき ます。デフ ォル ト は [1 s] ですが、 [250 ms]、 [500 ms]、 [2 s]、 [5 s]、 または [Manual Scan] も選択で き ます。 [Manual Scan] を選択し た場合、 [IBERT_V5GTP/GTX] → [Scan Now] ま たは

ツールバーの [Scan Now] ([S!]) を ク リ ッ クする と、 IBERT コ アの ク エ リ をすぐに実行でき ます。

Virtex-5 FPGA GTX ト ラ ンシーバー用 IBERT v2.0 コ ン ソール ウ ィ ン ド ウ

Virtex-5 FPGA GTX ト ラ ンシーバー用の IBERT v2.0 コ アのコ ン ソールを開 く には、 [Window] →

[New Unit Windows] でコ アを選択し ます。 こ のコ ア ユニ ッ ト 向けのダ イ ア ロ グ ボ ッ ク スが表示さ

れるので [IBERT V5 GTX Console] を選択し ます。 こ のダ イ ア ロ グ ボ ッ ク スからはウ ィ ン ド ウ を

閉じ る こ と はでき ません。

プロ ジェ ク ト ツ リ ーの [IBERT V5 GTX Console] をダブルク リ ッ クするか、 または右ク リ ッ ク し

て [Open IBERT V5 GTX Console] を ク リ ッ ク し て も コ ン ソール ウ ィ ン ド ウ を表示でき ます。

Virtex-5 FPGA GTX ト ラ ンシーバー用 IBERT v2.0 コ ン ソール ウ ィ ン ド ウは、 次から構成されて

います。

• [MGT/BERT Settings] パネル

• [DRP Settings] パネル

• [Port Settings] パネル

• [Sweep Test Settings] パネル

• IBERT v2.0 Virtex-5 FPGA GTX ト ラ ンシーバーのツールバーおよび メ ニュー オプシ ョ ン

[MGT/BERT Settings] パネル

こ のパネルには、 複数の列 と 行を含むテーブルが表示さ れます。 各列では、 特定のア ク テ ィ ブな

GTX ト ラ ンシーバーが表示されます。 各行では特定の制御またはステータ ス設定が表示されます。

[MGT Settings]

[MGT Alias] は、 GTX ト ラ ンシーバー MGT 番号に初期設定されていますが、 新しい値を入力で

き ます。

[Tile Location] には、 デバイ スに含まれる GTX ト ラ ンシーバーの X/Y 座標が表示されます。

[MGT Link Status] には、特定の GTX ト ラ ンシーバー チャネルのレシーバーに接続されている リ ン

ク検出ロ ジ ッ クのステータ スが表示されます。 チャ ネルが リ ン ク されている場合は緑色で計測され

た ラ イ ン レー ト が表示され、 リ ン ク されていない場合は 「NOT LOCKED」 (赤色) と表示されます。

92 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer の機能

[PLL Status] には GTX ト ラ ンシーバーに接続されている PLL のロ ッ ク ス テータ スが表示されま

す。 こ のステータ ス イ ンジケーターの有効なステー ト は、 LOCKED (緑色) または NOT LOCKED (赤色) です。

[Loopback Mode] では、 特定の GTX ト ラ ンシーバー チャ ネルのループバ ッ ク モー ド を制御し ま

す。 次にループバッ ク モード の選択肢を示し ます。

• [None] : フ ィ ード バッ ク パスは使用されません。

• [Near-End PCS] : 回路は近端 GTX ト ラ ンシーバー チャネルに完全に含まれています。 TX フ ァ ブ リ ッ ク イ ン ターフ ェースから開始し て、 PCS を通過し、 GTX ト ラ ンシーバー チャネル

の PMA 側を通過せずに RX フ ァブ リ ッ クに戻 り ます。

• [Near-End PMA] : 回路は近端 GTX ト ラ ンシーバー チャネルに完全に含まれています。 TX フ ァ ブ リ ッ ク か ら開始し て、 PCS、 PMA、 PCS を通過し、 RX フ ァ ブ リ ッ ク イ ン ターフ ェ イ

スに戻 り ます。

• [Far-End PMA] : 回路は、 外部テス ト 装置やほかのデバイ スの一部などの外部チャネルのエン

ド ポイ ン ト から始ま り 、GTX ト ラ ンシーバー チャネルを通過し て元に戻 り ます。 この GTX ト

ラ ンシーバー ループバ ッ ク モー ド では、 信号が RX ピ ンに入力され、 PMA 回路を通過し て

TX ピンに戻 り ます。

• [Far-End PCS] : 回路は、外部テス ト 装置やほかのデバイ スの一部などの外部チャネルのエン ド

ポイ ン ト から始ま り 、GTX ト ラ ンシーバー チャネルを通過し て元に戻 り ます。 この GTX ルー

プバッ ク モード では、 信号が RX ピ ンに入力され、 PMA、 PCS、 PMA を通過し て TX ピンに

戻 り ます。

[DUAL Reset] を ク リ ッ クする と、 内部 PMA および PCS 回路に加え関連する フ ァ ブ リ ッ ク イ ン

ターフ ェ イ スがク リ ア、リ セ ッ ト され、DUAL に含まれる GTX ト ラ ンシーバーが リ セ ッ ト されます。

[Channel Reset] を ク リ ッ クする と、 内部 PMA および PCS 回路に加え関連する フ ァブ リ ッ ク イ ン

ターフ ェ イ スがク リ ア、 リ セ ッ ト され、 GTX ト ラ ンシーバー チャネルが リ セ ッ ト されます。

[TX Polarity Invert] では、 GTX ト ラ ンシーバー チャネルの TX ピンから送信されたデータの極性

を制御し ます。 GTX ト ラ ンシーバーの TX 側の極性を反転するには、 こ のチェ ッ ク ボ ッ ク ス をオ

ンにし ます。

[TX Bit Error Inject] を ク リ ッ クする と、1 つの送信ワード に含まれる 1 ビ ッ ト の極性が反転されま

す。 こ の ト ラ ン ス ミ ッ ターに接続されているチャネルのレシーバー エン ド ポイ ン ト では、 1 ビ ッ ト

エラーが検出されるはずです。

[TX Diff Output Swing] では、ト ラ ン ス ミ ッ ターの差動振幅を制御し ます。値を変更する場合はボ ッ

ク スに入力し ます。

[TX Pre-Emphasis] では、 送信信号のプ リ エンフ ァ シス量を制御し ます。 値を変更する場合はボ ッ

ク スに入力し ます。

[RX Polarity Invert] では、GTX チャネルの RX ピンから受信し たデータの極性を制御し ます。GTX ト ラ ンシーバーの RX 側の極性を反転するには、 このチェ ッ ク ボ ッ ク ス をオンにし ます。

[RX AC Coupling Enabled] では、 ビル ト イ ン AC カ ッ プ リ ング キ ャパシタ を イ ネーブルにするか

ど う かを制御し ます。

[RX Termination Voltage] では、 RX 終端ネ ッ ト ワーク で使用する電源を制御し ます。

[RX Equalization] では、 内部 RX イ コ ラ イゼーシ ョ ン回路を制御し ます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 93UG029 (v13.4) 2012 年 1 月 18 日

第 4 章 : ChipScope Pro Analyzer の使用

[BERT Settings]

[TX Data Pattern] および [RX Data Pattern] は、 ト ラ ン ス ミ ッ ターのパターン ジェネレーターおよ

びレシーバーのパターン チェ ッ カーで使用されるデータ パターンを選択するのに使用し ます。 こ

れらのパターンには、 PRBS7、 15、 23、 31、 および Clk 2x、 10x が含まれています。

[RX Bit Error Ratio] には、GTX ト ラ ンシーバー チャネルに対し て算出されたビ ッ ト エラー率が表

示されます。 こ の値は指数で表現されます。 た と えば、 1.000E-12 は 1 兆ビ ッ ト 受信する たびに 1 ビ ッ ト エラーが発生する こ と を意味し ます。

[RX Received Bit Count] には、受信し たビ ッ ト 数の総計が示されています。このカ ウ ン ト は、[BERT Reset] を ク リ ッ ク し た と きに リ セ ッ ト されます。

[RX Bit Error Count] には、検出されたビ ッ ト エラー数の総計が示されています。 このカ ウ ン ト は、

[BERT Reset] を ク リ ッ ク し た と きに リ セ ッ ト されます。

このボタ ンを ク リ ッ クする と、 ビ ッ ト エラー カ ウ ン ターおよび受信ビ ッ ト カ ウ ン ターが リ セ ッ ト

されます。 GTX チャネルが リ ン ク され安定し た ら BERT カ ウ ン ターを リ セ ッ ト し て く ださ い。

[Clocking Settings]

[TX DCM Reset] では TXOUTCLK 出力を使用し て TXUSRCLK および TXUSRCLK2 ク ロ ッ ク

を生成する DCM を リ セ ッ ト し ます。

[RX DCM Reset] では RXRECCLK 出力を使用し て RXUSRCLK および RXUSRCLK2 ク ロ ッ ク

を生成する DCM を リ セ ッ ト し ます。

[TXUSRCLK Freq (MHz)] には、GTX ト ラ ンシーバーの TXUSRCLK ポー ト のク ロ ッ ク周波数の

概算値が MHz で表示されます。 こ のステータ スの正確度は、 コ ンパイル時に指定されたシステム

ク ロ ッ ク の周波数によ って異な り ます。

[XUSRCLK2 Freq (MHz)] には、 GTX ト ラ ンシーバーの TXUSRCLK2 ポー ト の ク ロ ッ ク周波数

の概算値が MHz で表示されます。 こ のステータ スの正確度は、 コ ンパイル時に指定されたシス テ

ム ク ロ ッ クの周波数によ って異な り ます。

[RXUSRCLK Freq (MHz)] には、GTX ト ラ ンシーバーの RXUSRCLK ポー ト のク ロ ッ ク周波数の

概算値が MHz で表示されます。 こ のステータ スの正確度は、 コ ンパイル時に指定されたシステム

ク ロ ッ ク の周波数によ って異な り ます。

[RXUSRCLK2 Freq (MHz)] には、GTX ト ラ ンシーバーの RXUSRCLK2 ポー ト のク ロ ッ ク周波数

の概算値が MHz で表示されます。 こ のステータ スの正確度は、 コ ンパイル時に指定されたシス テ

ム ク ロ ッ クの周波数によ って異な り ます。

[DRP Settings] パネル

こ のパネルには、 複数の列 と 行を含むテーブルが表示さ れます。 各列では、 特定のア ク テ ィ ブな

GTX ト ラ ンシーバーが表示されます。 各行では、 特定の DRP 属性またア ド レ スが表示されます。

パネル下部の [View By Attribute Name] をオンにする と、 すべての DRP 属性がアルフ ァベッ ト 順

で表示されます。 [Radix] では [Hex] (16 進数) または [Bin] (2 進数) のいずれかを選択でき ます。

値を変更するには、 テキ ス ト フ ィ ール ド を ク リ ッ ク し て新しい値を入力し てから、 Enter キーを押

し ます。 新しい値が MGT ト ラ ンシーバーに反映されます。

パネル下部の [View By Address] がオンにな っ てい る と、 行ア ド レ ス が数字順に表示 さ れます。

[Radix] では [Hex] (16 進数) または [Bin] (2 進数) のいずれかを選択でき ます。値を変更するには、

テキ ス ト フ ィ ール ド を ク リ ッ ク し て新しい値を入力し てから、 Enter キーを押し ます。 新しい値が

MGT ト ラ ンシーバーに反映されます。

94 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer の機能

[Port Settings] パネル

こ のパネルには、 複数の列 と 行を含むテーブルが表示さ れます。 各列では、 特定のア ク テ ィ ブな

GTX ト ラ ンシーバーが表示されます。 各行には、 特定の MGT ポー ト が表示されます。 一部のポー

ト は IBERT デザイ ンでデータの送受信に使用される ため、 すべてのポー ト が表示されるわけでは

あ り ません。

[Radix] では、 値の基数に [Hex] (16 進数) または [Bin] (2 進数) を選択でき ます。 一部のポー ト は

読み取 り 専用で編集でき ません。 これらのセルは、 ラベルのよ う に表示されます。 編集可能な表内

のポー ト は、 テキス ト フ ィ ール ド のよ う に表示され、 カーソルを置 く と新しい値が入力でき、Enter キーを押すと その値がすぐに反映されます。

[Sweep Test Settings] パネル

こ のパネルは、 さ まざまな ト ラ ンシーバー設定を ス イープするチャネル テス ト を設定するのに使用

し ます。 TX および RX 設定は、 同じ GTX ト ラ ンシーバー向けです。 TX および RX の両方の設定

のス イープは、 ト ラ ンシーバーが近端または外部ループバッ ク モード のいずれかに設定されている

と きのみ機能し ます。 RX パラ メ ーターのス イープは、 リ ン ク の対応する TX エン ド ポイ ン ト が別

のデバイ ス または同じデバイ スに含まれる別の ト ラ ンシーバーに含まれる と きのみ実行でき ます。

こ のパネルは、[Parameter Settings]、[Sampling Point Region]、[Test Controls]、および [Test Results] セ ク シ ョ ンの 4 つのセ ク シ ョ ンから構成されています。

[Parameter Settings]

こ のセ ク シ ョ ンでは、 ス イープ パラ メ ーターを設定し ます。

次に、 ス イープに使用でき る GTX ト ラ ンシーバーのパラ メーターを示し ます。

• [TX Diff Swing]

• [TX Pre-Emphasis]

• [RX EQ]

• [DFETAP1]

• [DFETAP2]

これらのス イープ パラ メーターは、 次のいずれかの方法で初期化でき ます。

• [Clear All Parameters] を ク リ ッ ク し て [Select] オプシ ョ ンのすべてのパラ メ ーターを ク リ ア

し ます。

• [Set Parameters to Current Values] を ク リ ッ ク し て、 [MGT/BERT Settings] パネルの現在の値

にパラ メ ーターを設定し ます。

[Sweet Parameter] 表のパラ メーターの順序は、パラ メ ーターのス イープ順序を示し ています。表上

部のパラ メ ーターの値は、 表下部のパラ メ ーターに比べス イープされる頻度が低 く な り ます。 つま

り 、 表上部のパラ メ ーターはス イープ アルゴ リ ズムの外側ループにあ り 、 表下部のパラ メ ーターは

ス イープ アルゴ リ ズムの内側ループにあ る と い う こ と です。

各パラ メ ーターで開始値と終了値を設定する必要があ り ます。 パラ メ ーターの順序は変更でき ませ

ん。 開始値を選択する と、 その値に対し て有効な終了値が自動的に表示されます。 パラ メ ーターで

ス イープの設定を希望し ない場合は、 開始値 と 終了値を同じ値にし ます。 [Sweep Value Count] 列

では、 特定のパラ メ ーターでス イープされる値の数が示されます。 すべてのス イープ パラ メ ーター

に有効な開始値と終了値が設定される と、 ス イープ実行回数が [Total Iterations] に表示されます。

パラ メ ーターを表に追加または削除するには、 [Add/Remove Parameters] を ク リ ッ ク し ます。 ダ イ

ア ロ グ ボ ッ ク スが表示され、 左側には使用可能なポー ト /属性すべて、 右側にはス イープするパラ

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 95UG029 (v13.4) 2012 年 1 月 18 日

第 4 章 : ChipScope Pro Analyzer の使用

メ ーターが表示されます。 ス イープするパラ メ ーターを追加するには、 左側の リ ス ト からいずれか

を ク リ ッ ク し て、右方向 (>) ボタ ンを ク リ ッ ク し ます。 ス イープするパラ メーターを削除するには、

右側の リ ス ト からいずれかを ク リ ッ ク し て、 左方向 (<) ボ タ ンを ク リ ッ ク し ます。 パラ メ ーターの

ス イープ順を指定するには、 右側の リ ス ト のいずれかを ク リ ッ ク し てか ら [Up] または [Down] を

ク リ ッ ク し ます。ス イープ属性およびその順序をデフ ォル ト の設定に戻す場合は、[Reset to Default] を ク リ ッ ク し ます。 [OK] を ク リ ッ ク し て設定を適用するか、 [Cancel] を ク リ ッ ク し て保存せずに

終了し ます。

[Sampling Point Region]

サンプ リ ング ポ イ ン ト は、 ア イ と サンプル間の水平ポ イ ン ト です。 左端の遷移領域を視覚化し てか

ら、 右端を視覚化し ます。 [RX Sampling Point] は、 128 個の不連続のサンプ リ ング位置の 1 つで

す。 こ のセ ク シ ョ ンでは、 サンプ リ ング領域の左端および右端を選択し ます。

[Test Controls]

ス イープ テス ト を設定し た ら、 [Start] を ク リ ッ ク し てテス ト を開始し ます。 ク リ ッ ク し た後は、 ス

イープ パラ メーター表がデ ィ スエーブルにな り テス ト が実行されます。

ス イープ テス ト の実行の過程で現在のス イープ結果フ ァ イル、 現在の実行回数、 経過時間、 および

概算残 り 時間ステータ スが表示されます。 ス イープ結果は、 画面下のテキス ト エ リ アに表示されま

す。 ス イープ テス ト は [Pause] を ク リ ッ ク し て一時停止し た り 、 [Reset] を ク リ ッ ク し て完全に停

止する こ と ができ ます。

ス イープテス ト の結果は、 [Test Results] パネルに表示されます。 またス イープ テス ト 結果フ ァ イ

ルに書き出すこ と もでき ます。 [Log File Settings] を ク リ ッ クする と、 ダ イ ア ロ グ ボ ッ ク スが表示

されます。

こ のダ イ ア ロ グ ボ ッ ク スでは、フ ァ イルの保存場所と各フ ァ イルに含める ス イープ実行回数を設定

でき ます。 ス イープ実行回数がフ ァ イルの制限を越え る場合は、 初の結果フ ァ イル と同じデ ィ レ

ク ト リ にベース フ ァ イル名の開始実行番号が付け られた複数のフ ァ イルが作成されます。

[Test Results]

こ のパネルには、 現在の実行、 経過時間、 および残 り 時間の概算が表示されます。 こ のステータ ス

情報の下には、 ス イープ テス ト 結果の実行ロ グが表示されています。 この結果も ロ グ フ ァ イルに

保存されます。

IBERT v2.0 Virtex-5 FPGA GTX ト ラ ンシーバーのツールバーおよび メ ニュー オプシ ョ ン

[IBERT Console Options]

[IBERT Console Options] ダ イ ア ロ グ ボ ッ ク スでは、コ ン ソール ウ ィ ン ド ウに表示する列および行

を選択で き ます。 左側パネルでは、 MGT を ロ ケーシ ョ ンで選択し ます。 すべて選択する場合は

[Check All]、 すべて選択し ない場合は [Uncheck All] を ク リ ッ ク し ます。

右側パネルでは、 [MGT/BERT Settings] パネルに表示される行を選択し ます。 すべて選択する場合

は [Check All]、 すべて選択し ない場合は [Uncheck All] を ク リ ッ ク し ます。 [Default] を ク リ ッ ク

する と、チャネルの有効性を決定するのに必要な行の基本セ ッ ト がコ ン ソール ウ ィ ン ド ウに表示さ

れます。

[Import/Export] ダイアログ ボ ッ ク ス

こ のダ イ ア ロ グ ボ ッ ク スでは、 特定の MGT の設定を保存し て復元し た り 、 デザイ ンの別の MGT に適用で き ま す。 設定 を イ ン ポー ト ま た はエ ク ス ポー ト す る には、 [IBERT_V5GTX] →

96 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer の機能

[Import/Export Wizard] を ク リ ッ クするか、 またはツールバーの [Import/Export Wizard] を ク リ ッ

ク し ます。

ウ ィ ザー ド の 初の画面では、 MGT 設定の ソースに [MGT] または [File] のいずれかを選択し ま

す。 [MGT] を選択し た場合は、 コ ンボ ボ ッ ク スに表示される MGT から選択し ます。 [File] を選択

し た場合は、 [Browse] を ク リ ッ ク し て設定フ ァ イルを指定し ます。 [Next] を ク リ ッ ク し て、 次の

画面に進みます。

次の画面では、デステ ィ ネーシ ョ ンを指定し ます。 IBERT デザイ ンに含まれる MGT と フ ァ イルを

自由に組み合わせる こ と ができ ます。 [File] を イ ネーブルし た場合は、 [Browse] を ク リ ッ ク し て

フ ァ イル デステ ィ ネーシ ョ ンを指定し ます。

3 番目の画面には、設定のソース とデステ ィ ネーシ ョ ンのサマ リ が表示されます。[Apply] を ク リ ッ

ク し てイ ンポー ト またはエク スポー ト し ます。 この操作は、 元に戻すこ と ができ ません。

[Reset All]

IBERT コ アのすべてのチャ ネルを リ セ ッ ト するには、 [IBERT_V5GTX] → [Reset All] を ク リ ッ ク

するか、 またはツールバーの [Reset All] を ク リ ッ ク し ます。

[JTAG Scan Rate] および [Scan Now]

ツールバーの [JTAG Scan Rate] および [IBERT_V5GTX] → [JTAG Scan Rate] を ク リ ッ クする と、

ChipScope Pro Analyzer ツールで IBERT コ アのス テータ ス情報を確認する頻度を選択でき ます。

デフ ォル ト は [1 s] ですが、 [250 ms]、 [500 ms]、 [2 s]、 [5 s]、 または [Manual Scan] も選択でき ま

す。[Manual Scan] を選択し た場合、[IBERT_V5GTX] → [Scan Now] を ク リ ッ クするかツールバー

の [Scan Now] ([S!]) を ク リ ッ ク し て IBERT コ アのク エ リ をすぐに実行でき ます。

Virtex-6 FPGA GTX ト ラ ンシーバー用 IBERT コ ン ソール ウ ィ ン ド ウ

Virtex-6 LXT/SXT/CXT フ ァ ミ リ の IBERT コ アの コ ン ソ ール を 開 く には、 [Window] → [New Unit] → [Windows] でコ アを選択し ます。 このコ ア ユニ ッ ト 向けのダ イ ア ロ グ ボ ッ ク スが表示さ

れるので [IBERT V6 GTX Console] を選択し ます。 こ のダ イ ア ロ グ ボ ッ ク スからはウ ィ ン ド ウ を

閉じ る こ と はでき ません。

プロ ジェ ク ト ツ リ ーの [IBERT V6 GTX Console] をダブルク リ ッ クするか、 または右ク リ ッ ク し

て [Open IBERT V6 GTX Console] を ク リ ッ ク し て も コ ン ソール ウ ィ ン ド ウ を表示でき ます。

Virtex-6 LXT/SXT/CXT フ ァ ミ リ の GTX ト ラ ン シーバー用 IBERT コ ン ソ ール ウ ィ ン ド ウ は、

「[MGT/BERT Settings] パネル」 、 99 ページの 「[DRP Settings] パネル」 、 100 ページの 「[Port Settings] パネル」、および 102 ページの「IBERT Virtex-6 FPGA GTX ト ラ ンシーバーのツールバー

および メ ニ ュー オプシ ョ ン」 で構成されています。

[MGT/BERT Settings] パネル

こ のパネルには、 複数の列 と 行を含むテーブルが表示さ れます。 各列では、 特定のア ク テ ィ ブな

GTX ト ラ ンシーバーが表示されます。 各行では特定の制御またはステータ ス設定が表示されます。

[MGT Settings]

[MGT Alias] は、 GTX ト ラ ンシーバー MGT 番号に初期設定されていますが、 新しい値を入力で

き ます。

[Tile Location] には、 デバイ スに含まれる GTX ト ラ ンシーバーの X/Y 座標が表示されます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 97UG029 (v13.4) 2012 年 1 月 18 日

第 4 章 : ChipScope Pro Analyzer の使用

[MGT Link Status] には、特定の GTX ト ラ ンシーバー チャネルのレシーバーに接続されている リ ン

ク検出ロ ジ ッ クのステータ スが表示されます。 チャ ネルが リ ン ク されている場合は緑色で計測され

た ラ イ ン レー ト が表示され、 リ ン ク されていない場合は 「NOT LOCKED」 (赤色) と表示されます。

[TX PLL Status] には GTX ト ラ ンシーバーに接続されている TX PLL のロ ッ ク ステータ スが表示

さ れます。 こ の ス テー タ ス イ ン ジケーターの有効な ス テー ト は、 LOCKED (緑色) ま たは NOT LOCKED (赤色) です。

[RX PLL Status] には GTX ト ラ ンシーバーに接続されている RX PLL のロ ッ ク ステータ スが表示

さ れます。 こ の ス テー タ ス イ ン ジケーターの有効な ス テー ト は、 LOCKED (緑色) ま たは NOT LOCKED (赤色) です。

[Loopback Mode] 設定は、 特定の GTX ト ラ ンシーバー チャネルのループバッ ク モー ド を制御す

るのに使用し ます。 次にループバッ ク モード の選択肢を示し ます。

• [None] : フ ィ ード バッ ク パスは使用されません。

• [Near-End PCS] : 回路は近端 GTX ト ラ ンシーバー チャネルに完全に含まれています。 TX フ ァ ブ リ ッ ク イ ン ターフ ェースから開始し て、 PCS を通過し、 GTX ト ラ ンシーバー チャネル

の PMA 側を通過せずに RX フ ァブ リ ッ クに戻 り ます。

• [Near-End PMA] : 回路は近端 GTX ト ラ ンシーバー チャネルに完全に含まれています。 TX フ ァ ブ リ ッ ク か ら開始し て、 PCS、 PMA、 PCS を通過し、 RX フ ァ ブ リ ッ ク イ ン ターフ ェ イ

スに戻 り ます。

• [Far-End PMA] : 回路は、 外部テス ト 装置やほかのデバイ スの一部などの外部チャネルのエン

ド ポイ ン ト から始ま り 、GTX ト ラ ンシーバー チャネルを通過し て元に戻 り ます。 この GTX ト

ラ ンシーバー ループバ ッ ク モー ド では、 信号が RX ピ ンに入力され、 PMA 回路を通過し て

TX ピンに戻 り ます。

• [Far-End PCS] : 回路は、外部テス ト 装置やほかのデバイ スの一部などの外部チャネルのエン ド

ポイ ン ト から始ま り 、GTX ト ラ ンシーバー チャネルを通過し て元に戻 り ます。 この GTX ルー

プバッ ク モード では、 信号が RX ピ ンに入力され、 PMA、 PCS、 PMA を通過し て TX ピンに

戻 り ます。

• [Far-End Fabric] : 回路は、外部テス ト 装置やほかのデバイ スの一部などの外部チャネルのエン

ド ポ イ ン ト か ら始ま り 、 GTP/GTX ト ラ ンシーバー チャ ネルおよび関連する フ ァ ブ リ ッ ク ロ

ジ ッ ク を通過し て元に戻 り ます。 この GTX ト ラ ンシーバー ループバッ ク モード では、 信号が

RX ピンに入力され、PMA、PCS、ワード数が少ないフ ァ ブ リ ッ ク ベースの FIFO、PCS、PMA を通過し て TX ピンに戻 り ます。

[Channel Reset] を ク リ ッ クする と、 内部 PMA および PCS 回路に加え関連する フ ァブ リ ッ ク イ ン

ターフ ェ イ スがク リ ア、 リ セ ッ ト され、 GTX ト ラ ンシーバー チャネルが リ セ ッ ト されます。

[TX Polarity Invert] では、 GTX ト ラ ンシーバー チャネルの TX ピンから送信されたデータの極性

を制御し ます。 GTX ト ラ ンシーバーの TX 側の極性を反転するには、 こ のチェ ッ ク ボ ッ ク ス をオ

ンにし ます。

[TX Bit Error Inject] を ク リ ッ クする と、1 つの送信ワード に含まれる 1 ビ ッ ト の極性が反転されま

す。 こ の ト ラ ン ス ミ ッ ターに接続されているチャネルのレシーバー エン ド ポイ ン ト では、 1 ビ ッ ト

エラーが検出されるはずです。

[TX Diff Output Swing] では、ト ラ ン ス ミ ッ ターの差動振幅を制御し ます。値を変更する場合はボ ッ

ク スに入力し ます。

[TX Pre-Emphasis] では、 送信信号のプ リ エンフ ァ シス量を制御し ます。 値を変更する場合はボ ッ

ク スに入力し ます。

98 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer の機能

[RX Polarity Invert] では、GTX チャネルの RX ピンから受信し たデータの極性を制御し ます。GTX ト ラ ンシーバーの RX 側の極性を反転するには、 チェ ッ ク ボ ッ ク ス をオンにし ます。

[RX AC Coupling Enabled] では、 ビル ト イ ン AC カ ッ プ リ ング キ ャパシタ を イ ネーブルにするか

ど う かを制御し ます。

[RX Termination Voltage] では、 RX 終端ネ ッ ト ワーク で使用する電源を制御し ます。

[RX Equalization] では、 内部 RX イ コ ラ イゼーシ ョ ン回路を制御し ます。

[BERT Settings]

[TX/RX Data Pattern] は、 ト ラ ン ス ミ ッ ターのパターン ジェネレーターおよびレシーバのパターン

チ ェ ッ カーで使用 さ れ る デー タ パ タ ーン を選択す る のに使用 し ます。 こ れ ら のパ タ ーンには、

PRBS7、 15、 23、 31、 および Clk 2x、 10x が含まれています。

[RX Bit Error Ratio] には、GTX ト ラ ンシーバー チャネルに対し て算出されたビ ッ ト エラー率が表

示されます。 こ の値は指数で表現されます。 た と えば、 1.000E-12 は 1 兆ビ ッ ト 受信する たびに 1 ビ ッ ト エラーが発生する こ と を意味し ます。

[RX Received Bit Count] には、受信し たビ ッ ト 数の総計が表示されます。 このカ ウ ン ト は、 [BERT Reset] を ク リ ッ ク し た と きに リ セ ッ ト されます。

[RX Bit Error Count] には、 検出さ れたビ ッ ト エラー数の総計が表示されます。 このカ ウ ン ト は、

[BERT Reset] を ク リ ッ ク し た と きに リ セ ッ ト されます。

このボタ ンを ク リ ッ クする と、 ビ ッ ト エラー カ ウ ン ターおよび受信ビ ッ ト カ ウ ン ターが リ セ ッ ト

されます。 GTX チャネルが リ ン ク され安定し た ら BERT カ ウ ン ターを リ セ ッ ト し て く ださ い。

[Clocking Settings]

[TXOUTCLK Freq (MHz)] には、 GTX ト ラ ンシーバーの TXOUTCLK0 ポー ト のク ロ ッ ク周波数

の概算値が MHz で表示されます。 こ のステータ スの正確度は、 コ ンパイル時に指定されたシス テ

ム ク ロ ッ クの周波数によ って異な り ます。

[TXUSRCLK Freq (MHz)] には、GTX ト ラ ンシーバーの TXUSRCLK ポー ト のク ロ ッ ク周波数の

概算値が MHz で表示されます。 こ のステータ スの正確度は、 コ ンパイル時に指定されたシステム

ク ロ ッ ク の周波数によ って異な り ます。

[TXUSRCLK2 Freq (MHz)] には、GTX ト ラ ンシーバーの TXUSRCLK2 ポー ト のク ロ ッ ク周波数

の概算値が MHz で表示されます。 こ のステータ スの正確度は、 コ ンパイル時に指定されたシス テ

ム ク ロ ッ クの周波数によ って異な り ます。

[RXUSRCLK Freq (MHz)] には、GTX ト ラ ンシーバーの RXUSRCLK ポー ト のク ロ ッ ク周波数の

概算値が MHz で表示されます。 こ のステータ スの正確度は、 コ ンパイル時に指定されたシステム

ク ロ ッ ク の周波数によ って異な り ます。

[RXUSRCLK2 Freq (MHz)] には、GTX ト ラ ンシーバーの RXUSRCLK2 ポー ト のク ロ ッ ク周波数

の概算値が MHz で表示されます。 こ のステータ スの正確度は、 コ ンパイル時に指定されたシス テ

ム ク ロ ッ クの周波数によ って異な り ます。

[DRP Settings] パネル

こ のパネルには、 複数の列 と 行を含むテーブルが表示さ れます。 各列では、 特定のア ク テ ィ ブな

GTX ト ラ ンシーバーが表示されます。 各行では、 特定の DRP 属性またア ド レ スが表示されます。

パネル下部の [View By Attribute Name] をオンにする と、 すべての DRP 属性がアルフ ァベッ ト 順

で表示されます。 [Radix] では [Hex] (16 進数) または [Bin] (2 進数) のいずれかを選択でき ます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 99UG029 (v13.4) 2012 年 1 月 18 日

第 4 章 : ChipScope Pro Analyzer の使用

値を変更するには、 テキ ス ト フ ィ ール ド を ク リ ッ ク し て新しい値を入力し てから、 Enter キーを押

し ます。 新しい値が MGT ト ラ ンシーバーに反映されます。

パネル下部の [View By Address] がオンにな っ てい る と、 行ア ド レ ス が数字順に表示 さ れます。

[Radix] では [Hex] (16 進数) または [Bin] (2 進数) のいずれかを選択でき ます。値を変更するには、

テキ ス ト フ ィ ール ド を ク リ ッ ク し て新しい値を入力し てから、 Enter キーを押し ます。 新しい値が

MGT ト ラ ンシーバーに反映されます。

[Port Settings] パネル

こ のパネルには、 複数の列 と 行を含むテーブルが表示さ れます。 各列では、 特定のア ク テ ィ ブな

GTX ト ラ ンシーバーが表示されます。 各行には、 特定の MGT ポー ト が表示されます。 一部のポー

ト は IBERT デザイ ンでデータの送受信に使用される ため、 すべてのポー ト が表示されるわけでは

あ り ません。

[Radix] では、 値の基数に [Hex] (16 進数) または [Bin] (2 進数) を選択でき ます。 一部のポー ト は

読み取 り 専用で編集でき ません。 これらのセルは、 ラベルのよ う に表示されます。 編集可能な表内

のポー ト は、 テキス ト フ ィ ール ド のよ う に表示され、 カーソルを置 く と新しい値が入力でき、Enter キーを押すと その値がすぐに反映されます。

[Sweep Test Settings] パネル

こ のパネルは、 さ まざまな ト ラ ンシーバー設定を ス イープするチャネル テス ト を設定するのに使用

し ます。 TX および RX 設定は、 同じ GTX ト ラ ンシーバー向けです。 TX および RX の両方の設定

のス イープは、 ト ラ ンシーバーが近端または外部ループバッ ク モード のいずれかに設定されている

と きのみ機能し ます。 RX パラ メ ーターのス イープは、 リ ン ク の対応する TX エン ド ポイ ン ト が別

のデバイ ス または同じデバイ スに含まれる別の ト ラ ンシーバーに含まれる と きのみ実行でき ます。

こ のパネルは、[Parameter Settings]、[Sampling Point Region]、[Test Controls]、および [Test Results] セ ク シ ョ ンの 4 つのセ ク シ ョ ンから構成されています。

[Parameter Settings]

こ のセ ク シ ョ ンでは、 ス イープ パラ メ ーターを設定し ます。

次に、 ス イープに使用でき る GTX ト ラ ンシーバーのパラ メーターを示し ます。

• [TX Diff Swing]

• [TX Pre-Emphasis]

• [TX Post-Emphasis]

• [RX EQ]

これらのス イープ パラ メーターは、 次のいずれかの方法で初期化でき ます。

• [Clear All Parameters] を ク リ ッ ク し てすべてのパラ メーターを ク リ ア し ます。

• [Set Parameters to Current Values] を ク リ ッ ク し て、 [MGT/BERT Settings] パネルの現在の値

にパラ メ ーターを設定し ます。

[Sweet Parameter] 表のパラ メーターの順序は、パラ メ ーターのス イープ順序を示し ています。表上

部のパラ メ ーターの値は、 表下部のパラ メ ーターに比べス イープされる頻度が低 く な り ます。 つま

り 、 表上部のパラ メ ーターはス イープ アルゴ リ ズムの外側ループにあ り 、 表下部のパラ メ ーターは

ス イープ アルゴ リ ズムの内側ループにあ る と い う こ と です。

各パラ メ ーターで開始値と終了値を設定する必要があ り ます。 パラ メ ーターの順序は変更でき ませ

ん。 開始値を選択する と、 その値に対し て有効な終了値が自動的に表示されます。 パラ メ ーターで

100 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer の機能

ス イープの設定を希望し ない場合は、 開始値 と 終了値を同じ値にし ます。 [Sweep Value Count] 列

では、 特定のパラ メ ーターでス イープされる値の数が示されます。 すべてのス イープ パラ メ ーター

に有効な開始値と終了値が設定される と、 ス イープ実行回数が [Total Iterations] に表示されます。

パラ メ ーターを表に追加または削除するには、 [Add/Remove Parameters] を ク リ ッ ク し ます。 ダ イ

ア ロ グ ボ ッ ク スが表示され、 左側には使用可能なポー ト /属性すべて、 右側にはス イープするパラ

メ ーターが表示されます。 ス イープするパラ メ ーターを追加するには、 左側の リ ス ト からいずれか

を ク リ ッ ク し て、右方向 (>) ボタ ンを ク リ ッ ク し ます。 ス イープするパラ メーターを削除するには、

右側の リ ス ト からいずれかを ク リ ッ ク し て、 左方向 (<) ボ タ ンを ク リ ッ ク し ます。 パラ メ ーターの

ス イープ順を指定するには、 右側の リ ス ト のいずれかを ク リ ッ ク し てか ら [Up] または [Down] を

ク リ ッ ク し ます。ス イープ属性およびその順序をデフ ォル ト の設定に戻す場合は、[Reset to Default] を ク リ ッ ク し ます。 [OK] を ク リ ッ ク し て設定を適用するか、 [Cancel] を ク リ ッ ク し て保存せずに

終了し ます。

[Sampling Point Region]

サンプ リ ング ポ イ ン ト は、 ア イ と サンプル間の水平ポ イ ン ト です。 左端の遷移領域を視覚化し てか

ら、 右端を視覚化し ます。 [RX Sampling Point] は、 128 個の不連続のサンプ リ ング位置の 1 つで

す。 こ のセ ク シ ョ ンでは、 サンプ リ ング領域の左端および右端を選択し ます。

[Test Controls]

ス イープ テス ト を設定し た ら、 [Start] を ク リ ッ ク し てテス ト を開始し ます。 ク リ ッ ク し た後は、 ス

イープ パラ メーター表がデ ィ スエーブルにな り テス ト が実行されます。

ス イープ テス ト の実行の過程で現在のス イープ結果フ ァ イル、 現在の実行回数、 経過時間、 および

概算残 り 時間ステータ スが表示されます。 ス イープ結果は、 画面下のテキス ト エ リ アに表示されま

す。 ス イープ テス ト は [Pause] を ク リ ッ ク し て一時停止し た り 、 [Reset] を ク リ ッ ク し て完全に停

止する こ と ができ ます。

ス イープテス ト の結果は、 [Test Results] パネルに表示されます。 またス イープ テス ト 結果フ ァ イ

ルに書き出すこ と もでき ます。 [Log File Settings] を ク リ ッ クする と、 ダ イ ア ロ グ ボ ッ ク スが表示

されます。

こ のダ イ ア ロ グ ボ ッ ク スでは、フ ァ イルの保存場所と各フ ァ イルに含める ス イープ実行回数を設定

でき ます。 ス イープ実行回数がフ ァ イルの制限を越え る場合は、 初の結果フ ァ イル と同じデ ィ レ

ク ト リ にベース フ ァ イル名の開始実行番号が付け られた複数のフ ァ イルが作成されます。

[Test Results]

こ のパネルには、 現在の実行、 経過時間、 および残 り 時間の概算が表示されます。 こ のステータ ス

情報の下には、 ス イープ テス ト 結果を示す次のタブが表示されます。

• [Sweep Test Log]

• [Sweep Test Plots]

• [Sweep Test Info]

[Sweep Test Log]

[Sweep Test Log] は常に表示される タブで、 ス イープ テス ト 結果の実行ロ グが含まれます。 こ のタ

ブの情報は、 テキ ス ト でのみ表示されます。 ス イープ テス ト の結果は、 CSV ロ グフ ァ イルにも含

まれます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 101UG029 (v13.4) 2012 年 1 月 18 日

第 4 章 : ChipScope Pro Analyzer の使用

[Sweep Test Plots]

[Sweep Test Plots] はス イープ テス ト の実行が停止し た後にのみ表示される タブです。 ス イープ テ

ス ト プロ ッ ト のウ ィ ン ド ウに表示される グ ラ フ ィ ッ ク データは、 CSV ロ グ フ ァ イルに保存された

データ と同じです。 プロ ッ ト はそれぞれ受信信号のユニ ッ ト イ ン ターバル (UI) のス イープに該当

し ます。 データ プロ ッ ト の左端 と右端は、 [Sample Point Region] パネルの設定に対応し ます。

ス イープ テス ト プロ ッ ト は、一番低いビ ッ ト エラー率 (BER) でのア ク テ ィ ブ プロ ッ ト の UI の開

口幅で主に計測されます。 水平マーカーはク リ ッ ク し て上下に、 垂直マーカーは左右に ド ラ ッ グで

き ます。 [Sweep Test Plots] タブの右側のプロ ッ ト リ ス ト エ リ アを右ク リ ッ クする と、 各プロ ッ ト

の表示/非表示を切 り 替えた り 、 名前や色を付け替えた り 、 ア ク テ ィ ブに設定し た り でき ます。

[Sweep Test Info]

[Sweep Test Info] も ス イープ テス ト の実行が停止し た後にのみ表示される タブです。 [Sweep Test Info] の表形式のデータは、 [Sweep Test Plots] のグ ラ フ ィ カルなデータに対応し ます。 表の各行が

1 つのス イープ テス ト プロ ッ ト に該当し ます。 表の列では、 それぞれ次を示し ます。

• [Enable Plot] : [Sweep Test Plots] パネルのプロ ッ ト の表示/非表示を切 り 替えます。オンにする

と表示、 オフにする と非表示にな り ます。

• [Line Color] : [Sweep Test Plots] パネルで使用される線の色を指定し ます。 ク リ ッ クする と、

新しい色を選択でき ます。

• [Plot Name] : プロ ッ ト の名前を指定し ます。 テキス ト フ ィ ール ド を ク リ ッ クする と、 プロ ッ

ト 名を変更でき ます。

• [Opening at the Lowest BER Level] : 一番低い BER レベルでエラーな く 実行される 長幅が

示されます。

• 残 り の列には、 ス イープ テス ト プロ ッ ト を作成するために使用されたパラ メ ーター値が表示

されます。

列ヘッ ダーを ク リ ッ クする と、 パネルの行を並び替え る こ と ができ ます。

注記 : [Opening at the Lowest BER Level] 列ヘッ ダーを ク リ ッ ク し、 プロ ッ ト を UI 開口幅の広い

順に並び替え る こ と をお勧めし ます。

IBERT Virtex-6 FPGA GTX ト ラ ンシーバーのツールバーおよび メ ニュー オプシ ョ ン

[IBERT Console Options]

[IBERT Console Options] ダ イ ア ロ グ ボ ッ ク スでは、コ ン ソール ウ ィ ン ド ウに表示する列および行

を選択で き ます。 左側パネルでは、 MGT を ロ ケーシ ョ ンで選択し ます。 すべて選択する場合は

[Check All]、 すべて選択し ない場合は [Uncheck All] を ク リ ッ ク し ます。

右側パネルでは、 [MGT/BERT Settings] パネルに表示される行を選択し ます。 すべて選択する場合

は [Check All]、 すべて選択し ない場合は [Uncheck All] を ク リ ッ ク し ます。 [Default] を ク リ ッ ク

する と、チャネルの有効性を決定するのに必要な行の基本セ ッ ト がコ ン ソール ウ ィ ン ド ウに表示さ

れます。[Default] で表示される行は、[Tile Location]、[TX PLL Status]、[RX PLL Status]、[Loopback Mode]、 [Channel Reset]、 [TX Error Inject]、 [Rx Sampling Point]、 [TX Data Pattern]、 [RX Data pattern]、 [Rx Bit Error Ratio]、 [Rx Received Bit Count]、 [Rx Bit Error Count]、 [BERT Reset]、

[TXUSRCLK2 Freq]、 および [RXUSRCLK2 Freq] です。

[Import/Export] ダイアログ ボ ッ ク ス

こ のダ イ ア ロ グ ボ ッ ク スでは、 特定の MGT の設定を保存し て復元し た り 、 デザイ ンの別の MGT に適用で き ま す。 設定 を イ ン ポー ト ま た はエ ク ス ポー ト す る には、 [IBERT_V6GTX] →

102 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer の機能

[Import/Export Wizard] を ク リ ッ クするか、 またはツールバーの [Import/Export Wizard] を ク リ ッ

ク し ます。

ウ ィ ザー ド の 初の画面では、 MGT 設定の ソースに [MGT] または [File] のいずれかを選択し ま

す。 [MGT] を選択し た場合は、 コ ンボ ボ ッ ク スに表示される MGT から選択し ます。 [File] を選択

し た場合は、 [Browse] を ク リ ッ ク し て設定フ ァ イルを指定し ます。 [Next] を ク リ ッ ク し て、 次の

画面に進みます。

次の画面では、デステ ィ ネーシ ョ ンを指定し ます。 IBERT デザイ ンに含まれる MGT と フ ァ イルを

自由に組み合わせる こ と ができ ます。 [File] を イ ネーブルし た場合は、 [Browse] を ク リ ッ ク し て

フ ァ イル デステ ィ ネーシ ョ ンを指定し ます。

3 番目の画面には、設定のソース とデステ ィ ネーシ ョ ンのサマ リ が表示されます。[Apply] を ク リ ッ

ク し てイ ンポー ト またはエク スポー ト し ます。 この操作は、 元に戻すこ と ができ ません。

[Reset All]

IBERT コ アのすべてのチャ ネルを リ セ ッ ト するには、 [IBERT_V6GTX] → [Reset All] を ク リ ッ ク

するか、 またはツールバーの [Reset All] を ク リ ッ ク し ます。

[JTAG Scan Rate] および [Scan Now]

ツールバーの [JTAG Scan Rate] および [IBERT_V6GTX] → [JTAG Scan Rate] メ ニ ューを ク リ ッ

クする と、 ChipScope Pro Analyzer ツールで IBERT コ アのステータ ス情報を確認する頻度を選択

でき ます。 デフ ォル ト は [1 s] ですが、 [250 ms]、 [500 ms]、 [2 s]、 [5 s]、 または [Manual Scan] も

選択でき ます。[Manual Scan] を選択し た場合、[IBERT_V6GTX] → [Scan Now] またはツールバー

の [Scan Now] ([S!]) を ク リ ッ クする と、 IBERT コ アの クエ リ をすぐに実行でき ます。

Virtex-6 FPGA GTH ト ラ ンシーバー用 IBERT コ ン ソール ウ ィ ン ド ウ

Virtex-6 HXT フ ァ ミ リ GTH ト ラ ンシーバー用の IBERT コ アのコ ン ソールを開 く には、[Window] → [New Unit Windows] でコ アを選択し ます。 このコ ア ユニ ッ ト 向けのダ イ ア ロ グ ボ ッ ク スが表

示されるので [IBERT V6 GTH Console] を選択し ます。 こ のダ イ ア ロ グ ボ ッ ク スからはウ ィ ン ド

ウ を閉じ る こ と はでき ません。

プロ ジェ ク ト ツ リ ーの [IBERT V6 GTH Console] をダブルク リ ッ クするか、 または右ク リ ッ ク し

て [Open IBERT V6 GTH Console] を ク リ ッ ク し て も コ ン ソール ウ ィ ン ド ウ を表示でき ます。

Virtex-6 HXT フ ァ ミ リ の GTH ト ラ ンシーバー用 IBERT コ ン ソール ウ ィ ン ド ウは、「[MGT/BERT Settings] パネル」、 99 ページの 「[DRP Settings] パネル」、 100 ページの 「[Port Settings] パネル」、

および 102 ページの「IBERT Virtex-6 FPGA GTX ト ラ ンシーバーのツールバーおよび メ ニュー オ

プシ ョ ン」 で構成されています。

[MGT/BERT Settings] パネル

こ のパネルには、 複数の列 と 行を含むテーブルが表示さ れます。 各列では、 特定のア ク テ ィ ブな

GTH ト ラ ンシーバーが表示されます。 各行では特定の制御またはステータ ス設定が表示されます。

[MGT Settings]

[MGT Alias] は、 GTH ト ラ ンシーバーの MGT 番号に初期設定されていますが、 新し い値を入力

でき ます。

[Tile Location] には、 デバイ スに含まれる GTH ト ラ ンシーバーの X/Y 座標が表示されます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 103UG029 (v13.4) 2012 年 1 月 18 日

第 4 章 : ChipScope Pro Analyzer の使用

[MGT Link Status] には、特定の GTH ト ラ ンシーバー チャネルのレシーバーに接続されている リ ン

ク検出ロ ジ ッ クのステータ スが表示されます。 チャ ネルが リ ン ク されている場合は緑色で計測され

た ラ イ ン レー ト が表示され、 リ ン ク されていない場合は 「NOT LOCKED」 (赤色) と表示されます。

[PLL Status] には GTH QUAD に含まれている PLL のロ ッ ク ステータ スが表示されます。 こ のス

テータ ス イ ンジケーターの有効なステー ト は、LOCKED (緑色) または NOT LOCKED (赤色) です。

[Loopback Mode] では、 特定の GTH ト ラ ンシーバー チャ ネルのループバ ッ ク モー ド を制御し ま

す。 次にループバッ ク モード の選択肢を示し ます。

• [None] : フ ィ ード バッ ク パスは使用されません。

• [Near-End PCS] : 回路は近端 GTH ト ラ ンシーバー チャネルに完全に含まれています。 TX フ ァ ブ リ ッ ク イ ン ターフ ェースから開始し て、 PCS を通過し、 GTH ト ラ ンシーバー チャネル

の PMA 側を通過せずに RX フ ァブ リ ッ クに戻 り ます。

• [Far-End PCS] : 回路は、外部テス ト 装置やほかのデバイ スの一部などの外部チャネルのエン ド

ポイ ン ト から始ま り 、GTH ト ラ ンシーバー チャネルを通過し て元に戻 り ます。 この GTH ルー

プバッ ク モード では、 信号が RX ピ ンに入力され、 PMA、 PCS、 PMA を通過し て TX ピンに

戻 り ます。

[QUAD Reset] を ク リ ッ クする と、 内部 PMA および PCS 回路に加え関連する フ ァ ブ リ ッ ク イ ン

ターフ ェ イ スがク リ ア、 リ セ ッ ト され、 GTH QUAD ( ト ラ ンシーバー 4 個) が リ セ ッ ト されます。

[TX Bit Error Inject] を ク リ ッ クする と、1 つの送信ワード に含まれる 1 ビ ッ ト の極性が反転されま

す。 こ の ト ラ ン ス ミ ッ ターに接続されているチャネルのレシーバー エン ド ポイ ン ト では、 1 ビ ッ ト

エラーが検出されるはずです。

[TX Diff Output Swing] では、ト ラ ン ス ミ ッ ターの差動振幅を制御し ます。値を変更する場合はボ ッ

ク スに入力し ます。

[TX Pre-Emphasis] では、 送信信号のプ リ エンフ ァ シス量を制御し ます。 値を変更する場合はボ ッ

ク スに入力し ます。

[TX Post-Emphasis] では、 送信信号のポ ス ト エン フ ァ シ ス量を制御し ます。 値を変更する場合は

ボ ッ ク スに入力し ます。

[RX Equalization] では、 内部 RX イ コ ラ イゼーシ ョ ン回路を制御し ます。

[BERT Settings]

[TX/RX Data Pattern] は、 ト ラ ン ス ミ ッ ターのパターン ジェネレーターおよびレシーバのパターン

チ ェ ッ カーで使用 さ れ る デー タ パ タ ーン を選択す る のに使用 し ます。 こ れ ら のパ タ ーンには、

PRBS7、 15、 23、 31、 および Clk 2x、 10x が含まれています。

[RX Bit Error Ratio] には、GTH ト ラ ンシーバー チャネルに対し て算出されたビ ッ ト エラー率が表

示されます。 こ の値は指数で表現されます。 た と えば、 1.000E-12 は 1 兆ビ ッ ト 受信する たびに 1 ビ ッ ト エラーが発生する こ と を意味し ます。

[RX Received Bit Count] には、受信し たビ ッ ト 数の総計が表示されます。 このカ ウ ン ト は、 [BERT Reset] を ク リ ッ ク し た と きに リ セ ッ ト されます。

[RX Bit Error Count] には、 検出さ れたビ ッ ト エラー数の総計が表示されます。 このカ ウ ン ト は、

[BERT Reset] を ク リ ッ ク し た と きに リ セ ッ ト されます。

このボタ ンを ク リ ッ クする と、 ビ ッ ト エラー カ ウ ン ターおよび受信ビ ッ ト カ ウ ン ターが リ セ ッ ト

されます。 GTH チャネルが リ ン ク され安定し た後に BERT カ ウ ン ターを リ セ ッ ト し て く ださ い。

104 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer の機能

[Clocking Settings]

[TXUSERCLKOUT Freq (MHz)] には、 GTH ト ラ ン シーバーの TXUSERCLKOUT ポー ト の ク

ロ ッ ク周波数の概算値が MHz で表示されます。 こ の概算値の精度は、 コ ンパイル時に指定された

システム ク ロ ッ クの周波数によ って異な り ます。

[RXUSERCLKOUT Freq (MHz)] には、 GTH ト ラ ンシーバーの RXUSERCLKOUT ポー ト の ク

ロ ッ ク周波数の概算値が MHz で表示されます。 こ の概算値の精度は、 コ ンパイル時に指定された

システム ク ロ ッ クの周波数によ って異な り ます。

[DRP Settings] パネル

こ のパネルでは、 DRP 属性またはア ド レ ス を示す表が表示されます。 各列には、 特定のア ク テ ィ ブ

な GTH QUAD が表示されます。 各行では、 特定の DRP 属性またア ド レ スが表示されます。

パネル下部の [View By Attribute Name] をオンにする と、 すべての DRP 属性がアルフ ァベッ ト 順

で表示されます。 [Radix] では [Hex] (16 進数) または [Bin] (2 進数) のいずれかを選択でき ます。

値を変更するには、 テキ ス ト フ ィ ール ド を ク リ ッ ク し て新しい値を入力し てから、 Enter キーを押

し ます。 新しい値が GTH ト ラ ンシーバーに反映されます。

パネル下部の [View By Address] がオンにな っ てい る と、 行ア ド レ ス が数字順に表示 さ れます。

[Radix] では [Hex] (16 進数) または [Bin] (2 進数) のいずれかを選択でき ます。値を変更するには、

テキ ス ト フ ィ ール ド を ク リ ッ ク し て新しい値を入力し てから、 Enter キーを押し ます。 新しい値が

GTH ト ラ ンシーバーに反映されます。

[Port Settings] パネル

こ のパネルには、 複数の列 と 行を含むテーブルが表示さ れます。 各列では、 特定のア ク テ ィ ブな

GTH QUAD が表示さ れます。 各行には、 特定の ト ラ ンシーバー ポー ト が表示さ れます。 一部の

ポー ト は IBERT デザイ ンでデータの送受信に使用される ため、 すべてのポー ト が表示されるわけ

ではあ り ません。

[Radix] では、 値の基数に [Hex] (16 進数) または [Bin] (2 進数) を選択でき ます。 一部のポー ト は

読み取 り 専用で編集でき ません。 これらのセルは、 ラベルのよ う に表示されます。 編集可能な表内

のポー ト は、 テキ ス ト フ ィ ール ド のよ う に表示されます。 値を変更する場合は、 これらのフ ィ ール

ド にカーソルを置いて新しい値を入力し、Enter キーを押し ます。新しい値が GTH ト ラ ンシーバー

に反映されます。

IBERT Virtex-6 FPGA GTH ト ラ ンシーバーのツールバーおよび メ ニュー オプシ ョ ン

[IBERT Console Options]

[IBERT Console Options] ダ イ ア ロ グ ボ ッ ク スでは、コ ン ソール ウ ィ ン ド ウに表示する列および行

を選択でき ます。 左側パネルでは、 ト ラ ンシーバを ロ ケーシ ョ ンで選択し ます。 すべて選択する場

合は [Check All]、 すべて選択し ない場合は [Uncheck All] を ク リ ッ ク し ます。

右側パネルでは、 [MGT/BERT Settings] パネルに表示される行を選択し ます。 すべて選択する場合

は [Check All]、 すべて選択し ない場合は [Uncheck All] を ク リ ッ ク し ます。 [Default] を ク リ ッ ク

する と、チャネルの有効性を決定するのに必要な行の基本セ ッ ト がコ ン ソール ウ ィ ン ド ウに表示さ

れます。[Default] で表示される行は、[Tile Location]、[TX PLL Status]、[RX PLL Status]、[Loopback Mode]、 [Channel Reset]、 [TX Error Inject]、 [Rx Sampling Point]、 [TX Data Pattern]、 [RX Data pattern]、 [Rx Bit Error Ratio]、 [Rx Received Bit Count]、 [Rx Bit Error Count]、 [BERT Reset]、

[TXUSRCLK2 Freq]、 および [RXUSRCLK2 Freq] です。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 105UG029 (v13.4) 2012 年 1 月 18 日

第 4 章 : ChipScope Pro Analyzer の使用

[Import/Export] ダイアログ ボ ッ ク ス

こ のダ イ ア ロ グ ボ ッ ク スでは、 特定の GTH ト ラ シーバーの設定を保存し て復元し た り 、 デザイ ン

の別 の ト ラ ン シ ーバー に適用 で き ま す。 設定 を イ ン ポ ー ト ま た は エ ク ス ポ ー ト す る に は、

[IBERT_V6GTH] → [Import/Export Wizard] を ク リ ッ クするか、 またはツールバーの [Import/ Export Wizard] を ク リ ッ ク し ます。

ウ ィ ザード の 初の画面では、 ト ラ ンシーバー設定の ソースに [MGT] または [File] のいずれかを

選択し ます。 [MGT] を選択し た場合は、 コ ンボ ボ ッ ク スに表示される ト ラ ンシーバーから選択し

ます。 [File] を選択し た場合は、 [Browse] を ク リ ッ ク し て設定フ ァ イルを指定し ます。 [Next] を ク

リ ッ ク し て、 次の画面に進みます。

次の画面では、 デス テ ィ ネーシ ョ ンを指定し ます。 IBERT デザイ ンに含まれる GTH ト ラ ンシー

バー と フ ァ イルを自由に組み合わせる こ と ができ ます。 [File] を イ ネーブルし た場合は、 [Browse] を ク リ ッ ク し てフ ァ イル デステ ィ ネーシ ョ ンを指定し ます。

3 番目の画面には、設定のソース とデステ ィ ネーシ ョ ンのサマ リ が表示されます。[Apply] を ク リ ッ

ク し てイ ンポー ト またはエク スポー ト し ます。 この操作は、 元に戻すこ と ができ ません。

[Reset All]

IBERT コ アのすべてのチャ ネルを リ セ ッ ト するには、 [IBERT_V6GTH] → [Reset All] を ク リ ッ ク

するか、 またはツールバーの [Reset All] を ク リ ッ ク し ます。

[JTAG Scan Rate] および [Scan Now]

ツールバーの [JTAG Scan Rate] および [IBERT_V6GTH] → [JTAG Scan Rate] メ ニ ューを ク リ ッ

クする と、 ChipScope Pro Analyzer ツールで IBERT コ アのステータ ス情報を確認する頻度を選択

でき ます。 デフ ォル ト は [1 s] ですが、 [250 ms]、 [500 ms]、 [2 s]、 [5 s]、 または [Manual Scan] も

選択でき ます。[Manual Scan] を選択し た場合、[IBERT_V6GTH] → [Scan Now] またはツールバー

の [Scan Now] ([S!]) を ク リ ッ クする と、 IBERT コ アの クエ リ を実行でき ます。

Spartan-6 FPGA GTP ト ラ ンシーバー用 IBERT コ ン ソール ウ ィ ン ド ウ

Spartan-6 LXT デバ イ ス の GTP ト ラ ン シーバー用の IBERT コ ア の コ ン ソ ール を 開 く には、

[Window] → [New Unit] → [Windows] でコ アを選択し ます。このコ ア ユニ ッ ト 向けのダ イ ア ロ グ

ボ ッ ク スが表示されるので [IBERT S6 GTP Console] を選択し ます。このダ イ ア ロ グ ボ ッ ク スから

はウ ィ ン ド ウ を閉じ る こ と はでき ません。

プロ ジェ ク ト ツ リ ーの [IBERT S6 GTP Console] をダブルク リ ッ クするか、または右ク リ ッ ク し て

[Open IBERT S6 GTP Console] を ク リ ッ ク し て も コ ン ソール ウ ィ ン ド ウ を表示でき ます。

Spartan-6 LXT プラ ッ ト フ ォームの IBERT コ ン ソール ウ ィ ン ド ウは、 [MGT/BERT Settings] パネ

ル、 [DRP Settings] パネル、 および [Port Settings] パネルで構成されています。

[MGT/BERT Settings] パネル

こ のパネルには、 複数の列 と 行を含むテーブルが表示さ れます。 各列では、 特定のア ク テ ィ ブな

GTP ト ラ ンシーバーが表示されます。 各行では特定の制御またはステータ ス設定が表示されます。

[MGT Settings]

[MGT Alias] は、 GTP ト ラ ンシーバー MGT 番号に初期設定されていますが、新しい値を入力でき

ます。

[Tile Location] には、 デバイ スに含まれる GTP ト ラ ンシーバーの X/Y 座標が表示されます。

106 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer の機能

[MGT Link Status] には、 特定の GTP ト ラ ンシーバー チャ ネルのレシーバに接続されている リ ン

ク検出ロ ジ ッ ク のステータ スが表示されます。 チャネルが リ ン ク されている場合は緑色で計測され

た ラ イ ン レー ト が表示され、 リ ン ク されていない場合は 「NOT LOCKED」 (赤色) と表示されます。

[PLL Status] では GTP ト ラ ンシーバーに接続されている PLL のロ ッ ク ス テータ スが表示されま

す。 こ のステータ ス イ ンジケーターの有効なステー ト は、 LOCKED (緑色) または NOT LOCKED (赤色) です。

[Loopback Mode] は、 特定の GTP ト ラ ンシーバー チャネルのループバッ ク モード を制御し ます。

次にループバッ ク モード の選択肢を示し ます。

• [None] :フ ィ ード バッ ク パスは使用されません。

• [Near-End PCS] : 回路は近端 GTP ト ラ ンシーバー チャネルに完全に含まれています。 TX フ ァ ブ リ ッ ク イ ン ターフ ェースから開始し て、 PCS を通過し、 GTP ト ラ ンシーバー チャネル

の PMA サイ ド を通過せずに RX フ ァブ リ ッ クに戻 り ます。

• [Near-End PMA] : 回路は近端 GTP ト ラ ンシーバー チャネルに完全に含まれています。 TX フ ァ ブ リ ッ ク か ら開始し て、 PCS、 PMA、 PCS を通過し、 RX フ ァ ブ リ ッ ク イ ン ターフ ェ イ

スに戻 り ます。

• [Far-End PMA] : 回路は、 テス ト 装置やほかのデバイ スの一部などの外部チャネルのエン ド ポ

イ ン ト から始ま り 、 GTP ト ラ ンシーバー チャネルを通過し て元に戻 り ます。 こ の GTP ループ

バッ ク モード では、 信号が RX ピンに入力され、 PMA 回路を通過し て TX ピ ンに戻 り ます。

• [Far-End PCS] : 回路は、テス ト 装置やほかのデバイ スの一部などの外部チャネルのエン ド ポイ

ン ト か ら始ま り 、 GTP ト ラ ンシーバー チャ ネルを通過し て元に戻 り ます。 こ の GTP ループ

バッ ク モード では、 信号が RX ピ ンに入力され、 PMA、 PCS、 PMA を通過し て TX ピンに戻

り ます。

• [Far-End Fabric] : 回路は、テス ト 装置やほかのデバイ スの一部などの外部チャネルのエン ド ポ

イ ン ト から始ま り 、 GTP ト ラ ンシーバー チャネルおよび関連する フ ァ ブ リ ッ ク ロジ ッ ク を通

過し て元に戻 り ます。 この GTP ループバッ ク モード では、信号が RX ピンに入力され、PMA、

PCS、 ワー ド 数が少ないフ ァ ブ リ ッ ク ベースの FIFO、 PCS、 PMA を通過し て TX ピ ンに戻

り ます。

[Channel Reset] ボタ ンを ク リ ッ クする と、 内部 PMA および PCS 回路に加え関連する フ ァ ブ リ ッ

ク イ ン ターフ ェ イ スが ク リ ア、 リ セ ッ ト され、 GTP ト ラ ンシーバー チャネルが リ セ ッ ト されます。

[TX Polarity Invert] では、 GTP チ ャ ネルの TX ピ ンか ら送信 さ れたデータ の極性を制御し ます。

GTP ト ラ ンシーバーの TX 側の極性を反転するには、 こ のチェ ッ ク ボ ッ ク スをオンにし ます。

[TX Bit Error Inject] を ク リ ッ クする と、1 つの送信ワード に含まれる 1 ビ ッ ト の極性が反転されま

す。 こ の ト ラ ン ス ミ ッ ターに接続されているチャネルのレシーバー エン ド ポイ ン ト では、 1 ビ ッ ト

エラーが検出されるはずです。

[TX Diff Output Swing] では、ト ラ ン ス ミ ッ ターの差動振幅を制御し ます。値を変更する場合はボ ッ

ク スに入力し ます。

[TX Pre-Emphasis] では、 送信信号のプ リ エンフ ァ シス量を制御し ます。 値を変更する場合はボ ッ

ク スに入力し ます。

[RX Polarity Invert] では、GTP チャネルの RX ピンから受信し たデータの極性を制御し ます。GTP ト ラ ンシーバーの RX 側の極性を反転するには、 このチェ ッ ク ボ ッ ク ス をオンにし ます。

[RX AC Coupling Enabled] では、 ビル ト イ ン AC カ ッ プ リ ング キ ャパシタ を イ ネーブルにするか

ど う かを制御し ます。

[RX Termination Voltage] では、 RX 終端ネ ッ ト ワーク で使用する電源を制御し ます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 107UG029 (v13.4) 2012 年 1 月 18 日

第 4 章 : ChipScope Pro Analyzer の使用

[RX Equalization] では、 内部 RX イ コ ラ イゼーシ ョ ン回路を制御し ます。

[BERT Settings]

[TX/RX Data Pattern] は、 ト ラ ン ス ミ ッ ターのパターン ジェネレーターおよびレシーバのパターン

チ ェ ッ カーで使用 さ れ る デー タ パ タ ーン を選択す る のに使用 し ます。 こ れ ら のパ タ ーンには、

PRBS7、 15、 23、 31、 および Clk 2x、 10x が含まれています。

[RX Bit Error Ratio] フ ィ ール ド には、GTP ト ラ ンシーバー チャネルに対し て算出されたビ ッ ト エ

ラー率が含まれています。 こ の値は指数で表現されます。 た と えば、 1.000E-12 は 1 兆ビ ッ ト 受信

するたびに 1 ビ ッ ト エラーが発生する こ と を意味し ます。

[RX Received Bit Count] には、受信し たビ ッ ト 数の総計が表示されます。 このカ ウ ン ト は、 [BERT Reset] を ク リ ッ ク し た と きに リ セ ッ ト されます。

[RX Bit Error Count] には、 検出さ れたビ ッ ト エラー数の総計が表示されます。 このカ ウ ン ト は、

[BERT Reset] を ク リ ッ ク し た と きに リ セ ッ ト されます。

このボタ ンを ク リ ッ クする と、 ビ ッ ト エラー カ ウ ン ターおよび受信ビ ッ ト カ ウ ン ターが リ セ ッ ト

されます。 GTP ト ラ ンシーバー チャネルが リ ン ク され安定し た後に BERT カ ウ ン タ を リ セ ッ ト し

て く ださ い。

[Clocking Settings]

[TXUSRCLK Freq (MHz)] には、 GTP ト ラ ンシーバーの TXUSRCLK ポー ト のク ロ ッ ク周波数の

概算値が MHz で表示されます。 こ のステータ スの正確度は、 コ ンパイル時に指定されたシステム

ク ロ ッ ク の周波数によ って異な り ます。

[TXUSRCLK2 Freq (MHz)] には、 GTP ト ラ ンシーバーの TXUSRCLK2 ポー ト のク ロ ッ ク周波数

の概算値が MHz で表示されます。 こ のステータ スの正確度は、 コ ンパイル時に指定されたシス テ

ム ク ロ ッ クの周波数によ って異な り ます。

[RXUSRCLK Freq (MHz)] には、 GTP ト ラ ンシーバーの RXUSRCLK ポー ト のク ロ ッ ク周波数の

概算値が MHz で表示されます。 こ のステータ スの正確度は、 コ ンパイル時に指定されたシステム

ク ロ ッ ク の周波数によ って異な り ます。

[RXUSRCLK2 Freq (MHz)] には、GTP ト ラ ンシーバーの RXUSRCLK2 ポー ト のク ロ ッ ク周波数

の概算値が MHz で表示されます。 こ のステータ スの正確度は、 コ ンパイル時に指定されたシス テ

ム ク ロ ッ クの周波数によ って異な り ます。

[DRP Settings] パネル

こ のパネルには、 複数の列 と 行を含むテーブルが表示さ れます。 各列では、 特定のア ク テ ィ ブな

GTP ト ラ ンシーバーが表示されます。 各行では、 特定の DRP 属性またア ド レ スが表示されます。

パネル下部の [View By Attribute Name] をオンにする と、 すべての DRP 属性がアルフ ァベッ ト 順

で表示されます。 [Radix] では [Hex] (16 進数) または [Bin] (2 進数) のいずれかを選択でき ます。

値を変更するには、 テキ ス ト フ ィ ール ド を ク リ ッ ク し て新しい値を入力し てから、 Enter キーを押

し ます。 新しい値が MGT ト ラ ンシーバーに反映されます。

パネル下部の [View By Address] がオンにな っ てい る と、 行ア ド レ ス が数字順に表示 さ れます。

[Radix] では [Hex] (16 進数) または [Bin] (2 進数) のいずれかを選択でき ます。値を変更するには、

テキ ス ト フ ィ ール ド を ク リ ッ ク し て新しい値を入力し てから、 Enter キーを押し ます。 新しい値が

MGT ト ラ ンシーバーに反映されます。

108 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer の機能

[Port Settings] パネル

こ のパネルには、 複数の列 と 行を含むテーブルが表示さ れます。 各列では、 特定のア ク テ ィ ブな

GTP ト ラ ンシーバーが表示されます。 各行には、 特定の MGT ポー ト が表示されます。 一部のポー

ト は IBERT デザイ ンでデータの送受信に使用される ため、 すべてのポー ト が表示されるわけでは

あ り ません。

[Radix] では、 値の基数に [Hex] (16 進数) または [Bin] (2 進数) を選択でき ます。 一部のポー ト は

読み取 り 専用で編集でき ません。 これらのセルは、 ラベルのよ う に表示されます。 編集可能な表内

のポー ト は、 テキス ト フ ィ ール ド のよ う に表示され、 カーソルを置 く と新しい値が入力でき、Enter キーを押すと その値がすぐに反映されます。

IBERT Spartan-6 FPGA GTP のツールバーおよび メ ニュー オプシ ョ ン

[IBERT Console Options]

[IBERT Console Options] ダ イ ア ロ グ ボ ッ ク スでは、コ ン ソール ウ ィ ン ド ウに表示する列および行

を選択で き ます。 左側パネルでは、 MGT を ロ ケーシ ョ ンで選択し ます。 すべて選択する場合は

[Check All]、 すべて選択し ない場合は [Uncheck All] を ク リ ッ ク し ます。

右側パネルでは、 [MGT/BERT Settings] パネルに表示される行を選択し ます。 すべて選択する場合

は [Check All]、 すべて選択し ない場合は [Uncheck All] を ク リ ッ ク し ます。 [Default] を ク リ ッ ク

する と、チャネルの有効性を決定するのに必要な行の基本セ ッ ト がコ ン ソール ウ ィ ン ド ウに表示さ

れます。[Default] で表示される行は、[Tile Location]、[TX PLL Status]、[RX PLL Status]、[Loopback Mode]、 [Channel Reset]、 [TX Error Inject]、 [Rx Sampling Point]、 [TX Data Pattern]、 [RX Data pattern]、 [Rx Bit Error Ratio]、 [Rx Received Bit Count]、 [Rx Bit Error Count]、 [BERT Reset]、

[TXUSRCLK2 Freq]、 および [RXUSRCLK2 Freq] です。

[Import/Export] ダイアログ ボ ッ ク ス

こ のダ イ ア ロ グ ボ ッ ク スでは、 特定の MGT の設定を保存し て復元し た り 、 デザイ ンの別の MGT に適用でき ます。 設定を イ ンポー ト またはエク スポー ト するには、 [IBERT_S6GTP] → [Import/ Export Wizard] を ク リ ッ クするか、またはツールバーの [Import/Export Wizard] を ク リ ッ ク し ます。

ウ ィ ザー ド の 初の画面では、 MGT 設定の ソースに [MGT] または [File] のいずれかを選択し ま

す。 [MGT] を選択し た場合は、 コ ンボ ボ ッ ク スに表示される MGT から選択し ます。 [File] を選択

し た場合は、 [Browse] を ク リ ッ ク し て設定フ ァ イルを指定し ます。 [Next] を ク リ ッ ク し て、 次の

画面に進みます。

次の画面では、デステ ィ ネーシ ョ ンを指定し ます。 IBERT デザイ ンに含まれる MGT と フ ァ イルを

自由に組み合わせる こ と ができ ます。 [File] を イ ネーブルし た場合は、 [Browse] を ク リ ッ ク し て

フ ァ イル デステ ィ ネーシ ョ ンを指定し ます。

3 番目の画面には、設定のソース とデステ ィ ネーシ ョ ンのサマ リ が表示されます。[Apply] を ク リ ッ

ク し てイ ンポー ト またはエク スポー ト し ます。 この操作は、 元に戻すこ と ができ ません。

[Reset All]

IBERT コ アのすべてのチャ ネルを リ セ ッ ト するには、 [IBERT_S6GTP] → [Reset All] を ク リ ッ ク

するか、 またはツールバーの [Reset All] を ク リ ッ ク し ます。

[JTAG Scan Rate] および [Scan Now]

ツールバーの [JTAG Scan Rate] および [IBERT_S6GTP] → [JTAG Scan Rate] メ ニ ューを ク リ ッ ク

する と、 ChipScope Pro Analyzer ツールで IBERT コ アのステータ ス情報を確認する頻度を選択で

き ます。 デフ ォル ト は [1 s] ですが、 [250 ms]、 [500 ms]、 [2 s]、 [5 s]、 または [Manual Scan] も選

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 109UG029 (v13.4) 2012 年 1 月 18 日

第 4 章 : ChipScope Pro Analyzer の使用

択でき ます。[Manual Scan] を選択し た場合、[IBERT_S6GTP] → [Scan Now] またはツールバーの

[Scan Now] ([S!]) を ク リ ッ クする と、 IBERT コ アのク エ リ を実行でき ます。

Virtex-7/Kintex-7 FPGA GTX ト ラ ンシーバー用 IBERT コ ン ソール ウ ィ ンド ウ

Virtex-7/Kintex-7 FPGA GTX ト ラ ン シーバー用の IBERT コ ア の コ ン ソ ール を 開 く には、

[Window] → [New Unit Windows] でコ アを選択し ます。こ のコ ア ユニ ッ ト 向けのダ イ ア ロ グ ボ ッ

ク スが表示されるので [IBERT K7 GTX Console] を選択し ます。

プロ ジェ ク ト ツ リ ーの [IBERT K7 GTX Console] をダブルク リ ッ クするか、 または右ク リ ッ ク し

て [Open IBERT K7 GTX Console] を ク リ ッ ク し て も コ ン ソール ウ ィ ン ド ウ を表示でき ます。

Kintex-7 GTX ト ラ ンシーバー用 IBERT コ ン ソール ウ ィ ン ド ウは、 次で構成されています。

• [MGT/BERT Settings] パネル

• [DRP Settings] パネル

• [Port Settings] パネル

• IBERT Kintex-7 FPGA GTX ト ラ ンシーバーのツールバーおよび メ ニュー オプシ ョ ン

注記 : Virtex-7 FPGA GTX ト ラ ンシーバー用 IBERT コ ン ソール ウ ィ ン ド ウは、 Kintex-7 のコ ン

ソール ウ ィ ン ド ウ と同じです。こ のセ ク シ ョ ンでは Kinex-7 のコ ン ソール ウ ィ ン ド ウ を使用し て説

明し ます。

[MGT/BERT Settings] パネル

こ のパネルには、 複数の列 と 行を含むテーブルが表示さ れます。 各列では、 特定のア ク テ ィ ブな

GTX ト ラ ンシーバーが表示されます。 各行では特定の制御またはステータ ス設定が表示されます。

[MGT Settings]

[MGT Alias] は、 GTX ト ラ ンシーバー MGT 番号に初期設定されていますが、 新しい値を入力で

き ます。

[Tile Location] には、デバイ スに含まれる GTX ト ラ ンシーバーの X/Y 座標が表示されます。[MGT Link Status] には、 特定の GTX ト ラ ンシーバー チャネルのレシーバーに接続されている リ ン ク検

出ロ ジ ッ ク のステータ スが表示されます。 チャネルが リ ン ク されている場合は緑色で計測された ラ

イ ン レー ト が表示され、 リ ン ク されていない場合は 「NOT LOCKED」 (赤色) と表示されます。

[CPLL/QPLL Status] には GTX ト ラ ンシーバーに接続されている CPLL/QPLL のロ ッ ク ステータ

スが表示されます。 このステータ ス イ ンジケーターの有効なステー ト は、 LOCKED (緑色) または

NOT LOCKED (赤色) です。

[Loopback Mode] 設定は、 特定の GTX ト ラ ンシーバー チャネルのループバッ ク モー ド を制御す

るのに使用し ます。 次にループバッ ク モード の選択肢を示し ます。

• フ ィ ード バッ ク パスは使用されません。

• [Near-End PCS] : 回路は近端 GTX ト ラ ンシーバー チャネルに完全に含まれています。 TX フ ァ ブ リ ッ ク イ ン ターフ ェースから開始し て、 PCS を通過し、 GTX ト ラ ンシーバー チャネル

の PMA 側を通過せずに RX フ ァブ リ ッ クに戻 り ます。

• [Near-End PMA] : 回路は近端 GTX ト ラ ンシーバー チャネルに完全に含まれています。 TX フ ァ ブ リ ッ ク か ら開始し て、 PCS、 PMA、 PCS を通過し、 RX フ ァ ブ リ ッ ク イ ン ターフ ェ イ

スに戻 り ます。

110 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer の機能

• [Far-End PMA] : 回路は、 外部テス ト 装置やほかのデバイ スの一部などの外部チャネルのエン

ド ポイ ン ト から始ま り 、GTX ト ラ ンシーバー チャネルを通過し て元に戻 り ます。 この GTX ト

ラ ンシーバー ループバ ッ ク モー ド では、 信号が RX ピ ンに入力され、 PMA 回路を通過し て

TX ピンに戻 り ます。

• [Far-End PCS] : 回路は、外部テス ト 装置やほかのデバイ スの一部などの外部チャネルのエン ド

ポイ ン ト から始ま り 、GTX ト ラ ンシーバー チャネルを通過し て元に戻 り ます。 この GTX ルー

プバッ ク モード では、 信号が RX ピ ンに入力され、 PMA、 PCS、 PMA を通過し て TX ピンに

戻 り ます。

• 回路は、 外部テス ト 装置やほかのデバイ スの一部などの外部チャネルのエン ド ポイ ン ト から始

ま り 、 GTX ト ラ ンシーバー チャネルおよび関連する フ ァブ リ ッ ク ロ ジ ッ ク を通過し て元に戻

り ます。 こ の GTX ト ラ ンシーバー ループバ ッ ク モー ド では、 信号が RX ピ ンに入力さ れ、

PMA、 PCS、 ワード数が少ないフ ァ ブ リ ッ ク ベースの FIFO、 PCS、 PMA を通過し て TX ピ

ンに戻 り ます。

[Channel Reset] を ク リ ッ クする と、 内部 PMA および PCS 回路に加え関連する フ ァブ リ ッ ク イ ン

ターフ ェ イ スがク リ ア、 リ セ ッ ト され、 GTX ト ラ ンシーバー チャネルが リ セ ッ ト されます。

[TX Polarity Invert] では、 GTX ト ラ ンシーバー チャネルの TX ピンから送信されたデータの極性

を制御し ます。 GTX ト ラ ンシーバーの TX 側の極性を反転するには、 こ のチェ ッ ク ボ ッ ク ス をオ

ンにし ます。

[TX Bit Error Inject] を ク リ ッ クする と、1 つの送信ワード に含まれる 1 ビ ッ ト の極性が反転されま

す。 こ の ト ラ ン ス ミ ッ ターに接続されているチャネルのレシーバー エン ド ポイ ン ト では、 1 ビ ッ ト

エラーが検出されるはずです。

[TX Diff Output Swing] では、ト ラ ン ス ミ ッ ターの差動振幅を制御し ます。値を変更する場合はボ ッ

ク スに入力し ます。

[TX Pre-Cursor] および [TX Post-Cursor]では、 送信信号のプ リ エンフ ァ シス量を制御し ます。 値

を変更する場合はボ ッ ク スに入力し ます。

[RX Polarity Invert] では、GTX チャネルの RX ピンから受信し たデータの極性を制御し ます。GTX ト ラ ンシーバーの RX 側の極性を反転するには、 チェ ッ ク ボ ッ ク ス をオンにし ます。

[RX Termination Mode] および [RX Termination Voltage] を共に使用し て GTX チャネルのレシー

バー終端特性 を 制御 し ま す。 [RX Termination Mode] では終端電圧の モー ド を 制御 し、 [RX Termination Voltage] では [RX Termination Mode] が [Programmable] に設定されている と きにコ

モン モード を制御し ます。

[BERT Settings]

[TX/RX Data Pattern] は、 ト ラ ン ス ミ ッ ターのパターン ジェネレーターおよびレシーバーのパター

ン チェ ッ カーで使用さ れるデータ パターン を選択するのに使用し ます。 こ れら のパターンには、

PRBS7、 15、 23、 31、 および Clk 2x、 10x が含まれています。

[BERT Settings] には、[ RX Received Bit Count]、[RX Bit Error Count]、[RX Bit Error ration (BER)] イ ンジケーターも含まれています。 [BERT Reset] ボタ ンでこれらのカ ウ ン ターを リ セ ッ ト し ます。

[Clocking Settings]

[TXUSRCLK Freq (MHz)] には、GTX ト ラ ンシーバーの TXUSRCLK ポー ト のク ロ ッ ク周波数の

概算値が MHz で表示されます。 こ のステータ スの正確度は、 コ ンパイル時に指定されたシステム

ク ロ ッ ク の周波数によ って異な り ます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 111UG029 (v13.4) 2012 年 1 月 18 日

第 4 章 : ChipScope Pro Analyzer の使用

[TXUSRCLK2 Freq (MHz)] には、GTX ト ラ ンシーバーの TXUSRCLK2 ポー ト のク ロ ッ ク周波数

の概算値が MHz で表示されます。 こ のステータ スの正確度は、 コ ンパイル時に指定されたシス テ

ム ク ロ ッ クの周波数によ って異な り ます。

[DRP Settings] パネル

こ のパネルには、 複数の列 と 行を含むテーブルが表示さ れます。 各列では、 特定のア ク テ ィ ブな

GTX ト ラ ンシーバーが表示されます。 各行では、 特定の DRP 属性またア ド レ スが表示されます。

パネル下部の [View By Attribute Name] をオンにする と、 すべての DRP 属性がアルフ ァベッ ト 順

で表示されます。 [Radix] では [Hex] (16 進数) または [Bin] (2 進数) のいずれかを選択でき ます。

値を変更するには、 テキ ス ト フ ィ ール ド を ク リ ッ ク し て新しい値を入力し てから、 Enter キーを押

し ます。 新しい値が MGT ト ラ ンシーバーに反映されます。

パネル下部の [View By Address] がオンにな っ てい る と、 行ア ド レ ス が数字順に表示 さ れます。

[Radix] では [Hex] (16 進数) または [Bin] (2 進数) のいずれかを選択でき ます。値を変更するには、

テキ ス ト フ ィ ール ド を ク リ ッ ク し て新しい値を入力し てから、 Enter キーを押し ます。 新しい値が

MGT ト ラ ンシーバーに反映されます。

[Port Settings] パネル

こ のパネルには、 複数の列 と 行を含むテーブルが表示さ れます。 各列では、 特定のア ク テ ィ ブな

GTX ト ラ ンシーバーが表示されます。 各行には、 特定の MGT ポー ト が表示されます。 一部のポー

ト は IBERT デザイ ンでデータの送受信に使用される ため、 すべてのポー ト が表示されるわけでは

あ り ません。

[Radix] では、 値の基数に [Hex] (16 進数) または [Bin] (2 進数) を選択でき ます。 一部のポー ト は

読み取 り 専用で編集でき ません。 これらのセルは、 ラベルのよ う に表示されます。 編集可能な表内

のポー ト は、 テキス ト フ ィ ール ド のよ う に表示され、 カーソルを置 く と新しい値が入力でき、Enter キーを押すと その値がすぐに反映されます。

[RX Margin Analysis] パネル

こ のパネルでは、 適なチャネル設定を特定でき る よ う 、 さ まざ まな ト ラ ンシーバー設定を ス イー

プするチャネル テス ト を設定でき ます。 TX および RX 設定は、 同じ GTX ト ラ ンシーバー向けで

す。TX および RX の両方の設定のス イープは、ト ラ ンシーバーが近端または外部ループバッ ク モー

ド のいずれかに設定されている と きのみ機能し ます。 RX パラ メ ーターのス イープは、 リ ン ク の対

応する TX エン ド ポイ ン ト が別のデバイ ス または同じデバイ スに含まれる別の ト ラ ンシーバーに含

まれる と きのみ実行でき ます。

こ のパネルにはタブがあ り 、 [MGT/Parameter Settings]、 [Scan Settings]、 [Test Controls]、 および

[Test Results] の 4 つのセ ク シ ョ ンから構成されています。

[MGT/Parameter Settings]

[MGT] ボ ッ ク スでス イープ テス ト に含める GTX ト ラ ンシーバーを選択し ます。

注記 : ス イープでき る GTX ト ラ ンシーバは 1 度に 1 つのみです。

ス イープ テス ト を設定する前に、 ス イープ パラ メ ーターを設定し ます。 パラ メ ーター ス イープ操

作中には任意の GTX パラ メ ーターを使用でき ますが、 ス イープで使用でき る も便利な GTX ト

ラ ンシーバー パラ メーターは、 次のデフ ォル ト パラ メ ーターです。

• [TX Diff Swing]

• [TX Pre-Cursor]

112 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer の機能

• [TX Post-Cursor]

パラ メ ーターを表に追加または削除するには、 [Add/Remove Parameters] を ク リ ッ ク し ます。 ダ イ

ア ロ グ ボ ッ ク スが表示され、 左側には使用可能なポー ト /属性すべて、 右側にはス イープするパラ

メ ーターが表示されます。 新し いス イープ パラ メ ーターを追加するには、 左側 リ ス ト でパラ メ ー

ターを まずク リ ッ ク し てから、 右方向の矢印ボ タ ン (>) を ク リ ッ ク し て、 右側の リ ス ト に追加し ま

す。 ス イープするパラ メ ーターを削除するには、 右側の リ ス ト でパラ メ ーターを ク リ ッ ク し て、 左

方向の矢印ボタ ン (<) を ク リ ッ ク し ます。 パラ メ ーターのス イープ順を指定するには、 右側の リ ス

ト のいずれかを ク リ ッ ク し てから [Up] または [Down] を ク リ ッ ク し ます。 ス イープ属性およびそ

の順序をデフ ォル ト の設定に戻す場合は、 [Reset to Default] を ク リ ッ ク し ます。 [OK] を ク リ ッ ク

し て設定を適用するか、 [Cancel] を ク リ ッ ク し て保存せずに終了し ます。

これらのス イープ パラ メーターは、 次のいずれかの方法で初期化でき ます。

• [Clear All Parameters] を ク リ ッ ク し てすべてのパラ メーターを ク リ ア し ます。

• [Set Parameters to Current Values] を ク リ ッ ク し て、 [MGT/BERT Settings] パネルの現在の値

にパラ メ ーターを設定し ます。

[Sweet Parameter] 表のパラ メーターの順序は、パラ メ ーターのス イープ順序を示し ています。表上

部のパラ メ ーターの値は、 表下部のパラ メ ーターに比べス イープされる頻度が低 く な り ます。 つま

り 、 表上部のパラ メ ーターはス イープ アルゴ リ ズムの外側ループにあ り 、 表下部のパラ メ ーターは

ス イープ アルゴ リ ズムの内側ループにあ る と い う こ と です。

各パラ メ ーターで開始値と終了値を設定する必要があ り ます。 パラ メ ーターの順序は変更でき ませ

ん。 開始値を選択する と、 その値に対し て有効な終了値が自動的に表示されます。 パラ メ ーターで

ス イープの設定を希望し ない場合は、 開始値 と 終了値を同じ値にし ます。 [Sweep Value Count] 列

では、 特定のパラ メ ーターでス イープされる値の数が示されます。 すべてのス イープ パラ メ ーター

に有効な開始値と終了値が設定される と、 ス イープ実行回数が [Total Iterations] に表示されます。

[Scan Settings]

Virtex-7 および Kintex-7 GTX ト ラ ンシーバーには、RX Eye Scan と呼ばれる回路が含まれてお り 、

同じ領域に含まれている次の 2 つの異なる ロ ケーシ ョ ンで受信し たデータが比較されます。

• Data Sample : 適サンプ リ ング ロ ケーシ ョ ン

• Offset Sample : 水平方向/垂直方向にオフセ ッ ト されたサンプ リ ング ロ ケーシ ョ ン

Data Sample ロ ケーシ ョ ン と Offset Sample ロ ケーシ ョ ンでサンプ リ ング されたビ ッ ト 値が一致す

る場合は、 エラー と みな されません。 一致し ない場合は、 エラー ビ ッ ト と みな されビ ッ ト エラー

率 (BER) が増加し ます。

[GTX RX Margin Analysis] では、 次の 2 つのスキ ャ ン アルゴ リ ズムがサポー ト されています。

• [2D Full Scan] : ア イ内の水平方向および垂直方向のオフセ ッ ト サンプ リ ング ポイ ン ト をすべ

てスキ ャ ン し ます。 こ のモード は、 2 次元の受信ア イ マージン全体を解析する際に使用する統

計ア イ マ ッ プを作成する と きに便利です。

• [1D Bathtub] : 0 垂直行オフセ ッ ト までの水平方向のサンプ リ ング ポイ ン ト すべてを スキ ャ ン

し ます。 こ のモード は、 受信位相マージンをすみやかに解析する場合に便利です。

水平方向および垂直方向のオフセ ッ ト は、 個別に制御でき ます。 オフセ ッ ト は、 それぞれイ ン ター

バルおよび範囲を持たせる よ う 制御でき ます。 [Range] ではスキ ャ ンの 大/ 小オフセ ッ ト 値を制

御し、 [Interval] ではス キ ャ ンする行または列間の飛ばす行数または列数を制御し ます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 113UG029 (v13.4) 2012 年 1 月 18 日

第 4 章 : ChipScope Pro Analyzer の使用

[Test Controls]

ス イープ テス ト を設定し た ら、 [Start] を ク リ ッ ク し てテス ト を開始し ます。 ク リ ッ ク し た後は、 ス

イープ パラ メーター表がデ ィ スエーブルにな り テス ト が実行されます。

ス イープ テス ト の実行の過程で現在のス イープ結果フ ァ イル、 現在の実行回数、 経過時間、 および

概算残 り 時間ステータ スが表示されます。ス イープ結果は、画面下の [Sweep Test Log] テキス ト エ

リ アに表示さ れます。 ス イープ テ ス ト は [Pause] を ク リ ッ ク し て一時停止し た り 、 [Stop] および

[Reset] を ク リ ッ ク し て完全に停止する こ と ができ ます。

ス イープテス ト の結果は、 [Test Results] パネルに表示されます。 またス イープ テス ト 結果フ ァ イル

に書き出すこ と もでき ます。 [Log File Settings] を ク リ ッ クする と、 ダイ アロ グ ボ ッ ク スが表示され

ます。 このダ イ ア ロ グ ボ ッ ク スでは、 フ ァ イルの保存場所と各フ ァ イルに含める ス イープ実行回数

を設定でき ます。 ス イープ実行回数がフ ァ イルの制限を越え る場合は、 初の結果フ ァ イル と同じ

デ ィ レ ク ト リ にベース フ ァ イル名の開始実行番号が付け られた複数のフ ァ イルが作成されます。

[Dwell] では特定のス キ ャ ン オフセ ッ ト ロ ケーシ ョ ンにおけ る計測期間を制御し、 [BER] では次

のス キ ャ ン オプシ ョ ンセ ッ ト に移動する前に達成する必要があ る 少のビ ッ ト エラー率を指定し

ます。 [Time] では次のス キ ャ ン オプシ ョ ンセ ッ ト に移動する前に必要なデータの累積期間を指定

し ます。

[Test Results]

こ のパネルでは、 現在の実行回数、 経過時間、 およびテス ト 完了までの予測残 り 時間が表示されま

す。 このステータ ス情報の下には、 ス イープ テス ト 結果を示す次のタブが表示されます。

• [Sweep Test Log]

• [Sweep Test Plots]

• [Sweep Test Info]

[Sweep Test Log]

[Sweep Test Log] は常に表示される タ ブで、 ス イープ テ ス ト 結果の実行ロ グが含まれます。 こ の

タブの情報は、 テキ ス ト でのみ表示されます。 ス イープ テス ト の結果は、 CSV ロ グ フ ァ イルに も

含まれます。

[Sweep Test Plots]

[Sweep Test Plots] はス イープ テ ス ト の実行が停止し た後にのみ表示 さ れる タ ブです。 ス イープ

テス ト プロ ッ ト のウ ィ ン ド ウに表示される グ ラ フ ィ ッ ク データは、CSV ロ グ フ ァ イルに保存され

たデータ と 同じです。

2D Full Scan アルゴ リ ズムを使用し た場合、 プロ ッ ト はそれぞれ [Scan Settings] パネルで指定し

た水平方向および垂直方向範囲のス イープに相当し ます。 プロ ッ ト 領域の色は、各オフセ ッ ト サン

プ リ ング ポ イ ン ト の BER 累積レベルに相当し ます。 [Sweep Test Plots] タブ右側の [Plot List] エ

リ アの選択ボ タ ン を使用し て、 プロ ッ ト を 1 つずつ表示し ます。 右ク リ ッ ク メ ニ ューを使用する

と 、 プロ ッ ト の名前を変更でき ます。

1D Bathtub アルゴ リ ズムを使用し た場合、 プロ ッ ト はそれぞれ受信信号のユニ ッ ト イ ン ターバル

(UI) の ス イ ープに相当 し ま す。 デー タ プ ロ ッ ト の左端 と 右端は、 [Scan Settings] パ ネルの

[Horizontal] 設定に相当し ます。 1D Bathtub モード のス イープ テス ト プロ ッ ト では、ビ ッ ト エラー

率 (BER) が一番低い地点でのア ク テ ィ ブ プ ロ ッ ト の UI の開口幅が主に計測さ れます。 [Sweep Test Plots] タブ右側の [Plot List] エ リ アの選択ボタ ンを使用し て、プロ ッ ト を 1 つずつ表示し ます。

右ク リ ッ ク メ ニューを使用する と、 プロ ッ ト の名前や色を変更し た り 、 プロ ッ ト をア ク テ ィ ブに設

114 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer の機能

定でき ます。 水平および垂直マーカーを使用する と、 ア ク テ ィ ブ プロ ッ ト で計測する際に役立ちま

す。 水平マーカーはク リ ッ ク し て上下に、 垂直マーカーは左右に ド ラ ッ グでき ます。

[Sweep Test Info]

[Sweep Test Info] も ス イープ テス ト の実行が停止し た後にのみ表示される タブです。 [Sweep Test Info] の表形式のデータは、 [Sweep Test Plots] のグ ラ フ ィ カルなデータに対応し ます。 表の各行が

1 つのス イープ テス ト プロ ッ ト に相当し ます。

2D Full Scan アルゴ リ ズム モード では、 表の列が次に相当し ます。

• [Enable Plot] : [Sweep Test Plots] パネルのプロ ッ ト の表示/非表示を切 り 替えます。 オンにす

る と表示、 オフにする と非表示にな り ます。

• [Plot Name] : プロ ッ ト の名前を指定し ます。 テキ ス ト フ ィ ール ド を ク リ ッ クする と、プロ ッ ト

名を変更でき ます。

1D Bathtub ス キ ャ ン アルゴ リ ズム モード では、 表の列が次に相当し ます。

• [Enable Plot] : [Sweep Test Plots] パネルのプロ ッ ト の表示/非表示を切 り 替えます。 オンにす

る と表示、 オフにする と非表示にな り ます。

• [Line Color] : [Sweep Test Plots] パネルで使用される線の色を指定し ます。 ク リ ッ クする と、新

しい色を選択でき ます。

• [Plot Name] : プロ ッ ト の名前を指定し ます。 テキ ス ト フ ィ ール ド を ク リ ッ クする と、プロ ッ ト

名を変更でき ます。

残 り の列には、 ス イープ テス ト プロ ッ ト を作成する ために使用されたパラ メ ーター値が表示さ れ

ます。 列ヘッ ダーを ク リ ッ クする と、 パネルの行を並び替え る こ と ができ ます。

注記 : [Opening at the Lowest BER Level] 列ヘッ ダーを ク リ ッ ク し、 プロ ッ ト を UI 開口幅の広い

順に並び替え る こ と をお勧めし ます。

IBERT Virtex-7/Kintex-7 FPGA GTX ト ラ ンシーバーのツールバーおよび メニュー オプシ ョ ン

[IBERT Console Options]

[IBERT Console Options] ダ イ ア ロ グ ボ ッ ク スでは、コ ン ソール ウ ィ ン ド ウに表示する列および行

を選択で き ます。 左側パネルでは、 MGT を ロ ケーシ ョ ンで選択し ます。 すべて選択する場合は

[Check All]、 すべて選択し ない場合は [Uncheck All] を ク リ ッ ク し ます。

右側パネルでは、 [MGT/BERT Settings] パネルに表示される行を選択し ます。 [Default] を ク リ ッ

クする と、チャネルの有効性を決定するのに必要な行の基本セ ッ ト がコ ン ソール ウ ィ ン ド ウに表示

されます。デフ ォル ト で表示される行は、[Tile Location]、[PLL Status]、[Loopback Mode]、[Channel Reset]、 [TX Polarity Invert]、 [TX Error Inject]、 [TX Diff Output Swing]、 [TX Pre-Cursor]、 [TX Post-Cursor]、 [TX Data Pattern]、 [TXUSRCLK Freq]、 および [TXUSRCLK2 Freq] です。

[Import/Export] ダイ アログ ボ ッ ク ス

こ のダ イ ア ロ グ ボ ッ ク スでは、 特定の MGT の設定を保存し て復元し た り 、 デザイ ンの別の MGT に適用でき ます。 設定を イ ンポー ト またはエク スポー ト するには、 [IBERT_K7GTX] → [Import/ Export Wizard] を ク リ ッ クするか、またはツールバーの [Import/Export Wizard] を ク リ ッ ク し ます。

ウ ィ ザー ド の 初の画面では、 MGT 設定の ソースに [MGT] または [File] のいずれかを選択し ま

す。 [MGT] を選択し た場合は、 コ ンボ ボ ッ ク スに表示される MGT から選択し ます。 [File] を選択

し た場合は、 [Browse] を ク リ ッ ク し て設定フ ァ イルを指定し ます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 115UG029 (v13.4) 2012 年 1 月 18 日

第 4 章 : ChipScope Pro Analyzer の使用

次の画面では、デステ ィ ネーシ ョ ンを指定し ます。 IBERT デザイ ンに含まれる MGT と フ ァ イルを

自由に組み合わせる こ と ができ ます。 [File] を イ ネーブルし た場合は、 [Browse] を ク リ ッ ク し て

フ ァ イル デステ ィ ネーシ ョ ンを指定し ます。

3 番目の画面には、設定のソース とデステ ィ ネーシ ョ ンのサマ リ が表示されます。[Apply] を ク リ ッ

ク し てイ ンポー ト またはエク スポー ト し ます。 この操作は、 元に戻すこ と ができ ません。

[Reset All]

IBERT コ アのすべてのチャ ネルを リ セ ッ ト するには、 [IBERT_K7GTX] → [Reset All] を ク リ ッ ク

するか、 またはツールバーの [Reset All] を ク リ ッ ク し ます。

[JTAG Scan Rate] および [Scan Now]

ツールバーの [JTAG Scan Rate] および [IBERT_K7GTX] → [JTAG Scan Rate] メ ニ ューを ク リ ッ

クする と、 ChipScope Pro Analyzer ツールで IBERT コ アのステータ ス情報を確認する頻度を選択

でき ます。 デフ ォル ト は [1 s] ですが、 [250 ms]、 [500 ms]、 [2 s]、 [5 s]、 または [Manual Scan] も

選択でき ます。 [Manual Scan] を選択し た場合、 [IBERT_K7GTX] → [Scan Now] を ク リ ッ クする

かツールバーの [Scan Now] ([S!]) を ク リ ッ ク し て IBERT コ アのク エ リ をすぐに実行でき ます。

ス タ ン ド アロンの IBERT スイープ テス ト プロ ッ ト ビ ューアー

IBERT コ ン ソール ウ ィ ン ド ウの [Sweep Test] パネルのプロ ッ ト ビ ューアーは、 テス ト デバイ ス

に接続されている場合のス イープテ ス ト 結果にのみ使用でき ます。 ス イープ テス ト 結果をオフ ラ

イ ンで表示する場合は、ス タ ン ド ア ロ ンの IBERT ス イープ テス ト プロ ッ ト ビ ューアーを使用でき

ます。

• Windows (32 ビ ッ ト ) プラ ッ ト フ ォーム : <install dir>\bin\nt\ibertplotter.exe

• Windows (64 ビ ッ ト ) プラ ッ ト フ ォーム : <install dir>\bin\nt64\ibertplotter.exe

• Linux (32 ビ ッ ト ) プラ ッ ト フ ォーム : <install dir>/bin/lin/ibertplotter

• Linux (64 ビ ッ ト ) プラ ッ ト フ ォーム : <install dir>/bin/lin64/ibertplotter

ス タ ン ド ア ロ ン の IBERT ス イ ープ テ ス ト プ ロ ッ ト ビ ュ ーアーでは、 次の ト ラ ン シーバーで

IBERT ス イープ テス ト を実行し て作成された CSV 形式のス イープ テス ト 結果フ ァ イルを表示で

き ます。

• Spartan-6 FPGA GTP ト ラ ンシーバー

• Virtex-5 FPGA GTX ト ラ ンシーバー

• Virtex-6 FPGA GTX ト ラ ンシーバー

• Virtex-6 FPGA GTH ト ラ ンシーバー

• Virtex-7 FPGA GTX ト ラ ンシーバー

• Kintex-7 FPGA GTX ト ラ ンシーバー

IBERT ス イープ テス ト プロ ッ ト ビ ューアーでは、 複数のス イープ テス ト 結果フ ァ イル (CSV) を

同時に表示する こ と もでき るので、 さ まざ まなス イープ テス ト 結果を比較しやす く なっています。

ヘルプの表示

ヘルプ ページの表示

ChipScope Pro Analyzer のヘルプ ページには、 現在開いているバージ ョ ンのツール と コ ア ユニ ッ

ト の情報のみが表示されます。 [Help] → [About] を ク リ ッ クする と、 ツールのバージ ョ ンを確認で

116 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro ILA 波形ツールバー機能

き ます。 [Help] → [About: Cores] を ク リ ッ クする と、 検出された コ アそれぞれのパラ メ ーターの詳

細が表示されます。 個々のコ アのパラ メ ーターを表示するには、 プロ ジェ ク ト ツ リ ーのユニ ッ ト で

右ク リ ッ ク し、 [Show Core Info] を ク リ ッ ク し ます。

ChipScope Pro ILA 波形ツールバー機能

ChipScope Pro Analyzer メ ニュー下のツールバーでは、 メ ニュー オプシ ョ ンに加え、 その他の波形

コマン ド を使用でき ます。 セカン ド セ ッ ト のツールバーは、 [Trigger Setup] ウ ィ ン ド ウの表示中に

のみ使用でき ます。 サード セ ッ ト 、 フ ォース セ ッ ト は、 [Waveform] ウ ィ ン ド ウの使用中にのみ表

示されます。

ツールバー ボ タ ンは、 次の メ ニュー オプシ ョ ン と同等です。

• [Open Cable/Search JTAG Chain] : ケーブルを自動的に検出し、 JTAG チェーンの構成を確認

し ます。

• [Turn On/Off Auto Core Status Polling] : 緑のア イ コ ンはポー リ ングがオン、 赤のア イ コ ンは

ポー リ ングがオフを示し ます。 [JTAG Chain] → [Auto Core Status Poll] から も実行でき ます。

• [Run] : [Trigger Setup] → [Run] (F5) から も実行でき ます。

• [Stop] : [Trigger Setup] → [Stop Acquisition] (F9) から も実行でき ます。

• [Trigger Immediate] : [Trigger Setup] → [Trigger Immediate] (Ctrl+F5) から も実行でき ます。

• [Go To X Marker] : [Waveform] → [Go To] → [Go To X Marker] から も実行でき ます。

• [Go To O Marker] : [Waveform] → [Go To] → [Go To O Marker] から も実行でき ます。

• [Go To Previous Trigger] : [Waveform] → [Go To] → [Trigger] → [Previous] から も実行でき

ます。

• [Go To Next Trigger] : [Waveform] → [Go To] → [Trigger] → [Next] から も実行でき ます。

• [Zoom In] : [Waveform] → [Zoom] → [Zoom In] から も実行でき ます。

• [Zoom Out] : [Waveform] → [Zoom] → [Zoom Out] から も実行でき ます。

• [Fit Window] : [Waveform] → [Zoom] → [Zoom Fit] から も実行でき ます。

ChipScope Pro Analyzer のコマン ド ラ イン オプシ ョ ン

Windows システムでは、 コマン ド ラ イ ンまたは [ス ター ト ] メ ニューから ChipScope Pro Analyzer を起動でき ます。

• 32 ビ ッ ト Windows システム上では、 コマン ド ラ イ ンに次のよ う に入力する と ChipScope Pro Analyzer を起動でき ます。

<XILINX_ISE_INSTALL>\bin\nt\analyzer.exe

• 64 ビ ッ ト Windows システム上では、 コマン ド ラ イ ンに次のよ う に入力する と ChipScope Pro Analyzer を起動でき ます。

<XILINX_ISE_INSTALL>\bin\nt64\analyzer.exe

• 32 ビ ッ ト Linux システムでは、 コマン ド ラ イ ンに次のよ う に入力する と ChipScope Pro Analyzerを 起動でき ます。

<XILINX_ISE_INSTALL>/bin/lin/analyzer

• 64 ビ ッ ト Linux システムでは、 コマン ド ラ イ ンに次のよ う に入力する と ChipScope Pro Analyzer を起動でき ます。

<XILINX_ISE_INSTALL>/bin/lin64/analyzer

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 117UG029 (v13.4) 2012 年 1 月 18 日

第 4 章 : ChipScope Pro Analyzer の使用

<XILINX_ISE_INSTALL> は ISE Design Suite ツールの イ ン ス ト ール デ ィ レ ク ト リ を指し

ます。

オプシ ョ ンの引数

コ マン ド ラ イ ンか ら ChipScope Pro Analyzer を起動し た場合には、 コ マン ド ラ イ ンで次のオプ

シ ョ ンが使用でき ます。

-geometry <width>x<height>+<left edge x coord>+<top edge y coord>

ChipScope Pro Analyzer プロ グ ラ ム ウ ィ ン ド ウの位置、 幅、 高さ を設定し ます。

-project <path and filename>

起動時に、 特定のプロジェ ク ト フ ァ イルを読み込みます。 デフ ォル ト では起動時にプロ ジェ ク

ト フ ァ イルが読み込まれません。

-init <path and filename>

起動時に、 指定された init フ ァ イルを読み込んで、 ChipScope Pro Analyzer の終了時に同じ フ ァ

イルに書き込みます。デフ ォル ト は、%userprofile%\.chipscope\cs_analyzer.ini です。

-log <path and filename>

-log stdout

メ ッ セージ ロ グを指定のフ ァ イルに書き込みます。 stdout を指定し た場合、 標準出力に書き込

みます。 デフ ォル ト は、 $HOME/.chipscope/cs_analyzer.log です。

Windows のコマン ド ラ イ ン例

C:\Xilinx\12.3\ISE_DS\ISE\bin\nt\analyzer.exe -log c:\proj\t\t.log -init C:\proj\t\t.ini -project c:\proj\t\t.cpj -geometry 1000x300+30+600

118 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

第 5 章

ChipScope エンジン Tcl イン ターフ ェ イス

概要

こ のイ ン ターフ ェ イ スでは、 Tcl ス ク リ プ ト を使用し て、 ChipScope™ ロジ ッ ク アナラ イザー エン

ジンの通信ラ イブラ リ 経由で JTAG (Joint Test Action Group、 IEEE 規格) ダ ウ ン ロー ド ケーブル

にア ク セスでき ます。 CSE/Tcl イ ン ターフ ェ イ スの目的は、 基本的な JTAG、 FPGA、 VIO (Virtual Input/Output) コ ア フ ァ ン ク シ ョ ンへア ク セスする ためのシンプルなス ク リ プ ト システムを提供す

る こ と です。 数行の Tcl ス ク リ プ ト コマン ド を使用する こ と で、 標準的なザイ リ ン ク ス ケーブルを

使用し た JTAG チェーンのデバイ スのスキ ャ ンおよび操作が可能にな り ます。

JTAG の詳細は、 『バウ ンダ リ ス キ ャ ン (JTAG) を使用し た Virtex FPGA のコ ンフ ィ ギュ レーシ ョ

ン と リ ード バッ ク』 [213 ページの リ フ ァ レ ンス 12 を参照] を参照し て く だ さい。Tcl の詳細は、『Tcl Developer Xchange』 [214 ページの リ フ ァ レン ス 26 を参照] を参照し て く ださ い。

必要条件

· 『ISE Design Suite 13 : イ ン ス ト ール、 ラ イセン ス、 リ リ ース ノー ト 』 [213 ページの リ フ ァ レ

ン ス 14 を参照] に記述されるサポー ト OS が使用されたコ ンピ ューター システム

· プラ ッ ト フ ォーム ケーブル USB、 パラ レル ケーブル IV、 パラ レル ケーブル III などのサポー

ト される JTAG ケーブル

· Tcl シェル (ChipScope Pro および ISE® Design Suite ツールのイ ン ス ト ールに含まれる

xtclsh) または ActiveTcl 8.4 シェル [214 ページの リ フ ァ レ ンス 24 を参照]

· 必要な環境変数は xtclsh.exe (Windows) または xtclsh (Linux) を使用し て設定

制限

ChipScope Engine Tcl イ ン ターフ ェ イ スでは、 パフ ォーマン ス よ り も簡素化が重視されています。

::chipscope::csejtag_tap_shift_chain_ir お よ び ::chipscope::csejtag_tap_shift_chain_dr な ど の コ マ

ン ド は、 パッ ク されたバイナ リ データ構造ではな く 、 16 進数の文字列 と し てビ ッ ト を送信し ます。

大規模なデータ文字列を転送する場合は、 あ る程度パフ ォーマン スが低下し ますが、 API (アプ リ

ケーシ ョ ン プロ グ ラ ミ ング イ ン ターフ ェ イ ス) のシンプルなデザイ ン と Tcl ス ク リ プ ト 言語が使用

される ため、 JTAG チェーン接続されたデバイ スおよびコ アへのア ク セスには、 CSE/Tcl イ ン ター

フ ェ イ ス を使用するのが便利です。

注記 : CSE/Tcl イ ン ターフ ェ イ スは、JTAG ケーブル通信デバイ ス (ChipScope Pro Analyzer ソ フ ト

ウ ェ ア ツールおよびザイ リ ン ク スの EDK (エンベデッ ド開発キ ッ ト ) に含まれるデバッ ガー ツー

ルなど) と イ ン ターフ ェ イ スする CSE/Tcl を使用する ソ フ ト ウ ェア と のみ互換性があ り ます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 119UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

CSE/Tcl コ マン ド サマ リ

CSE/Tcl イ ン ターフ ェ イ ス コマン ド は ::chipscope:: と い う 名前空間に属し ます。 CSE/Tcl イ ン ター

フ ェ イ スのコマン ド は 4 つのカテゴ リ に分類されます (表 5-1を参照)。

CseJtag Tcl コ マン ド

CseJtag Tcl コマン ド のカテゴ リ には、 4 つのコマン ド が含まれ (表 5-2 を参照)、 それぞれのコマン

ド に 1 つまたは複数のサブコマン ド が含まれます。

表 5-3 は、 CseJtag Tcl サブコマン ド のサマ リ を示し ています。 これらのコマン ド に関するその他の

詳細は、 125 ページの 「CseJtag Tcl コマン ド」 を参照し て く ださ い。

注記 : すべての CseJtag Tcl グ ローバル変数の宣言については、ChipScope Pro ツールのイ ン ス ト ー

ル デ ィ レ ク ト リ の csejtagglobals.tcl フ ァ イルを参照し て く ださい。

表 5-1 : CSE/Tcl コマン ド カテゴ リ

カテゴ リ 説明

CseJtag JTAG イ ン ターフ ェ イ ス ステータ スおよび制御コマン ド (「CseJtag Tcl コマン ド」

を参照)

CseFpga FPGA ステータ スおよびコ ンフ ィ ギュ レーシ ョ ン コマン ド (123 ページの

「CseFpga Tcl コマン ド」 を参照)

CseCore ChipScope Pro コ ア ステータ ス コマン ド (124 ページの 「CseCore Tcl コマン ド」

を参照)

CseVIO ChipScope Pro VIO コ ア ステータ ス コマン ド (124 ページの 「CseVIO Tcl コマ

ン ド」 を参照)

表 5-2 : CseJtag Tcl コマン ド

コマン ド 説明

::chipscope::csejtag_session CseJtag セッ シ ョ ンを管理し ます。セッ シ ョ ンは、JTAG ターゲ ッ ト に関するすべてのデータおよび メ ッ セージ

を維持する ために使用されます。 こ のコマン ド のサブ

コマン ドについては、 表 5-3 を参照して く ださい。

::chipscope::csejtag_db CseJtag JTAG データベースにア ク セス し ます。

CseJtag JTAG データベースには、 既知の JTAG デバ

イ スに関するすべてのデータが含まれます。 このコマ

ン ド のサブコマン ド については、 表 5-4 を参照し て く

ださ い。

::chipscope::csejtag_target JTAG ダウ ン ロード ケ ーブル、 JTAG エ ミ ュ レー

ター、 その他 JTAG デバイ スなどの CseJtag ターゲ ッ

ト への接続を管理し ます。 このコマン ド のサブコマン

ド については、 121 ページの表 5-5 を参照し て く だ さ

い。

::chipscope::csejtag_tap CseJtag ターゲ ッ ト の JTAG テス ト ア ク セス ポー ト

(TAP) にア ク セス し ます。TAP ステー ト マシンのナビ

ゲーシ ョ ン、TAP のデータのシフ ト などの操作が含ま

れます。このコマン ド のサブコマン ド については、122ページの表 5-6 を参照し て く ださい。

120 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CSE/Tcl コマン ド サマ リ

表 5-3 : ::chipscope::csejtag_session サブ コマン ドのサマ リ

サブ コマン ド 説明

create セ ッ シ ョ ンを作成し て初期化し ます。

destroy 既存セ ッ シ ョ ンで使用された メ モ リ リ ソース を削除し て、 メ モ

リ を空にし ます。

get_api_version CseJtag API ラ イブラ リ バージ ョ ン情報を取得し ます。

send_message セ ッ シ ョ ン メ ッ セージのルーター機能を使用し て メ ッ セージを

送信し ます。

表 5-4 : ::chipscope::csejtag_db サブ コマン ドのサマ リ

サブ コマン ド 説明

add_device_data JTAG データベースへデバイ ス レ コード を追加し ます。

lookup_device JTAG データベースのデバイ ス情報を検索し ます。

get_device_name_for_idcode IDCODE を使用し て JTAG データベースからデバイ ス

名を取得し ます。

parse_bsdl バウ ンダ リ スキ ャ ン記述言語 (BSDL) バッ フ ァーを解

析し て JTAG デバイ スのデバイ ス データ を抽出し ます。

parse_bsdl_file バウ ンダ リ スキ ャ ン記述言語 (BSDL) フ ァ イルを解析

し て JTAG デバイ スのデバイ ス データ を抽出し ます。

表 5-5 : ::chipscope::csejtag_target サブ コマン ドのサマ リ

サブ コマン ド 説明

open JTAG ターゲ ッ ト への接続を開いて、 セ ッ シ ョ ン と関連付けます。

close 開いている JTAG ターゲ ッ ト への接続を終了し て、セ ッ シ ョ ンから削

除し ます。

is_connected ターゲ ッ ト の接続ステータ ス をテス ト し て リ ターン し ます。

lock JTAG ターゲ ッ ト のロ ッ ク を取得し よ う と し ます。

unlock JTAG ターゲ ッ ト のロ ッ ク を解除し ます。

get_lock_status JTAG ターゲ ッ ト のロ ッ ク ステータ ス を取得し ます。

clean_locks すべてのケーブル ロ ッ ク を解除し、 ロ ッ ク に関連する リ ソース を ク

リ ーンア ッ プし ます。

flush JTAG ターゲ ッ ト のデータ バッ フ ァーをフ ラ ッ シュ し ます。

set_pin JTAG ターゲ ッ ト の TAP ピンの値を設定し ます。

get_pin JTAG ターゲ ッ ト の TAP ピンの値を取得し ます。

pulse_pin JTAG ターゲ ッ ト の TAP ピンのパルス を送 り ます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 121UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

wait_time 指定し た時間分待機し ます。

get_info JTAG ターゲ ッ ト に関連する情報を取得し ます。

表 5-6 : ::chipscope::csejtag_tap サブ コマン ドのサマ リ

サブ コマン ド 説明

autodetect_chain 現在ターゲ ッ ト に接続された JTAG チェーンに関する

情報をすべて自動的に検出し ます。

interrogate_chain JTAG チェーンを ス キ ャ ン し て、 チェーンの長さ と

チェーンの各デバイ スの IDCODE 情報を決定し ます。

get_device_count JTAG チェーン内のデバイ ス数を取得し ます。

set_device_count JTAG チェーン内のデバイ ス数を設定し ます。

get_irlength デバイ スの命令レジス タ (IR) の長さ を取得し ます。

set_irlength デバイ スの命令レジス タ (IR) の長さ を設定し ます。

get_device_idcode デバイ スの IDCODE を取得し ます。

set_device_idcode デバイ スの IDCODE を設定し ます。

navigate JTAG TAP ステー ト へナビゲー ト し ます。

shift_chain_ir ビ ッ ト ス ト リ ームを JTAG チェーンの命令レジス タに

対し てシフ ト イ ンおよびシフ ト アウ ト し ます。

shift_chain_dr ビ ッ ト ス ト リ ームを JTAG チェーンのデータ レジス タ

に対し てシフ ト イ ンおよびシフ ト アウ ト し ます。

shift_device_ir ビ ッ ト ス ト リ ームを JTAG チェーンの特定デバイ スの

命令レ ジ ス タ に対 し てシ フ ト イ ンおよびシ フ ト ア ウ ト

し ます。

shift_device_dr ビ ッ ト ス ト リ ームを JTAG チェーンの特定デバイ スの

データ レジス タに対し てシフ ト イ ンおよびシフ ト アウ

ト し ます。

表 5-5 : ::chipscope::csejtag_target サブ コマン ドのサマ リ (続き)

サブ コマン ド 説明

122 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CSE/Tcl コマン ド サマ リ

CseFpga Tcl コ マン ド

CseFpga Tcl コマン ド のカテゴ リ には、 複数のコマン ド が含まれます (表 5-7 参照)。

注記 : すべての CseFpga Tcl グ ローバル変数の宣言については、ChipScope Pro ツールのイ ン ス ト ー

ル デ ィ レ ク ト リ の csefpgaglobals.tcl フ ァ イルを参照し て く だ さい。

表 5-7 : CseFpga Tcl コマン ド

コマン ド 説明

::chipscope::csefpga_configure_device .bit、 .rbt、 または .mcs フ ァ イルの内容を含むバイ ト アレ イ

で FPGA デバイ ス を コ ンフ ィ ギュ レーシ ョ ン し ます。

::chipscope::csefpga_configure_device_with_file .bit、.rbt、 または .mcs フ ァ イルの内容で FPGA デバイ ス を

コ ンフ ィ ギュ レーシ ョ ン し ます。

::chipscope::csefpga_get_config_reg ターゲ ッ ト FPGA デバイ スのコ ンフ ィ ギュ レーシ ョ ン レ

ジス タ ビ ッ ト を読み出し ます。

::chipscope::csefpga_get_instruction_reg ターゲ ッ ト FPGA デバイ スの命令レジス タ を読み出し て、

コ ンフ ィ ギュ レーシ ョ ン特有のステータ ス ビ ッ ト を フ ォー

マ ッ ト し ます。

::chipscope::csefpga_get_usercode ターゲ ッ ト FPGA デバイ スの USERCODE レジス タ を読

み出し ます。

::chipscope::csefpga_get_user_chain_count ターゲ ッ ト FPGA デバイ スの USER チェーン レジス タ を

決定し ます。

::chipscope::csefpga_is_config_supported ターゲ ッ ト FPGA デバイ スでコ ンフ ィ ギュ レーシ ョ ンがサ

ポー ト されるかど う かテス ト し ます。

::chipscope::csefpga_is_configured FPGA デバイ スのコ ンフ ィ ギュ レーシ ョ ン ステータ ス を リ

ターン し ます。

::chipscope::csefpga_is_sys_mon_supported ターゲ ッ ト FPGA デバイ スでシステム モニ ターのコマン

ド がサポー ト されるかど う かテス ト し ます。

::chipscope::csefpga_run_sys_mon_command_sequence システム モニ ターのレジス タの読み出し と書き込みのシー

ケン ス を実行し ます。

::chipscope::csefpga_get_sys_mon_reg システム モニ ターのレジス タから読み出し ます。

::chipscope::csefpga_set_sys_mon_reg システム モニ ターのレジス タに書き込みます。

::chipscope::csefpga_assign_config_data_to_device バッ フ ァーに含まれている コ ンフ ィ ギュ レーシ ョ ン マス ク

データ を特定のデバイ スに割 り 当てます。

::chipscope::csefpga_assign_config_data_file_to_device フ ァ イルに含まれている コ ンフ ィ ギュ レーシ ョ ン マス ク

データ を特定のデバイ スに割 り 当てます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 123UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

CseCore Tcl コマン ド

CseCore Tcl コマン ド のカテゴ リ には、 複数のコマン ド が含まれます (表 5-8 参照)。

注記 : すべての CseCore Tcl グ ローバル変数の宣言については、ChipScope Pro ツールのイ ン ス ト ー

ル デ ィ レ ク ト リ の csecoreglobals.tcl フ ァ イルを参照し て く ださい。

CseVIO Tcl コマン ド

CseVIO Tcl コマン ド のカテゴ リ には、 複数のコマン ド が含まれます (表 5-9 参照)。

注記 : すべての CseVIO Tcl グ ローバル変数の宣言については、ChipScope Pro ツールのイ ン ス ト ー

ル デ ィ レ ク ト リ の csevioglobals.tcl フ ァ イルを参照し て く だ さい。

表 5-8 : CseCore Tcl コマン ド

コマン ド 説明

::chipscope::

csecore_get_core_count

ターゲ ッ ト FPGA デバイ スの ICON コ ア と特定の

USER スキ ャ ン チェーン レジス タに接続されたコ アの

数を取得し ます。

::chipscope::

csecore_get_core_status

ターゲ ッ ト ChipScope Pro コ アから ス タ テ ィ ッ ク ス

テータ ス ワード を読み出し ます。

::chipscope::

csecore_is_cores_supported

ターゲ ッ ト FPGA デバイ スで ChipScope Pro コ アがサ

ポー ト されるかど う かテス ト し ます。

表 5-9 : CseVIO Tcl コマン ド

コマン ド 説明

::chipscope::csevio_get_core_info ターゲ ッ ト VIO コ アから ス タ テ ィ ッ ク ステータ

ス ワード を読み出し ます。

::chipscope::csevio_is_vio_core ターゲッ ト コアが VIO コアかど う か判別し ます。

::chipscope::csevio_init_core ターゲ ッ ト VIO コ アに関連する グ ローバル変数

を初期化し ます。

::chipscope::csevio_terminate_cor

eターゲ ッ ト VIO コ アに関連する グ ローバル変数

を削除し て メ モ リ を解放し ます。

::chipscope::csevio_define_signal 指定した VIO 信号ビ ッ ト の名前を定義し ます。

::chipscope::csevio_define_bus VIO 信号ビ ッ ト のグループ (バス ) 名を定義し

ます。

::chipscope::csevio_undefine_name VIO 信号/バス名と関連するすべての情報を削除

し ます。

::chipscope::csevio_write_values ターゲ ッ ト VIO コ アの指定し た信号/バスに値を

書き込みます。

::chipscope::csevio_read_values ターゲ ッ ト VIO コ アの指定し た信号/バスから値

を読み出し ます。

124 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseJtag Tcl コマン ド

CseJtag Tcl コ マン ド

こ こ では、 次の CseJtag Tcl コマン ド の詳細について説明し ます。

· ::chipscope::csejtag_session create

· ::chipscope::csejtag_session destroy

· ::chipscope::csejtag_session get_api_version

· ::chipscope::csejtag_session send_message

· ::chipscope::csejtag_target open

· ::chipscope::csejtag_target close

· ::chipscope::csejtag_target is_connected

· ::chipscope::csejtag_target lock

· ::chipscope::csejtag_target unlock

· ::chipscope::csejtag_target get_lock_status

· ::chipscope::csejtag_target clean_locks

· ::chipscope::csejtag_target flush

· ::chipscope::csejtag_target set_pin

· ::chipscope::csejtag_target get_pin

· ::chipscope::csejtag_target pulse_pin

· ::chipscope::csejtag_target wait_time

· ::chipscope::csejtag_target get_info

· ::chipscope::csejtag_tap autodetect_chain

· ::chipscope::csejtag_tap interrogate_chain

· ::chipscope::csejtag_tap get_device_count

· ::chipscope::csejtag_tap set_device_count

· ::chipscope::csejtag_tap get_irlength

· ::chipscope::csejtag_tap set_irlength

· ::chipscope::csejtag_tap get_device_idcode

· ::chipscope::csejtag_tap set_device_idcode

· ::chipscope::csejtag_tap navigate

· ::chipscope::csejtag_tap shift_chain_ir

· ::chipscope::csejtag_tap shift_device_ir

· ::chipscope::csejtag_tap shift_chain_dr

· ::chipscope::csejtag_tap shift_device_dr

· ::chipscope::csejtag_db add_device_data

· ::chipscope::csejtag_db lookup_device

· ::chipscope::csejtag_db get_device_name_for_idcode

· ::chipscope::csejtag_db get_irlength_for_idcode

· ::chipscope::csejtag_db parse_bsdl

· ::chipscope::csejtag_db parse_bsdl_file

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 125UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

::chipscope::csejtag_session create

これは、 通常 ChipScope Engine への 初のサブコマン ド 呼び出しです。 こ のコマン ド で リ ターン

されるセ ッ シ ョ ン ハン ド ルでは、 JTAG ターゲ ッ ト を開いて制御でき ます。 また、 このコマン ド は

デフ ォル ト デ ィ レ ク ト リ の さ まざ まなデータ フ ァ イルから取得されたデータ を使用し てセ ッ シ ョ

ン を 初期化 し ま す。 デ フ ォ ル ト デ ィ レ ク ト リ は <LIBCSEJTAG_DLL_PATH>/../../data/cse (<LIBCSEJTAG_DLL_PATH>は libCseJtag.dll フ ァ イルの絶対パス デ ィ レ ク ト リ ) です。

構文

::chipscope::csejtag_session create messageRouterFn [opt_args...]

メ モ : [opt_args...] は文字列または文字列形式の リ ス ト のオプシ ョ ンの引数 リ ス ト です。

引数

リ ターン

セ ッ シ ョ ン ハン ド ル。 コマン ド がエラーになる と例外にな り ます。

1. オプシ ョ ンの引数を使用し ないで新しいセ ッ シ ョ ンを作成し ます。

%set handle [::chipscope::csejtag_session create messageRouterFn]

2. ポー ト 50001 の lab_machine と い う サーバーにク ラ イ アン ト /サーバー ラ イブラ リ を使用し て

新しいセ ッ シ ョ ンを作成し ます。

%set handle [::chipscope::csejtag_session create messageRouterFn

-server “lab_machine” -port “50001”]

CseJtag Tcl コマン ド の リ ス ト に戻る

表 5-10 : ::chipscope::csejtag_session create サブ コマン ドの引数

引数 タ イプ 説明

messageRouterFn 必須

メ ッ セージ ルーター関数の名前。 すべての メ ッ セージを

stdout にルー ト するには値に 00 を使用し ます。 次は、 関数

宣言文の例です。

proc messageRouterFn handle msgFlags msg ...

msgFlags で次のいずれかが リ ターン されます。

$CSE_MSG_ERROR

$CSE_MSG_WARNING

$CSE_MSG_STATUS

$CSE_MSG_INFO

$CSE_MSG_NOISE

$CSE_MSG_DEBUG

-server <host> オプシ ョ ン<cs_server_host_name>で示される ChipScope サー

バー ホス ト 名に関連するセ ッ シ ョ ンを作成し ます。

-port <portnum> オプシ ョ ン<cs_server_port_number>で示される ChipScope サー

バー ポー ト 数に関連するセ ッ シ ョ ンを作成し ます。

126 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseJtag Tcl コマン ド

::chipscope::csejtag_session destroy

こ のコマン ド は既存セ ッ シ ョ ンを削除し て、 そのセ ッ シ ョ ンで前に使用された リ ソースすべてを空

にし ます。

構文

::chipscope::csejtag_session destroy handle

引数

リ ターン

コマン ド がエラーになる と例外にな り ます。

指定し たセ ッ シ ョ ンを削除し ます。

%::chipscope::csejtag_session destroy $handle

CseJtag Tcl コマン ド の リ ス ト に戻る

::chipscope::csejtag_session get_api_version

こ のコマン ド は CseJtag API ラ イブラ リ のバージ ョ ンを読み出し ます。

構文

::chipscope::csejtag_session get_api_version

引数

こ のコマン ド には引数はあ り ません。

リ ターン

API バージ ョ ン情報を含む Tcl リ ス ト 。 リ ス ト エレ メ ン ト 形式は次の とお り です。

apiVersion versionString

apiVersion は API バージ ョ ン番号で versionString はビル ド バージ ョ ン番号です。 コ マン ド がエ

ラーになる と例外にな り ます。

API バージ ョ ン番号と ビルド番号バージ ョ ンの文字列を含む リ ス ト を取得し ます。

%set api_info [::chipscope::csejtag_session get_api_version]

CseJtag Tcl コマン ド の リ ス ト に戻る

表 5-11 : ::chipscope::csejtag_session create サブ コマン ドの引数

引数 タ イプ 説明

handle 必須 ::chipscope::csejtag_session create で リ ターン された

セ ッ シ ョ ンへのハン ドル

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 127UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

::chipscope::csejtag_session send_message

こ のサブコマン ド は、 CseJtag ラ イブラ リ の メ ッ セージ ルーター関数に メ ッ セージを送信し ます。

構文

::chipscope::csejtag_session send_message handle msgType msg

引数

リ ターン

コマン ド がエラーになる と例外にな り ます。

メ ッ セージ ルーター関数に "Hello World!" メ ッ セージを送信し ます。

%::chipscope::csejtag_session send_message $handle $CSE_MSG_INFO "Hello World!"

CseJtag Tcl コマン ド の リ ス ト に戻る

表 5-12 : ::chipscope::csejtag_session send_message サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ シ ョ ン

へのハン ドル

msgType メ ッ セージ タ イプは、 次のいずれかに設定する必要があ り ます。

· $CSE_MSG_ERROR

· $CSE_MSG_WARNING

· $CSE_MSG_STATUS

· $CSE_MSG_INFO

· $CSE_MSG_NOISE

· $CSE_MSG_DEBUG

msg メ ッ セージ文字列

128 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseJtag Tcl コマン ド

::chipscope::csejtag_target open

こ のサブコマン ド は、 JTAG ターゲ ッ ト デバイ ス を開いて、 セ ッ シ ョ ン と関連付けます。

注記 : 現在の と こ ろ、 セ ッ シ ョ ンご と に開 く こ と のでき る JTAG ターゲ ッ ト は 1 つだけです。

構文

::chipscope::csejtag_target open handle targetName progressCallbackFunc [opt_args...]

メ モ : [opt_args...]は文字列または文字列形式の リ ス ト のオプシ ョ ンの引数 リ ス ト です。

引数

表 5-14 は、 targetName 引数値と それら のオプシ ョ ンの引数の有効な組み合わせを示し ています。

表 5-13 : ::chipscope::csejtag_target open サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ

シ ョ ンへのハン ド ル

targetName 開 く JTAG ターゲ ッ ト の名前。 使用可能な targetName と

[optional args...] の組み合わせについては、 表 5-14 を参照

し て く ださい。 targetName が

$CSEJTAG_TARGET_AUTO に設定されている場合、

初の使用可能な JTAG ケーブル ターゲ ッ ト が開き ます。

progressCallba

ckFuncJTAG ターゲ ッ ト の操作の進捗状況を監視する ために使用

でき るプロ グレ ス コールバッ ク関数です。 この関数の形式

は、 次のよ う にな り ます。

proc progressCallbackFunc (handle totalCount CurrentCount progressStatus) ...

プロ グレ ス コールバッ ク関数は $CSE_STOP または

$CSE_CONTINUE を リ ターン し ます。 プロ グレ ス コール

バッ ク関数が必要でない場合は、 引数に 0 を使用し ます。

表 5-14 : targetName 引数と [optional args...] の組み合わせ

targetName [optional args...]

$CSEJTAG_TARGET_AUTO なし

$CSEJTAG_TARGET_PARALLEL "port=LPT1 | LPT2 | LPT3"

"frequency=5000000 | 2500000 | 200000"

$CSEJTAG_TARGET_PLATFORMUSB "port=USB2 (aliased to USB21) | USB21 |

USB22 | USB23 | ..."

"ESN=<electronic serial number string>"

"frequency=12000000 | 6000000 | 3000000 |

1500000 | 750000"

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 129UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

リ ターン

フ ォーマ ッ ト の リ ス ト は、 次のよ う にな り ます。

target_name plugin_name fw_ver driver_ver plugin_ver vendor frequency port full_name target_uid rawinfo target_flags

説明 :

注記 : コマン ド がエラーになる と例外にな り ます。

1. 自動検出する よ う にし、ターゲッ ト ケーブルを開き、開いたターゲッ ト の情報を リ ターン し ます。

%set targetInfo [::chipscope::csejtag_target open $handle $CSEJTAG_TARGET_AUTO progressFunc]

2. LPT1 ポー ト のパラ レル ケーブルを周波数 200000 で開き、 開いた ターゲ ッ ト の情報を リ ター

ン し ます。

%set targetInfo [::chipscope::csejtag_target open $handle $CSEJTAG_TARGET_PARALLEL progressFunc “port=LPT1” “frequency=200000”]

CseJtag Tcl コマン ド の リ ス ト に戻る

値 説明

target_name targetName 文字列と同じ

plugin_name プラ グ イ ン ラ イブラ リ 名の文字列

fw_ver フ ァーム ウ ェ ア バージ ョ ンの文字列

driver_ver ド ラ イバー バージ ョ ンの文字列

plugin_ver プラ グ イ ン バージ ョ ンの文字列

vendor ベンダー文字列

frequency 周波数文字列

port ポー ト 文字列

full_name ターゲ ッ ト 名すべての文字列

target_uid ターゲ ッ ト 特有の ID 文字列。 ザイ リ ン ク ス プラ ッ ト フ ォーム ケーブル

USB の場合、 これは ESN (Electronic Serial Number) です。

rawinfo そのま まのターゲ ッ ト 情報文字列

target_flags ターゲ ッ ト 特有のフ ラ グを含んだ整数

130 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseJtag Tcl コマン ド

::chipscope::csejtag_target close

こ のサブコマン ド は、 前に開いた JTAG ターゲ ッ ト デバイ ス を閉じ ます。

構文

::chipscope::csejtag_target close handle

引数

リ ターン

コマン ド がエラーになる と例外にな り ます。

指定し たセ ッ シ ョ ンで現在のターゲ ッ ト を閉じ ます。

%::chipscope::csejtag_target close $handle

CseJtag Tcl コマン ド の リ ス ト に戻る

表 5-15 : ::chipscope::csejtag_target close サブ コマン ドの引数

引数 タ イプ 説明

handle 必須 ::chipscope::csejtag_session create で リ ターン された

セ ッ シ ョ ンへのハン ド ル

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 131UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

::chipscope::csejtag_target is_connected

こ のサブコマン ド は、 JTAG ターゲ ッ ト デバイ スの接続ステータ ス をテス ト し ます。

構文

::chipscope::csejtag_target is_connected handle

引数

リ ターン

接続ステータ スは、 次のよ う に リ ターン されます。

• 1 の場合は、 ターゲ ッ ト への接続が開いていて、 ア ク テ ィ ブな こ と を示し ます。

• 0 の場合は、 閉じ ている こ と を示し ます。

コマン ド がエラーになる と例外にな り ます。

指定し たセ ッ シ ョ ンで現在のターゲ ッ ト を リ ターン し ます。

%set isConnected (::chipscope::csejtag_target is_connected $handle)

CseJtag Tcl コマン ド の リ ス ト に戻る

::chipscope::csejtag_target lock

こ のサブコマン ド は、 前に開いた JTAG ターゲ ッ ト デバイ スのロ ッ ク を取得し ます。

構文

::chipscope::csejtag_target lock handle msWait

引数

リ ターン

ロ ッ ク ステータ スの形式は、 次のいずれかにな り ます。

- $CSEJTAG_LOCKED_ME

- $CSEJTAG_LOCKED_OTHER

表 5-16 : ::chipscope::csejtag_target is_connected サブ コマン ドの引数

引数 タ イプ 説明

handle 必須 ::chipscope::csejtag_session create で リ ターン された

セ ッ シ ョ ンへのハン ド ル

表 5-17 : ::chipscope::csejtag_target lock サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン された

セ ッ シ ョ ンへのハン ド ル

msWait 停止する前の待機時間 ( ミ リ 秒)。-1 は lock が取得される

まで待機を意味し ます。

132 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseJtag Tcl コマン ド

- $CSEJTAG_UNKNOWN

コマン ド がエラーになる と例外にな り ます。

ターゲ ッ ト ロ ッ ク を取得し よ う と し、 低 1000ms 待機し、 ロ ッ ク のステータ ス を取得し ます。

%set lockStatus [::chipscope::csejtag_target lock $handle 1000]

CseJtag Tcl コマン ド の リ ス ト に戻る

::chipscope::csejtag_target unlock

こ のサブコマン ド は、 前に開いた ロ ッ ク されている JTAG ターゲ ッ ト デバイ スのロ ッ ク を解除し

ます。

構文

::chipscope::csejtag_target unlock handle

引数

リ ターン

コマン ド がエラーになる と例外にな り ます。

指定し たセ ッ シ ョ ンでターゲ ッ ト のロ ッ ク を解除し ます。

%::chipscope::csejtag_target unlock $handle

CseJtag Tcl コマン ド の リ ス ト に戻る

表 5-18 : ::chipscope::csejtag_target unlock サブ コマン ドの引数

引数 タ イプ 説明

handle 必須 ::chipscope::csejtag_session create で リ ターン された

セ ッ シ ョ ンへのハン ド ル

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 133UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

::chipscope::csejtag_target get_lock_status

こ のサブコマン ド は、 ターゲ ッ ト デバイ スのロ ッ ク ステータ ス を読み出し ます。

構文

::chipscope::csejtag_target get_lock_status handle

引数

リ ターン

ロ ッ ク ステータ スの形式は、 次のいずれかにな り ます。

- $CSEJTAG_LOCKED_ME

- $CSEJTAG_LOCKED_OTHER

- $CSEJTAG_UNKNOWN

コマン ド がエラーになる と例外にな り ます。

現在のロ ッ ク の テータ ス を取得し ます。

%set lockStatus [::chipscope::csejtag_target get_lock_status $handle]

CseJtag Tcl コマン ド の リ ス ト に戻る

表 5-19 : ::chipscope::csejtag_target get_lock_status サブ コマン ドの引数

引数 タ イプ 説明

handle 必須 ::chipscope::csejtag_session create で リ ターン された

セ ッ シ ョ ンへのハン ド ル

134 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseJtag Tcl コマン ド

::chipscope::csejtag_target clean_locks

こ のサブコマン ド は、 すべての JTAG ターゲ ッ ト ロ ッ ク を ク リ ーンア ッ プし ます。

注記 : このサブコマン ド は、 後の手段と し てのみ使用し て く だ さい。このサブコマン ド を実行する

と、 ほかのプロセスおよびアプ リ ケーシ ョ ンで使用される ものも含め、 すべての共有セマフ ォが停

止 (kill) されます。 現在の と こ ろは、 JTAG ケーブル ターゲ ッ ト のロ ッ ク のみがク リ ーンア ッ プさ

れます。

構文

::chipscope::csejtag_target clean_locks handle

引数

リ ターン

コマン ド がエラーになる と例外にな り ます。

アプ リ ケーシ ョ ンが予期せず閉じ た り 、::chipscope::csejtag_target open でターゲ ッ ト を問題な く 開

く こ と ができ なかった り するので、 こ のコマン ド は 後の手段と し て使用し ます。

%::chipscope::csejtag_target clean_locks $handle

CseJtag Tcl コマン ド の リ ス ト に戻る

表 5-20 : ::chipscope::csejtag_target clean_locks サブ コマン ドの引数

引数 タ イプ 説明

handle 必須 ::chipscope::csejtag_session create で リ ターン された

セ ッ シ ョ ンへのハン ド ル

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 135UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

::chipscope::csejtag_target flush

こ のサブ コ マン ド は、 前に開いた ロ ッ ク さ れてい る JTAG ターゲ ッ ト デバ イ ス に関連する バ ッ

フ ァーを フ ラ ッ シュ し ます。

注記 : JTAG ターゲ ッ ト は、 こ のサブコマン ド を呼び出す前に ::chipscope::csejtag_target lock サブ

コマン ド を使用し てロ ッ ク されている必要があ り ます。

構文

::chipscope::csejtag_target flush handle

引数

リ ターン

コマン ド がエラーになる と例外にな り ます。

開いている ロ ッ ク された JTAG ターゲ ッ ト のバッ フ ァーを フ ラ ッ シュ し て、 データ書き込みがすぐ

にでき る よ う にし ます。

%::chipscope::csejtag_target flush $handle

CseJtag Tcl コマン ド の リ ス ト に戻る

表 5-21 : ::chipscope::csejtag_target flush サブ コマン ドの引数

引数 タ イプ 説明

handle 必須 ::chipscope::csejtag_session create で リ ターン された

セ ッ シ ョ ンへのハン ド ル

136 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseJtag Tcl コマン ド

::chipscope::csejtag_target set_pin

こ のサブコマン ド は、 前に開いたロ ッ ク されている JTAG ターゲ ッ ト デバイ スの JTAG TAP ピン

の値を設定し ます。

注記 : JTAG ターゲ ッ ト は、 こ のサブコマン ド を呼び出す前に ::chipscope::csejtag_target lock サブ

コマン ド を使用し てロ ッ ク されている必要があ り ます。

こ の関数を使用し て JTAG TAP ステー ト を変更する場合、CseJtag Tcl ラ イブラ リ は CseJtag Tcl ス

テー ト を記録し ない こ と に注意し て く ださい。 ::chipscope::csejtag_tap サブコマン ド のいずれかを

使用する場合は、その前に ::chipscope::csejtag_tap navigate サブコマン ド を使用し て JTAG TAP ス

テー ト マシンを $CSEJTAG_TEST_LOGIC_RESET ステー ト に設定し ておき ます。

構文

::chipscope::csejtag_target set_pin handle pin value

引数

リ ターン

コマン ド がエラーになる と例外にな り ます。

TMS ピンを 1 に設定し ます。

%::chipscope::csejtag_target set_pin $handle $CSEJTAG_TMS 1

CseJtag Tcl コマン ド の リ ス ト に戻る

表 5-22 : ::chipscope::csejtag_target set_pin サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ シ ョ ン

へのハン ド ル

pin JTAG TAP ピ ン識別子 $CSEJTAG_TMS | $CSEJTAG_ TDI$CSEJTAG_TCK ピンを変更するには、

::chipscope::csejtag_ target pulse_pin サブコマン ド を使用し ます。

value JTAG TAP ピ ンの値 1=set, 0=clear

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 137UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

::chipscope::csejtag_target get_pin

こ のサブコマン ド は、 前に開いたロ ッ ク されている JTAG ターゲ ッ ト デバイ スの JTAG TAP ピン

の値を読み出し ます。

注記 : JTAG ターゲ ッ ト は、 こ のサブコマン ド を呼び出す前に ::chipscope::csejtag_target lock サブ

コマン ド を使用し てロ ッ ク されている必要があ り ます。

構文

::chipscope::csejtag_target get_pin handle pin

引数

リ ターン

JTAG TAP ピンの値 1=set, 0=clear

コマン ド がエラーになる と例外にな り ます。

TDO ピンの現在の値を取得し ます。

%set value [::chipscope::csejtag_target set_pin $handle $CSEJTAG_TDO]

CseJtag Tcl コマン ド の リ ス ト に戻る

表 5-23 : ::chipscope::csejtag_target get_pin サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ シ ョ ン

へのハン ド ル

pin JTAG TAP ピ ン識別子 $CSEJTAG_TMS | $CSEJTAG_TCK | $CSEJTAG_TDI | $CSEJTAG_TDO

138 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseJtag Tcl コマン ド

::chipscope::csejtag_target pulse_pin

こ のサブコマン ド は、 前に開いたロ ッ ク されている JTAG ターゲ ッ ト デバイ スの JTAG TAP ピン

の値にパルス を送 り ます。

注記 : JTAG ターゲ ッ ト は、 こ のサブコマン ド を呼び出す前に ::chipscope::csejtag_target lock サブ

コマン ド を使用し てロ ッ ク されている必要があ り ます。

こ の関数を使用し て JTAG TAP ステー ト を変更する場合、CseJtag Tcl ラ イブラ リ で CseJtag Tcl ス

テー ト が記録されないこ と に注意し て く だ さい。 ::chipscope::csejtag_tap サブコマン ド のいずれか

を使用する場合は、その前に ::chipscope::csejtag_tap navigate サブコマン ド を使用し て JTAG TAP ステー ト マシンを $CSEJTAG_TEST_LOGIC_RESET ステー ト に設定し ておき ます。

構文

::chipscope::csejtag_target pulse_pin handle pin count

引数

リ ターン

コマン ド がエラーになる と例外にな り ます。

TCK ピンに 5 回パルス を送 り ます。

%::chipscope::csejtag_target pulse_pin $handle $CSEJTAG_TCK 5

CseJtag Tcl コマン ド の リ ス ト に戻る

表 5-24 : ::chipscope::csejtag_target pulse_pin サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ シ ョ

ンへのハン ド ル

pin JTAG TAP ピン識別子 $CSEJTAG_TMS | $CSEJTAG_TCK | $CSEJTAG_TDI

count JTAG TAP にパルス を送る回数 (パルス と は、 ピンに 0 を駆動

し て 1 を駆動し てから、 0 を駆動する こ と を意味し ます)

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 139UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

::chipscope::csejtag_target wait_time

こ のサブコマン ド は、 指定し た時間 (マイ ク ロ秒) 分待機し ます。

注記 : JTAG ターゲ ッ ト は、 こ のサブコマン ド を呼び出す前に ::chipscope::csejtag_target lock サブ

コマン ド を使用し てロ ッ ク されている必要があ り ます。

構文

::chipscope::csejtag_target wait_time handle usecs

引数

リ ターン

コマン ド がエラーになる と例外にな り ます。

JTAG ターゲ ッ ト に別の操作を実行する前に 1000 マイ ク ロ秒待機する よ う に命令し ます。

%::chipscope::csejtag_target wait_time $handle 1000

CseJtag Tcl コマン ド の リ ス ト に戻る

表 5-25 : ::chipscope::csejtag_target wait_time サブ コ マン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ

シ ョ ンへのハン ドル

usecs 待機するマイ ク ロ秒

140 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseJtag Tcl コマン ド

::chipscope::csejtag_target get_info

こ のサブコマン ド は、 前に開いた JTAG ターゲ ッ ト から情報を読み出し ます。

注記 : この関数を呼び出す前に JTAG ターゲ ッ ト ロ ッ ク を取得する必要はあ り ません。

構文

::chipscope::csejtag_target get_info handle

引数

リ ターン

フ ォーマ ッ ト の リ ス ト は、 次のよ う にな り ます。

target_name plugin_name fw_ver driver_ver plugin_ver vendor frequency port full_name target_uid rawinfo target_flags

説明 :

注記 : コマン ド がエラーになる と例外にな り ます。

現在の JTAG ターゲ ッ ト に関する情報を取得し ます。

%set targetInfo [::chipscope::csejtag_target get_info $handle]

CseJtag Tcl コマン ド の リ ス ト に戻る

表 5-26 : ::chipscope::csejtag_target get_info サブ コマン ドの引数

引数 タ イプ 説明

handle必須

::chipscope::csejtag_session create で リ ターン された

セ ッ シ ョ ンへのハン ド ル

値 説明

target_name JTAG ターゲ ッ ト の名前

plugin_name プラ グ イ ン ラ イブラ リ 名の文字列

fw_ver フ ァーム ウ ェ ア バージ ョ ンの文字列

driver_ver ド ラ イバー バージ ョ ンの文字列

plugin_ver プラ グ イ ン バージ ョ ンの文字列

vendor ベンダー文字列

frequency 周波数文字列

port ポー ト 文字列

full_name ターゲ ッ ト 名すべての文字列

target_uid ターゲ ッ ト 特有の ID 文字列。

rawinfo そのま まのターゲ ッ ト 情報文字列

target_flags ターゲ ッ ト 特有のフ ラ グを含んだ整数

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 141UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

::chipscope::csejtag_tap autodetect_chain

こ のサブコ マン ド は、 JTAG チェーンの構成を自動的に検出し ます。 まず、 JTAG チェーンに含ま

れるデバイ ス数と その IDCODE を取得し、IDCODE を持つ JTAG チェーンのデバイ スの命令レジ

ス タ (IR) 長を決定し ます。 デバイ スの IR 長に対応する IDCODE がない場合は、 手動で割 り 当て

る必要があ り ます。 問題な く 終了する と、 すべての関連するデバイ ス情報が決定され、 セ ッ シ ョ ン

で設定されます。 IEEE 1149.1 と 互換性のないデバイ スの中には、 こ のサブコ マン ド を認識せず、

チェーン全体が間違って検出された り 、 全 く 検出されなかった り する ものも あ り ます。

注記 : JTAG ターゲ ッ ト は、 こ のサブコマン ド を呼び出す前に ::chipscope::csejtag_target lock サブ

コマン ド を使用し てロ ッ ク されている必要があ り ます。

構文

::chipscope::csejtag_tap autodetect_chain handle algorithm

引数

リ ターン

サブコマン ド でチェーンが完全に検出でき なかった場合は、 例外にな り ます。 こ のよ う なエラーの

場合、 JTAG チェーンのデバイ ス を検出し、 手動で割 り 当てる必要があ り ます。

デフ ォル ト のアルゴ リ ズムを使用し てチェーンが自動的に検出される よ う にし ます。

%::chipscope::csejtag_tap autodetect_chain $handle $CSEJTAG_SCAN_DEFAULT

CseJtag Tcl コマン ド の リ ス ト に戻る

表 5-27 : ::chipscope::csejtag_tap autodetect_chain サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ シ ョ ンへの

ハン ドル

algorithm JTAG チェーンの構成決定する ために使用する アルゴ リ ズムで、

$CSEJTAG_SCAN_DEFAULT | $CSEJTAG_SCAN_TLRSHIFT |

$CSEJTAG_SCAN_WALKING_ONES のいずれかに設定でき ます。

CSEJTAG_SCAN_WALKING_ONES アルゴ リ ズムでは、次を実行で

き ます。

· 1 の長いス ト リ ームを IR にシフ ト して、 各デバイ スを BYPASS に設定し ます。

· DR パターンを TDI にシフ ト し、 TDO のパターンを待ちます。 シ

フ ト 数によ って JTAG チェーン内のデバイ ス数が決ま り ます。

· CSEJTAG_SCAN_TLRSHIFT アルゴ リ ズムを実行する と、 各デ

バイ スの IDCODE が取得されます。

CSEJTAG_SCAN_TLRSHIFT アルゴ リ ズムでは、次を実行でき ます。

· TLR にナビゲー ト し ます。

すべての IDCODE (または BYPASS ビ ッ ト ) が読み出される までビ ッ

ト をシフ ト アウ ト し ます。

142 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseJtag Tcl コマン ド

::chipscope::csejtag_tap interrogate_chain

こ のサブコマン ド は JTAG チェーンを ス キ ャ ン し、 チェーンの IDCODE およびデバイ ス数を取得

し ます。 IEEE 1149.1 と互換性のないデバイ スの中には、 こ のサブコマン ド を認識せず、 チェーン

全体が間違って検出された り 、全 く 検出されなかった り する ものも あ り ます。 このコマン ド によ り 、

各デバイ スの命令レジス タ (IR) はア ッ プデー ト されません。

注記 : JTAG ターゲ ッ ト は、 こ のサブコマン ド を呼び出す前に ::chipscope::csejtag_target lock サブ

コマン ド を使用し てロ ッ ク されている必要があ り ます。

構文

::chipscope::csejtag_tap interrogate_chain handle algorithm

引数

リ ターン

コマン ド がエラーになる と例外にな り ます。

デフ ォル ト のアルゴ リ ズムを使用し てチェーンの情報を取得し ます。

%::chipscope::csejtag_tap interrogate_chain $handle $CSEJTAG_SCAN_DEFAULT

CseJtag Tcl コマン ド の リ ス ト に戻る

表 5-28 : ::chipscope::csejtag_tap interrogate_chain サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ シ ョ ン

へのハン ド ル

algorithm JTAG チェーンの構成決定する ために使用する アルゴ リ ズムで、

$CSEJTAG_SCAN_DEFAULT | $CSEJTAG_SCAN_TLRSHIFT

| $CSEJTAG_SCAN_WALKING_ONES のいずれかに設定でき

ます。

CSEJTAG_SCAN_WALKING_ONES アルゴ リ ズムでは、 次を

実行でき ます。

· 1 の長いス ト リ ームを IR にシフ ト し て、 各デバイ ス を BYPASS に設定し ます。

· DR パターンを TDI にシフ ト し、 TDO のパターンを待ちま

す。 シフ ト 数によ って JTAG チェーン内のデバイ ス数が決ま

り ます。

· CSEJTAG_SCAN_TLRSHIFT アルゴ リ ズムを実行する と、

各デバイ スの IDCODE が取得されます。

CSEJTAG_SCAN_TLRSHIFT アルゴ リ ズムでは、次を実行でき

ます。

· TLR にナビゲー ト し ます。

· すべての IDCODE (または BYPASS ビ ッ ト ) が読み出される

までビ ッ ト をシフ ト アウ ト し ます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 143UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

::chipscope::csejtag_tap get_device_count

こ のサブコマン ド は、 現在の JTAG チェーンに含まれるデバイ ス数を取得するために使用し ます。

注記 : JTAG ターゲ ッ ト は、 こ のサブコマン ド を呼び出す前に ::chipscope::csejtag_target lock サブ

コマン ド を使用し てロ ッ ク されている必要があ り ます。

構文

::chipscope::csejtag_tap get_device_count handle

引数

リ ターン

チェーンのデバイ ス数

コマン ド がエラーになる と例外にな り ます。

JTAG チェーン内のデバイ ス数を取得し ます。

%set deviceCount [::chipscope::csejtag_tap get_device_count $handle]

CseJtag Tcl コマン ド の リ ス ト に戻る

表 5-29 : ::chipscope::csejtag_tap get_device_count サブ コマン ドの引数

引数 タ イプ 説明

handle 必須 ::chipscope::csejtag_session create で リ ターン された

セ ッ シ ョ ンへのハン ド ル

144 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseJtag Tcl コマン ド

::chipscope::csejtag_tap set_device_count

こ のサブコマン ド は、 現在の JTAG チェーンに含まれるデバイ ス数を設定するために使用し ます。

注記 : JTAG ターゲ ッ ト は、 こ のサブコマン ド を呼び出す前に ::chipscope::csejtag_target lock サブ

コマン ド を使用し てロ ッ ク されている必要があ り ます。

構文

::chipscope::csejtag_tap set_device_count handle count

引数

リ ターン

コマン ド がエラーになる と例外にな り ます。

JTAG チェーン内のデバイ ス数を 4 に設定し ます。

%::chipscope::csejtag_tap set_device_count $handle 4

CseJtag Tcl コマン ド の リ ス ト に戻る

表 5-30 : ::chipscope::csejtag_tap set_device_count サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ

シ ョ ンへのハン ド ル

count JTAG チェーン内のデバイ ス数

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 145UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

::chipscope::csejtag_tap get_irlength

こ のサブコマン ド は、 現在の JTAG チェーンに含まれるデバイ スの命令レ ジス タ (IR) 長を読み出

し ます。 IR 長は、 命令をデバイ ス レジス タにシフ ト する ために必要なパデ ィ ングの量を指定する

ために使用し ます。 TAP シフ ト と ナビゲー ト は、 すべてのデバイ スの IR 長が正し く 設定される ま

で実行されません。::chipscope::csejtag_tap autodetect_chain サブコマン ド を使用する と、IDCODE コマン ド をサポー ト するチェーンのデバイ スすべての IR 長を自動的に設定されます。

注記 : JTAG ターゲ ッ ト は、 こ のサブコマン ド を呼び出す前に ::chipscope::csejtag_target lock サブ

コマン ド を使用し てロ ッ ク されている必要があ り ます。 また、 デバイ ス カ ウ ン ト はこ のサブコマン

ド を呼び出す前に ::chipscope::csejtag_tap set_device_count を使用し て設定されている必要があ り

ます。

構文

::chipscope::csejtag_tap get_irlength handle deviceIndex

引数

リ ターン

デバイ スの IR 長を指定し ます。

コマン ド がエラーになる と例外にな り ます。

イ ンデッ ク ス 0 のデバイ スの IR 長を取得し ます。

%set irLength [::chipscope::csejtag_tap get_irlength $handle 0]

CseJtag Tcl コマン ド の リ ス ト に戻る

表 5-31 : ::chipscope::csejtag_tap get_irlength サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ

シ ョ ンへのハン ド ル

deviceIndex n-length の JTAG チェーンのデバイ ス イ ンデッ ク ス (0 ~ n- 1)

146 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseJtag Tcl コマン ド

::chipscope::csejtag_tap set_irlength

こ のサブコ マン ド は、 現在の JTAG チェーンに含まれる 1 つのデバイ スの命令レジス タ (IR) 長を

設定し ます。 IR 長は、 命令をデバイ ス レジス タにシフ ト する ために必要なパデ ィ ングの量を指定

するために使用し ます。 TAP シフ ト と ナビゲー ト は、 すべてのデバイ スの IR 長が正し く 設定され

る ま で実行 さ れ ま せん。 ::chipscope::csejtag_tap autodetect_chain サブ コ マ ン ド を 使用す る と、

IDCODE コマン ド をサポー ト するチェーンのデバイ スすべての IR 長を自動的に設定されます。

注記 : JTAG ターゲ ッ ト は、 こ のサブコマン ド を呼び出す前に ::chipscope::csejtag_target lock サブ

コマン ド を使用し てロ ッ ク されている必要があ り ます。 また、 デバイ ス カ ウ ン ト はこ のサブコマン

ド を呼び出す前に ::chipscope::csejtag_tap set_device_count を使用し て設定されている必要があ り

ます。

構文

::chipscope::csejtag_tap set_irlength handle deviceIndex irLength

引数

リ ターン

コマン ド がエラーになる と例外にな り ます。

イ ンデッ ク ス 0 から 11 ビ ッ ト のデバイ スの IR 長を設定し ます。

%::chipscope::csejtag_tap set_irlength $handle 0 11

CseJtag Tcl コマン ド の リ ス ト に戻る

表 5-32 : ::chipscope::csejtag_tap set_irlength サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン された

セ ッ シ ョ ンへのハン ド ル

deviceIndex n-length の JTAG チェーンのデバイ ス イ ンデッ ク ス (0 ~ n-1)

irLength IR の長さ (ビ ッ ト )

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 147UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

::chipscope::csejtag_tap get_device_idcode

こ のサブコマン ド は、現在の JTAG チェーンの中の指定デバイ スの 32 ビ ッ ト IDCODE を リ ターン

し ます。 デバイ スで IDCODE 命令がサポー ト されない場合は、 null 文字列が リ ターン されます。

注記 : JTAG ターゲ ッ ト は、 こ のサブコマン ド を呼び出す前に ::chipscope::csejtag_target lock サブ

コマン ド を使用し てロ ッ ク されている必要があ り ます。 また、 デバイ ス カ ウ ン ト はこ のサブコマン

ド を呼び出す前に ::chipscope::csejtag_tap set_device_count を使用し て設定されている必要があ り

ます。

構文

::chipscope::csejtag_tap get_device_idcode handle deviceIndex

引数

リ ターン

デバイ スの 32 ビ ッ ト の IDCODE を表す 1 と 0 の 32 文字の文字列

コマン ド がエラーになる と例外にな り ます。

イ ンデッ ク ス 0 のデバイ スの IDCODE を取得し ます。

%set idcode [::chipscope::csejtag_tap get_device_idcode $handle 0]

CseJtag Tcl コマン ド の リ ス ト に戻る

表 5-33 : ::chipscope::csejtag_tap get_device_idcode サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン された

セ ッ シ ョ ンへのハン ド ル

deviceIndex n-length の JTAG チェーンのデバイ ス イ ンデッ ク ス (0 ~ n-1)

148 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseJtag Tcl コマン ド

::chipscope::csejtag_tap set_device_idcode

こ のサブコ マン ド は、 現在の JTAG チェーンの中の指定デバイ スの IDCODE を設定し ます。 null 文字列を渡すこ と で、 デバイ スで IDCODE 命令がサポー ト されない こ と が示されます。

注記 : JTAG ターゲ ッ ト は、 こ のサブコマン ド を呼び出す前に ::chipscope::csejtag_target lock サブ

コマン ド を使用し てロ ッ ク されている必要があ り ます。 また、 デバイ ス カ ウ ン ト はこ のサブコマン

ド を呼び出す前に ::chipscope::csejtag_tap set_device_count を使用し て設定されている必要があ り

ます。

構文

::chipscope::csejtag_tap set_device_idcode handle deviceIndex idcode

引数

リ ターン

コマン ド がエラーになる と例外にな り ます。

イ ンデッ ク ス 0 ~ 01010101010101010101010101010101 のデバイ スの IDCODE を設定し ます。

%::chipscope::csejtag_tap set_device_idcode $handle 0 “01010101010101010101010101010101”

CseJtag Tcl コマン ド の リ ス ト に戻る

表 5-34 : ::chipscope::csejtag_tap set_device_idcode サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン された

セ ッ シ ョ ンへのハン ド ル

deviceIndex n-length の JTAG チェーンのデバイ ス イ ンデッ ク ス (0 ~ n-1)

idcode デバイ スの 32 ビ ッ ト の IDCODE を表す 1 と 0 の 32 文

字の文字列

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 149UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

::chipscope::csejtag_tap navigate

こ のサブコマン ド は、JTAG チェーンに含まれるデバイ スの TAP ステー ト を変更するために使用し

ます。

注記 : JTAG ターゲ ッ ト は、 こ のサブコマン ド を呼び出す前に ::chipscope::csejtag_target lock サブ

コマン ド を使用し てロ ッ ク されている必要があ り ます。

構文

::chipscope::csejtag_tap navigate handle newState clockRepeat microseconds

引数

リ ターン

コマン ド がエラーになる と例外にな り ます。

TAP ステー ト から Test Logic Reset にナビゲー ト し、5 ク ロ ッ ク サイ クル追加でこ のステー ト が維

持される よ う にし ます。

%::chipscope::csejtag_tap navigate $handle $CSEJTAG_TEST_LOGIC_RESET 5 0

CseJtag Tcl コマン ド の リ ス ト に戻る

表 5-35 : ::chipscope::csejtag_tap navigate サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン された

セ ッ シ ョ ンへのハン ド ル

newState ナビゲー ト する新規ステー ト

clockRepeat 新規ステー ト になった後に追加で TCK ピンにパルス を

送る回数

microseconds 新規ス テー ト にナビゲー ト 後にス リ ープ状態にな る マ

イ ク ロ秒数

150 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseJtag Tcl コマン ド

::chipscope::csejtag_tap shift_chain_ir

こ のサブコマン ド は、 ビ ッ ト ス ト リ ームを JTAG チェーンの命令レジス タに対し てシフ ト イ ンおよ

びシフ ト アウ ト するために使用し ます。デバイ ス パデ ィ ングは実行されません。デバイ ス イ ンデッ

ク スの付いた IR シフ ト については、 153 ページの 「::chipscope::csejtag_tap shift_device_ir」 を参

照し て く ださ い。

注記 : JTAG ターゲ ッ ト は、 こ のサブコマン ド を呼び出す前に ::chipscope::csejtag_target lock サブ

コマン ド を使用し てロ ッ ク されている必要があ り ます。

構文

::chipscope::csejtag_tap shift_chain_ir handle shiftMode exitState progressCallbackFunc bitCount hextdibuf [-hextdimask hextdimaskval] [-hextdomask hextdomaskval]

引数

リ ターン

JTAG TAP の TDO ピ ンから シフ ト アウ ト されるデータでフルになったバッ フ ァー。

コマン ド がエラーになる と例外にな り ます。

表 5-36 : ::chipscope::csejtag_tap shift_chain_ir サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ

シ ョ ンへのハン ドル

shiftMode CSJTAG_SHIFT_READ | CSJTAG_SHIFT_WRITE |

CSJTAG_SHIFT_READWRITE)

exitState シフ ト が完了し た後の終了ステー ト (ステー ト を変更し ない

場合は CSEJTAG_SHIFT_IR)

progressCallba

ckFunc

JTAG ターゲ ッ ト の操作の進捗状況を監視するために使用で

き るプロ グレ ス コールバッ ク関数です。 こ の関数の形式は、

次のよ う にな り ます。

proc progressCallbackFunc (handle totalCount

CurrentCount progressStatus) ...

プロ グレ ス コールバッ ク関数は $CSE_STOP または

$CSE_CONTINUE を リ ターン し ます。 プロ グレ ス コール

バ ッ ク関数が必要でない場合は、 引数に 0 を使用し ます。

bitCount シフ ト する ビ ッ ト 数

hextdibuf TDL に書き込むデータ ビ ッ ト を維持するデータ バッ

フ ァー。 小位ビ ッ ト (LSB) は TDI にまずシフ ト されます。

-hextdimask

hextdimaskval

オプシ ョ ン

データが JTAG TAP の TDI ピンにシフ ト される前にマス ク

ワード の hextdimaskval がデータ バッ フ ァーのビ ッ ト に適用

される よ う に指定し ます。

-hextdomask

hextdomaskval

データが JTAG TAP の TDO ピンから シフ ト アウ ト された後

にマス ク ワード の hextdomaskval がデータ バッ フ ァーの

ビ ッ ト に適用される よ う に指定し ます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 151UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

命令レジス タに 64 個の 1 をシフ ト イ ン し、 その 64 ビ ッ ト の受信データ をキ ャプチャ し、終了し た

ら Run Test Idle ステー ト にナビゲー ト し ます。

%set hextdobuf [::chipscope::csejtag_tap shift_chain_ir $handle $CSEJTAG_SHIFT_READWRITE $CSEJTAG_RUN_TEST_IDLE progressFunc 64 “FFFFFFFFFFFFFFFF”]

CseJtag Tcl コマン ド の リ ス ト に戻る

152 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseJtag Tcl コマン ド

::chipscope::csejtag_tap shift_device_ir

こ のサブコマン ド は、 ビ ッ ト ス ト リ ームを JTAG チェーン内の特定デバイ スの命令レジス タに対し

てシフ ト イ ンおよびシフ ト アウ ト するために使用し ます。 デバイ ス パデ ィ ングは、 その他すべての

デバイ ス を BYPASS モー ド にする と 実行されます。 こ のサブコ マン ド は ::chipscope::csejtag_tap shift_device_dr よ り も前に呼び出し て ターゲ ッ ト 以外のデバイ ス をすべて BYPASS モード にし て

おかない と、 予測、 意図し ない結果にな る可能性があ り ます。 そのま まのデータ をチェーンの IR にシフ ト する場合は、 151 ページの 「::chipscope::csejtag_tap shift_chain_ir」 を参照し て く だ さい。

注記 : JTAG ターゲ ッ ト は、 こ のサブコマン ド を呼び出す前に ::chipscope::csejtag_target lock サブ

コマン ド を使用し てロ ッ ク されている必要があ り ます。また、デバイ ス IR にシフ ト される ビ ッ ト 数

がデバイ スの IR 長 と一致し ない場合、 このサブコマン ド はエラーにな り ます。

構文

::chipscope::csejtag_tap shift_device_ir handle deviceIndex shiftMode exitState progressCallbackFunc bitCount hextdibuf [-hextdimask hextdimaskval] [-hextdomask hextdomaskval]

引数

表 5-37 : ::chipscope::csejtag_tap shift_device_ir サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ シ ョ

ンへのハン ド ル

deviceIndex n-length の JTAG チェーンのデバイ ス イ ンデッ ク ス (0 ~ n-1)

shiftMode CSJTAG_SHIFT_READ | CSJTAG_SHIFT_WRITE |

CSJTAG_SHIFT_READWRITE)

exitState シフ ト が完了し た後の終了ステー ト (ステー ト を変更し ない

場合は CSEJTAG_SHIFT_IR)

progressCallb

ackFunc

JTAG ターゲ ッ ト の操作の進捗状況を監視する ために使用で

き るプロ グレ ス コールバッ ク関数です。この関数の形式は、次

のよ う にな り ます。

proc progressCallbackFunc (handle totalCount

CurrentCount progressStatus) ...

プロ グレ ス コールバッ ク関数は $CSE_STOP または

$CSE_CONTINUE を リ ターン し ます。 プロ グレ ス コール

バッ ク関数が必要でない場合は、 引数に 0 を使用し ます。

bitCount シフ ト する ビ ッ ト 数

hextdibuf TDL に書き込むデータ ビ ッ ト を維持するデータ バッ フ ァー。

小位ビ ッ ト (LSB) は TDI にまずシフ ト されます。

-hextdimask

hextdimaskval

オプシ ョ ン

データが JTAG TAP の TDI ピ ンにシフ ト される前にマス ク

ワード の hextdimaskval がデータ バ ッ フ ァーのビ ッ ト に適用

される よ う に指定し ます。

-hextdomask

hextdomaskval

データが JTAG TAP の TDO ピ ンから シフ ト アウ ト された後

にマス ク ワード の hextdomaskval がデータ バ ッ フ ァーのビ ッ

ト に適用される よ う に指定し ます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 153UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

リ ターン

JTAG TAP の TDO ピ ンから シフ ト アウ ト されるデータでフルになったバッ フ ァー。

コマン ド がエラーになる と例外にな り ます。

イ ンデッ ク ス 1 のデバイ スの命令レジス タに 11 個の 1 をシフ ト イ ン し、その 11 ビ ッ ト の受信デー

タ をキ ャプチャ し、 終了し た ら Run Test Idle ステー ト にナビゲー ト し ます。

%set hextdobuf [::chipscope::csejtag_tap shift_device_ir $handle 1 $CSEJTAG_SHIFT_READWRITE $CSEJTAG_RUN_TEST_IDLE progressFunc 11 “7FF”]

CseJtag Tcl コマン ド の リ ス ト に戻る

154 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseJtag Tcl コマン ド

::chipscope::csejtag_tap shift_chain_dr

こ のサブコマン ド は、 ビ ッ ト ス ト リ ームを JTAG チェーンのデータ レジス タ (DR) に対し てシフ ト

イ ンおよびシフ ト アウ ト するために使用し ます。 デバイ ス パデ ィ ングは実行されません。 デバイ ス

イ ンデッ ク スの付いた DR シフ ト については、 ::chipscope::csejtag_tap shift_device_dr サブコマン

ド を参照し て く ださ い。

注記 : JTAG ターゲ ッ ト は、 こ のサブコマン ド を呼び出す前に ::chipscope::csejtag_target lock サブ

コマン ド を使用し てロ ッ ク されている必要があ り ます。

構文

::chipscope::csejtag_tap shift_chain_dr handle shiftMode exitState progressCallbackFunc bitCount hextdibuf [-hextdimask hextdimaskval] [-hextdomask hextdomaskval]

引数

リ ターン

JTAG TAP の TDO ピ ンから シフ ト アウ ト されるデータでフルになったバッ フ ァー。

コマン ド がエラーになる と例外にな り ます。

表 5-38 : ::chipscope::csejtag_tap shift_chain_dr サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ シ ョ

ンへのハン ド ル

shiftMode CSJTAG_SHIFT_READ | CSJTAG_SHIFT_WRITE |

CSJTAG_SHIFT_READWRITE)

exitState シフ ト が完了し た後の終了ステー ト (ステー ト を変更し ない

場合は CSEJTAG_SHIFT_DR)

progressCallb

ackFunc

JTAG ターゲ ッ ト の操作の進捗状況を監視する ために使用で

き るプロ グレ ス コールバッ ク関数です。こ の関数の形式は、次

のよ う にな り ます。

proc progressCallbackFunc (handle totalCount

CurrentCount progressStatus) ...

プロ グレ ス コールバッ ク関数は $CSE_STOP または

$CSE_CONTINUE を リ ターン し ます。 プロ グレ ス コール

バッ ク関数が必要でない場合は、 引数に 0 を使用し ます。

bitCount シフ ト する ビ ッ ト 数

hextdibuf TDI に書き込むデータ ビ ッ ト を保持するデータ バッ フ ァー。

小位ビ ッ ト (LSB) は TDI にまずシフ ト されます。

-hextdimask

hextdimaskval

オプシ ョ ン

データが JTAG TAP の TDI ピンにシフ ト される前にマス ク

ワード の hextdimaskval がデータ バッ フ ァーのビ ッ ト に適用

される よ う に指定し ます。

-hextdomask

hextdomaskval

データが JTAG TAP の TDO ピンから シフ ト ア ウ ト された後

にマス ク ワード の hextdomaskval がデータ バッ フ ァーの

ビ ッ ト に適用される よ う に指定し ます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 155UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

命令レジス タに 64 個の 1 をシフ ト イ ン し、 その 64 ビ ッ ト の受信データ をキ ャプチャ し、終了し た

ら Run Test Idle ステー ト にナビゲー ト し ます。

%set hextdobuf [::chipscope::csejtag_tap shift_chain_dr $handle $CSEJTAG_SHIFT_READWRITE $CSEJTAG_RUN_TEST_IDLE progressFunc 64 “FFFFFFFFFFFFFFFF”]

CseJtag Tcl コマン ド の リ ス ト に戻る

156 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseJtag Tcl コマン ド

::chipscope::csejtag_tap shift_device_dr

こ のサブコマン ド は、ビ ッ ト ス ト リ ームを JTAG チェーン内の特定デバイ スのデータ レジス タに対

し てシフ ト イ ンおよびシフ ト ア ウ ト する ために使用し ます。 ターゲ ッ ト 以外のデバイ スがすべて

BYPASS モー ド の場合は、 デバイ ス パデ ィ ングが実行され、 必要な立ち上が り および立ち下が り

ビ ッ ト が追加され、 チェーン内のターゲ ッ ト デバイ スの位置が調整されます。 そのま まのデータ を

チェーンの DR にシフ ト する場合は、 ::chipscope::csejtag_tap shift_chain_dr サブコ マン ド を参照

し て く ださい。

注記 : JTAG ターゲ ッ ト は、 こ のサブコマン ド を呼び出す前に ::chipscope::csejtag_target lock サブ

コ マ ン ド を 使 用 し て ロ ッ ク さ れ て い る 必 要 が あ り ま す。 こ の サ ブ コ マ ン ド は

::chipscope::csejtag_tap shift_device_dr よ り も前に呼び出し てターゲ ッ ト 以外のデバイ ス をすべて

BYPASS モード にし ておかない と、 予測、 意図し ない結果になる可能性があ り ます。

構文

::chipscope::csejtag_tap shift_device_dr handle deviceIndex shiftMode exitState progressCallbackFunc bitCount hextdibuf [-hextdimask hextdimaskval] [-hextdomask hextdomaskval]

引数

表 5-39 : ::chipscope::csejtag_tap shift_device_dr サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ

シ ョ ンへのハン ドル

deviceIndex n-length の JTAG チェーンのデバイ ス イ ンデッ ク ス (0 ~ n-1)

shiftMode CSJTAG_SHIFT_READ | CSJTAG_SHIFT_WRITE |

CSJTAG_SHIFT_READWRITE)

exitState シフ ト が完了し た後の終了ステー ト (ステー ト を変更し ない

場合は CSEJTAG_SHIFT_DR)

progressCallb

ackFuncJTAG ターゲ ッ ト の操作の進捗状況を監視する ために使用で

き るプロ グレ ス コールバッ ク関数です。 こ の関数の形式は、

次のよ う にな り ます。

proc progressCallbackFunc (handle totalCount

CurrentCount progressStatus) ...

プロ グレ ス コールバッ ク関数は $CSE_STOP または

$CSE_CONTINUE を リ ターン し ます。 プロ グレ ス コール

バ ッ ク関数が必要でない場合は、 引数に 0 を使用し ます。

bitCount シフ ト する ビ ッ ト 数

hextdibuf TDI に書き込むデータ ビ ッ ト を保持するデータ バッ フ ァー。

小位ビ ッ ト (LSB) は TDI にまずシフ ト されます。

-hextdimask

hextdimaskval

オプシ ョ ン

データが JTAG TAP の TDI ピンにシフ ト される前にマス ク

ワード の hextdimaskval がデータ バッ フ ァーのビ ッ ト に適

用される よ う に指定し ます。

-hextdomask

hextdomaskval

データが JTAG TAP の TDO ピンから シフ ト ア ウ ト された後

にマス ク ワード の hextdomaskval がデータ バッ フ ァーの

ビ ッ ト に適用される よ う に指定し ます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 157UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

リ ターン

JTAG TAP の TDO ピ ンから シフ ト アウ ト されるデータでフルになったバッ フ ァー。

コマン ド がエラーになる と例外にな り ます。

イ ンデッ ク ス 1 のデバイ スのデータ レジス タに 11 個の 1 をシフ ト イ ン し、 その 11 ビ ッ ト の受信

データ をキ ャプチャ し、 終了し た ら Run Test Idle ステー ト にナビゲー ト し ます。

%set hextdobuf [::chipscope::csejtag_tap shift_device_dr $handle 1 $CSEJTAG_SHIFT_READWRITE $CSEJTAG_RUN_TEST_IDLE progressFunc 11 “7FF“]

CseJtag Tcl コマン ド の リ ス ト に戻る

158 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseJtag Tcl コマン ド

::chipscope::csejtag_db add_device_data

このサブコマン ド は、 フ ァ イルからデバイ ス レ コード を読み出し、 それを CseJtag ラ イブラ リ 内の

メ モ リ ベースのルッ ク ア ッ プ テーブルに追加する ために使用し ます。

注記 : フ ァ イル形式とデバイ ス レ コード の構造は、 idcode.lst フ ァ イル と同じです。

構文

::chipscope::csejtag_db add_device_data handle filename buf bufLen

引数

リ ターン

コマン ド がエラーになる と例外にな り ます。

my_idcode.lst フ ァ イルか ら内部デバ イ ス データベースにデータ を追加し ます。 ま た、 データ レ

コード バッ フ ァー とバッ フ ァー サイ ズを ローカル変数に保存し ます。

%::chipscope::csejtag_db add_device_data $handle “my_idcode.lst” $my_idcode_buf $my_idcode_bufLen

CseJtag Tcl コマン ド の リ ス ト に戻る

表 5-40 : ::chipscope::csejtag_db add_device_data サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ シ ョ

ンへのハン ド ル

filename デバイ ス レ コード が読み出される フ ァ イル名を含む文字列

buf フ ァ イル形式とデバイ ス レ コード の構造は、 idcode.lst フ ァ イ

ルと同じ

bufLen バッ フ ァーのサイ ズ (バイ ト または文字数)

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 159UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

::chipscope::csejtag_db lookup_device

こ のサブコマン ド は、 デバイ スの IDCODE を使用し てデータベースでデバイ ス を検索する ために

使用し ます。

構文

::chipscope::csejtag_db lookup_device handle idcode

引数

リ ターン

フ ォーマ ッ ト の リ ス ト は、 次のよ う にな り ます。

deviceName irlen cmd_bypass

説明 :

deviceName

デバイ ス名を含む文字列

irlen

デバイ スの IR のビ ッ ト 数

cmd_bypass

デバイ スの BYPASS 命令を含む文字列 (通常すべて 1)

コマン ド がエラーになる と例外にな り ます。

IDCODE の 01010101010101010101010101010101 に含まれるデバイ ス情報をデータベースで検

索し ます。

%set deviceInfo [::chipscope::csejtag_db lookup_device $handle ”01010101010101010101010101010101”]

CseJtag Tcl コマン ド の リ ス ト に戻る

表 5-41 : ::chipscope::csejtag_db lookup_device サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン された

セ ッ シ ョ ンへのハン ド ル

idcode 該当デバイ スの IDCODE

160 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseJtag Tcl コマン ド

::chipscope::csejtag_db get_device_name_for_idcode

こ のサブコマン ド は、 デバイ スの IDCODE を使用し てデータベースからデバイ ス名を取得する た

めに使用し ます。

構文

::chipscope::csejtag_db get_device_name_for_idcode handle idcode

引数

リ ターン

デバイ ス名を含む文字列

コマン ド がエラーになる と例外にな り ます。

IDCODE の 01010101010101010101010101010101 に含まれるデバイ ス名をデータベースで検索

し ます。

%set deviceName [::chipscope::csejtag_db get_device_name_for_idcode $handle “01010101010101010101010101010101”]

CseJtag Tcl コマン ド の リ ス ト に戻る

表 5-42 : ::chipscope::csejtag_db get_device_name_for_idcode サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン された

セ ッ シ ョ ンへのハン ド ル

idcode 該当デバイ スの IDCODE

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 161UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

::chipscope::csejtag_db get_irlength_for_idcode

こ のサブコマン ド は、デバイ スの IDCODE を使用し てデータベースからデバイ スの IR 長を取得す

るために使用し ます。

構文

::chipscope::csejtag_db get_irlength_for_idcode handle idcode

引数

リ ターン

IR のサイ ズ (ビ ッ ト ) を含む文字列

コマン ド がエラーになる と例外にな り ます。

IDCODE の 01010101010101010101010101010101 に含まれる IR 長をデータベースで検索し ます。

%set irlen [::chipscope::csejtag_db get_irlength_for_idcode $handle “01010101010101010101010101010101”]

CseJtag Tcl コマン ド の リ ス ト に戻る

表 5-43 : ::chipscope::csejtag_db get_irlength_for_idcode サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン さ

れたセ ッ シ ョ ンへのハン ド ル

idcode 該当デバイ スの IDCODE

162 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseJtag Tcl コマン ド

::chipscope::csejtag_db parse_bsdl

こ のサブコマン ド は、 バウ ンダ リ スキ ャ ン記述言語 (BSDL) バッ フ ァーからデバイ ス情報を抽出す

るために使用し ます。

構文

::chipscope::csejtag_db parse_bsdl handle filename buf bufLen

引数

リ ターン

フ ォーマ ッ ト の リ ス ト は、 次のよ う にな り ます。

deviceName irlen idcode cmd_bypass

説明 :

deviceName

デバイ ス名を含む文字列

irlen

デバイ スの IR のビ ッ ト 数

idcode

デバイ スの IDCODE

cmd_bypass

デバイ スの BYPASS 命令を含む文字列 (通常すべて 1)

コマン ド がエラーになる と例外にな り ます。

bsdl_bufLen サイ ズの bsdl_buf バ ッ フ ァーの device.bsd フ ァ イルからデバイ ス情報を抽出し ます。

%::chipscope::csejtag_db parse_bsdl $handle “device.bsd” $bsdl_buf $bsdl_bufLen

CseJtag Tcl コマン ド の リ ス ト に戻る

表 5-44 : Arguments for Subcommand ::chipscope::csejtag_db parse_bsdl

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン された

セ ッ シ ョ ンへのハン ド ル

filename ローカル BSDL フ ァ イルのフ ァ イル名 (デバッ グの場

合のみ)

buf BSDL フ ァ イル全体の内容を含むバッ フ ァー

bufLen バッ フ ァー buf のサイ ズ (バイ ト または文字数)

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 163UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

::chipscope::csejtag_db parse_bsdl_file

こ のサブコマン ド は、 バウ ンダ リ スキ ャ ン記述言語 (BSDL) フ ァ イルからデバイ ス情報を抽出する

ために使用し ます。

構文

::chipscope::csejtag_db parse_bsdl_file handle filename

引数

リ ターン

フ ォーマ ッ ト の リ ス ト は、 次のよ う にな り ます。

deviceName irlen idcode cmd_bypass

説明 :

deviceName

デバイ ス名を含む文字列

irlen

デバイ スの IR のビ ッ ト 数

idcode

デバイ スの IDCODE

cmd_bypass

デバイ スの BYPASS 命令を含む文字列 (通常すべて 1)

コマン ド がエラーになる と例外にな り ます。

device.bsd フ ァ イルからデバイ ス情報を抽出し ます。

%::chipscope::csejtag_db parse_bsdl_file $handle “device.bsd”

CseJtag Tcl コマン ド の リ ス ト に戻る

表 5-45 : ::chipscope::csejtag_db parse_bsdl_file サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン され

たセ ッ シ ョ ンへのハン ド ル

filename ローカル BSDL フ ァ イルのフ ァ イル名

164 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseFpga コマン ド

CseFpga コ マン ド

こ こ では、 次の CseFpga コマン ド の詳細について説明し ます。

· ::chipscope::csefpga_configure_device

· ::chipscope::csefpga_configure_device_with_file

· ::chipscope::csefpga_get_config_reg

· ::chipscope::csefpga_get_instruction_reg

· ::chipscope::csefpga_get_usercode

· ::chipscope::csefpga_get_user_chain_count

· ::chipscope::csefpga_is_config_supported

· ::chipscope::csefpga_is_configured

· ::chipscope::csefpga_is_sys_mon_supported

· ::chipscope::csefpga_run_sys_mon_command_sequence

· ::chipscope::csefpga_get_sys_mon_reg

· ::chipscope::csefpga_set_sys_mon_reg

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 165UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

::chipscope::csefpga_configure_device

.bit、 .rbt、 または .mcs フ ァ イルの内容を含むバイ ト アレ イで FPGA デバイ ス を コ ンフ ィ ギュ レー

シ ョ ン し ます。

構文

::chipscope::csefpga_configure_device handle deviceIndex format fileData fileDataByteLen progressFunc<optional args>

引数

表 5-46 : ::chipscope::csefpga_configure_device サブ コ マン ドの引数

引数 タ イプ 説明

handle 必須 ::chipscope::csejtag_session create で リ ターン されたセ ッ

シ ョ ンへのハン ド ル

deviceIndex 必須 n-length の JTAG チェーンのデバイ ス イ ンデッ ク ス (0 ~

n-1)

format 必須 コ ンフ ィ ギュ レーシ ョ ン フ ァ イルの形式。 bit、 rbt、 mcs か

ら選択し ます。

fileData 必須 バイ ト アレ イのコ ンフ ィ ギュ レーシ ョ ン フ ァ イルの内容。bit フ ァ イルはバイナ リ モードで読み出す必要があ り ます。 ほか

のフォーマッ ト はバイナ リ モード またはテキス ト モードで

読み出すこ とができ ます。fileData からは Windows/Unix の行

末文字を削除しないよ う にして く ださい。

fileDataByteLen 必須 fileData バイ ト アレ イの長さ (バイ ト )

<optional args> オプシ ョ ン その他のデバイ ス コ ンフ ィ ギュ レーシ ョ ン オプシ ョ ンを

オンにし ます。 コ ンフ ィ ギュ レーシ ョ ン オプシ ョ ンの リ ス

ト は表 5-47 を参照し て く だ さい。

progressFunc 必須 コ ンフ ィ ギュ レーシ ョ ン データ をデバイ スにシフ ト し てい

る間の進捗状況を示す関数。この関数の形式は、次のよ う に

な り ます。

proc progressFunc (handle totalCount

CurrentCount progressStatus) ...

プロ グレ ス コールバッ ク関数は $CSE_STOP または

$CSE_CONTINUE を リ ターン し ます。 プロ グレ ス コール

バッ ク関数が必要でない場合は、 引数に 0 を使用し ます。

166 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseFpga コマン ド

リ ターン

コ ンフ ィ ギュ レーシ ョ ンの結果ステータ ス を含むビ ッ ト フ ィ ール ド が表示されます。 ビ ッ ト フ ィ ー

ル ド では、 次の値の 1 つまたは複数を使用する論理 AND を介すこ と で、 対応する ステータ ス情報

を確認でき ます。

$CSE_INTERNAL_DONE_HIGH_STATUS

$CSE_EXTERNAL_DONE_HIGH_STATUS

$CSE_CRC_ERROR_STATUS

$CSE_BITSTREAM_READ_ENABLED

$CSE_BITSTREAM_WRITE_ENABLED

コマン ド がエラーになる と例外にな り ます。

mydesign.bit フ ァ イルを使用し て JTAG チェーンの 3 つ目のデバイ ス を コ ンフ ィ ギュ レーシ ョ ン し

ます。

%set filename "mydesign.bit"

表 5-47 : コ ン フ ィ ギュ レーシ ョ ン オプシ ョ ン

オプシ ョ ン名 値 説明

reset_device reset_device=true,

reset_device=falseデバイ スがコ ン フ ィ ギ ュ レーシ ョ ン中に リ セ ッ ト されるかど

う かを制御し ます。 デフ ォル ト は reset_device=true です。

shutdown_sequence shutdown_sequence= true,

shutdown_sequence= false

JTAG SHUTDOWN コマン ド を使用し て、デバイ ス をシャ ッ

ト ダウ ン し ます。 Spartan®-3 および Spartan-6 FPGA デバイ

スの場合、このオプシ ョ ンを使用する と、reset_device=true を

設 定 し た の と 同 じ 結 果 に な り ま す。 デ フ ォ ル ト は

shutdown_sequence=false です。

verify_internal_done verify_internal_done

=true,

verify_internal_done

=false

コ ンフ ィ ギ ュ レーシ ョ ン後にデバイ スの JTAG 命令レジス タ

からの内部デバイ スの DONE ステータ ス を読み出し ます。デ

フ ォル ト は verify_internal_done=true です。

verify_external_done verify_external_done

=true,

verify_external_done

=false

コ ンフ ィ ギュ レーシ ョ ン後にコ ンフ ィ ギュ レーシ ョ ン ステー

タ ス レジス タから外部デバイ スの DONE ステータ ス を読み

込みます。 DONE デバイ ス パッ ケージ ピン同士を接続する

と、 DONE ステータ スは接続されたすべてのデバイ スの

DONE ピンの論理 AND の結果にな り ます。 デフ ォル ト は

verify_ external_done=false です。

verify_crc verify_crc=true,

verify_crc=falseコ ンフ ィ ギュ レーシ ョ ン後にコ ンフ ィ ギュ レーシ ョ ン ステー

タ ス レジス タから CRC ステータ ス を読み込みます。デフ ォル

ト は verify_crc=false です。

use_assigned_config_ data

use_assigned_config_

data=true,

use_assigned_config_

data=false

::chipscope::csefpga_assign_config_data_to_device または

::chipscope::csefpga_assign_config_data_file_to_device で提

供される コ ンフ ィ ギュ レーシ ョ ンおよびマス ク データ を使用

し ます。 デフ ォル ト は use_assigned_config_data=false です。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 167UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

%set fp [open $filename r]

%fconfigure $fp -translation binary -blocking 1

%set fileData [read $fp]

%close $fp

%set configStatus [::chipscope::csefpga_configure_device $handle 2 "bit" $CSE_DEFAULT_OPTIONS $fileData [file size $filename] "progressCallBack"]

CseFpga コマン ド の リ ス ト に戻る

168 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseFpga コマン ド

::chipscope::csefpga_configure_device_with_file

.bit、 .rbt、 または .mcs フ ァ イルの内容で FPGA デバイ ス を コ ンフ ィ ギュ レーシ ョ ン し ます。

構文

::chipscope::csefpga_configure_device_with_file handle deviceIndex filename <optional args> progressFunc

引数

リ ターン

コ ンフ ィ ギュ レーシ ョ ンの結果ステータ ス を含むビ ッ ト フ ィ ール ド が表示されます。 ビ ッ ト フ ィ ー

ル ド では、 次の値の 1 つまたは複数を使用する論理 AND を介すこ と で、 対応する ステータ ス情報

を確認でき ます。

$CSE_INTERNAL_DONE_HIGH_STATUS

$CSE_EXTERNAL_DONE_HIGH_STATUS

$CSE_CRC_ERROR_STATUS

$CSE_BITSTREAM_READ_ENABLED

$CSE_BITSTREAM_WRITE_ENABLED

コマン ド がエラーになる と例外にな り ます。

mydesign.bit フ ァ イルを使用し て JTAG チェーンの 3 つ目のデバイ ス を コ ンフ ィ ギュ レーシ ョ ン し

ます。

%set fileName "mydesign.bit"

表 5-48 : ::chipscope::csefpga_configure_device_with_file サブ コマン ドの引数

引数 タ イプ 説明

handle 必須 ::chipscope::csejtag_session create で リ ターン されたセ ッ

シ ョ ンへのハン ドル

deviceIndex 必須 n-length の JTAG チェーンのデバイ ス イ ンデッ ク ス (0 ~

n-1)

filename 必須 bit、 rbt、 または mcs コ ンフ ィ ギュ レーシ ョ ン フ ァ イルの

フ ァ イル名

<optional args> オプシ ョ ン その他のデバイ ス コ ンフ ィ ギュ レーシ ョ ン オプシ ョ ンを

オンにし ます。 コ ンフ ィ ギュ レーシ ョ ン オプシ ョ ンの リ ス

ト は表 5-47 を参照し て く ださ い。

progressFunc 必須 コ ンフ ィ ギュ レーシ ョ ン データ をデバイ スにシフ ト し てい

る間の進捗状況を示す関数。こ の関数の形式は、次のよ う に

な り ます。

proc progressFunc (handle totalCount

CurrentCount progressStatus) ...

プロ グレ ス コールバッ ク関数は $CSE_STOP または

$CSE_CONTINUE を リ ターン し ます。 プロ グレ ス コール

バッ ク関数が必要でない場合は、 引数に 0 を使用し ます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 169UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

%set configStatus [::chipscope::csefpga_configure_device $handle 2 $fileName $CSE_DEFAULT_OPTIONS "progressCallBack"]

CseFpga コマン ド の リ ス ト に戻る

::chipscope::csefpga_get_config_reg

ターゲ ッ ト FPGA デバイ スのコ ンフ ィ ギュ レーシ ョ ン レジス タ ビ ッ ト を読み出し ます。

構文

::chipscope::csefpga_get_config_reg handle deviceIndex bitCount

引数

リ ターン

フ ォーマ ッ ト の リ ス ト は、 次のよ う にな り ます。

hexReg bitNameBuf

説明 :

hexReg

レジス タ値を含む文字列 (16 進数)

bitNameBuf

コ ンフ ィ ギュ レーシ ョ ン レジス タ ビ ッ ト 名を示す文字列のカンマ区切 り の リ ス ト

コマン ド がエラーになる と例外にな り ます。

JTAG チェーンの 3 つ目のデバイ スのコ ン フ ィ ギ ュ レーシ ョ ン レジ ス タの コ ンテンツ を読み出し

ます。

%set ConfigReg [csefpga_get_config_reg $handle 2 $DeviceBitCount]

CseFpga コマン ド の リ ス ト に戻る

表 5-49 : ::chipscope::csefpga_get_config_reg サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ

シ ョ ンへのハン ド ル

deviceIndex n-length の JTAG チェーンのデバイ ス イ ンデッ ク ス (0 ~

n-1)

bitCount コ ンフ ィ ギュ レーシ ョ ン レジス タの長さ (ビ ッ ト )

170 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseFpga コマン ド

::chipscope::csefpga_get_instruction_reg

ターゲ ッ ト FPGA デバイ スの命令レジス タ を読み出し て、コ ンフ ィ ギュ レーシ ョ ン特有のステータ

ス ビ ッ ト をフ ォーマ ッ ト し ます。

構文

::chipscope::csefpga_get_instruction_reg handle deviceIndex bitCount

引数

リ ターン

フ ォーマ ッ ト の リ ス ト は、 次のよ う にな り ます。

hexReg bitNameBuf

説明 :

hexReg

レジス タ値を含む文字列 (16 進数)

bitNameBuf

コ ンフ ィ ギュ レーシ ョ ン レジス タ ビ ッ ト 名を示す文字列のカンマ区切 り の リ ス ト

コマン ド がエラーになる と例外にな り ます。

JTAG チェーンの 3 つ目のデバイ スのコ ン フ ィ ギ ュ レーシ ョ ン レジ ス タの コ ンテンツ を読み出し

ます。

%set InstReg [csefpga_get_instruction_reg $handle 2 $DeviceBitCount]

CseFpga コマン ド の リ ス ト に戻る

表 5-50 : ::chipscope::csefpga_get_instruction_reg サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ

シ ョ ンへのハン ド ル

deviceIndex n-length の JTAG チェーンのデバイ ス イ ンデッ ク ス (0 ~

n-1)

bitCount コ ンフ ィ ギュ レーシ ョ ン レジス タの長さ (ビ ッ ト )

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 171UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

::chipscope::csefpga_get_usercode

ターゲ ッ ト FPGA デバイ スの USERCODE レジス タ を読み出し ます。

構文

::chipscope::csefpga_get_usercode handle deviceIndex

引数

リ ターン

USERCODE レジス タの内容 (16 進数)

コマン ド がエラーになる と例外にな り ます。

JTAG チェーンの 3 つ目のデバイ スの USERCODE レジス タのコ ンテンツを読み出し ます。

%set usercode [csefpga_get_usercode $handle 2]

CseFpga コマン ド の リ ス ト に戻る

表 5-51 : ::chipscope::csefpga_get_usercode サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ

シ ョ ンへのハン ド ル

deviceIndex n-length の JTAG チェーンのデバイ ス イ ンデッ ク ス (0 ~

n-1)

172 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseFpga コマン ド

::chipscope::csefpga_get_user_chain_count

ターゲ ッ ト FPGA デバイ スの USER チェーン レジス タ を決定し ます。

構文

::chipscope::csefpga_get_user_chain_count handle idcode

引数

リ ターン

デバイ スの USER ス キ ャ ン チェーンのレジス タ数 (USER ス キ ャ ン チェーン レジス タがデバイ ス

に含まれない場合は 0)

コマン ド がエラーになる と例外にな り ます。

$idcode で指定されたデバイ スでサポー ト される USER ス キ ャ ン チェーン レジス タの数を取得し

ます。

%set numUserRegs [csefpga_get_user_chain_count $handle $idcode]

CseFpga コマン ド の リ ス ト に戻る

表 5-52 : ::chipscope::csefpga_get_user_chain_count サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ

シ ョ ンへのハン ド ル

idcode 該当デバイ スの IDCODE

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 173UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

::chipscope::csefpga_is_config_supported

ターゲ ッ ト FPGA デバイ スでコ ンフ ィ ギュ レーシ ョ ンがサポー ト されるかど う かテス ト し ます。

構文

::chipscope::csefpga_is_config_supported handle idcode

引数

リ ターン

idcodeで指定されたデバイ スのコ ンフ ィ ギュ レーシ ョ ンが csefpga_configure_device コマン ド で

サポー ト される場合は 1、 されない場合は 0。

コマン ド がエラーになる と例外にな り ます。

$idcode で指定されたデバイ スがコ ンフ ィ ギュ レーシ ョ ン可能かど う かを決定し ます。

%set isConfigurable [csefpga_is_config_supported $handle $idcode]

CseFpga コマン ド の リ ス ト に戻る

表 5-53 : ::chipscope::csefpga_is_config_supported サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ

シ ョ ンへのハン ド ル

idcode 該当デバイ スの IDCODE

174 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseFpga コマン ド

::chipscope::csefpga_is_configured

FPGA デバイ スのコ ンフ ィ ギュ レーシ ョ ン ステータ ス を リ ターン し ます。

構文

::chipscope::csefpga_is_configured handle deviceIndex

引数

リ ターン

deviceIndex で指定されるデバイ スがコ ンフ ィ ギュ レーシ ョ ン される場合は 1、 されない場合は 0。

コマン ド がエラーになる と例外にな り ます。

JTAG チェーン内の 3 つ目のデバイ スのコ ンフ ィ ギュ レーシ ョ ン ステータ ス を取得し ます。

%set isConfigured [csefpga_is_configured $handle 2]

CseFpga コマン ド の リ ス ト に戻る

表 5-54 : ::chipscope::csefpga_is_configured サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ

シ ョ ンへのハン ド ル

deviceIndex n-length の JTAG チェーンのデバイ ス イ ンデッ ク ス (0 ~

n-1)

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 175UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

::chipscope::csefpga_is_sys_mon_supported

ターゲ ッ ト FPGA デバ イ ス でシ ス テム モニ ターの コ マン ド がサポー ト される かど う かテ ス ト し

ます。

構文

::chipscope::csefpga_is_sys_mon_supported handle idcode

引数

リ ターン

idcode で指定されるデバイ スにシステム モニター ブロ ッ クが含まれる場合は 1、 されない場合は 0。

コマン ド がエラーになる と例外にな り ます。

$idcode で指定されたデバイ スにシステム モニ ター ブロ ッ クが含まれているかを決定し ます。

%set hasSysMon [csefpga_is_sys_mon_supported $handle $idcode]

CseFpga コマン ド の リ ス ト に戻る

表 5-55 : ::chipscope::csefpga_is_sys_mon_supported サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ

シ ョ ンへのハン ド ル

idcode 該当デバイ スの IDCODE

176 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseFpga コマン ド

::chipscope::csefpga_run_sys_mon_command_sequence

システム モニ ターのレジス タの読み出し と書き込みのシーケンス を実行し ます。

構文

::chipscope::csefpga_run_sys_mon_command_sequence handle deviceIndex [list hexAddresses...][list hexInData...][list setModes...] commandCount

引数

リ ターン

それぞれが レ ジ ス タ の読み出 し 値 を表す commandCount エ レ メ ン ト を 含む リ ス ト 。 対応す る

setModes エレ メ ン ト が 0 であれば、 データ エレ メ ン ト は有効です。

コマン ド がエラーになる と例外にな り ます。

JTAG チ ェーンの 2 つ目のデバ イ ス で、 シ ス テム モニ ターの DRP レ ジ ス タ のア ド レ ス 0x10 に

0x55AA を書き込み、 DRP レジス タのア ド レ ス 0x11 から読み出し ます。

%set hexOutData [csefpga_run_sys_mon_command_sequence $handle 1 [list 10 11] [list 55AA 0000] [list 1 0] 2]

CseFpga コマン ド の リ ス ト に戻る

表 5-56 : ::chipscope::csefpga_run_sys_mon_command_sequence サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ

シ ョ ンへのハン ドル

deviceIndex n-length の JTAG チェーンのデバイ ス イ ンデッ ク ス (0 ~

n-1)

[list

hexAddresses...]ア ク セスする システム モニ ターの DRP レジス タ ア ド レ ス

の リ ス ト 。 こ の リ ス ト のエレ メ ン ト 数は commandCount で

検出されます。

[list

hexInData...]

hexAddresses の リ ス ト で指定される システム モニ ターの

DRP レジス タに記述されるデータの リ ス ト 。hexInDat エレ

メ ン ト は対応する setModes エレ メ ン ト が 0 以外の場合に

の み 書 き 込 ま れ ま す。 こ の リ ス ト の エ レ メ ン ト 数 は

commandCount で検出されます。

[list

setModes...]

setMode フ ラ グの リ ス ト 。0 の場合はレジス タから の読み出

しデータ、0 以外の場合は書き込みデータ を示し ます。 こ の

リ ス ト のエレ メ ン ト 数は commandCount で検出されます。

commandCount コマン ド の数 (および各 リ ス ト 引数のエレ メ ン ト 数)

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 177UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

::chipscope::csefpga_get_sys_mon_reg

システム モニ ターのレジス タから読み出し ます。

構文

::chipscope::csefpga_get_sys_mon_reg handle deviceIndex hexAddress

引数

リ ターン

システム モニ ターの DRP レジス タのア ド レ ス hexAddress から読み出し たデータ値 (16 進数)。

コマン ド がエラーになる と例外にな り ます。

JTAG チェーンの 2 つ目のデバイ スで System Monitor レジス タのア ド レ ス 0x07 からデータ を読み

出し ます。

%set hexOutData [csefpga_get_sys_mon_reg $handle 1 7]

CseFpga コマン ド の リ ス ト に戻る

表 5-57 : ::chipscope::csefpga_get_sys_mon_reg サブ コ マン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ

シ ョ ンへのハン ド ル

deviceIndex n-length の JTAG チェーンのデバイ ス イ ンデッ ク ス (0 ~

n-1)

hexAddress 読み出すシステム モニ ターの DRP レジス タのア ド レ ス

178 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseFpga コマン ド

::chipscope::csefpga_set_sys_mon_reg

システム モニ ターのレジス タに書き込みます。

構文

::chipscope::csefpga_set_sys_mon_reg handle deviceIndex hexAddress hexInData

引数

リ ターン

コマン ド がエラーになる と例外にな り ます。

JTAG チェーンの 2 つ目のデバイ スでシス テム モニ ターのレジス タのア ド レ ス 0x09 に 0xABCD を書き込みます。

%csefpga_set_sys_mon_reg $handle 1 9 abcd

CseFpga コマン ド の リ ス ト に戻る

表 5-58 : ::chipscope::csefpga_set_sys_mon_reg サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ

シ ョ ンへのハン ド ル

deviceIndex n-length の JTAG チェーンのデバイ ス イ ンデッ ク ス (0 ~

n-1)

hexAddress 書き込むシステム モニ ターの DRP レジス タのア ド レ ス

(16 進数)

hexInData システム モニ ターの DRP レジス タに書き込むデータ値

(16 進数)

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 179UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

CseCore コマン ド

こ こ では、 次の CseFpga コマン ド の詳細について説明し ます。

· ::chipscope::csecore_get_core_count

· ::chipscope::csecore_get_core_status

· ::chipscope::csecore_is_cores_supported

::chipscope::csecore_get_core_count

ターゲ ッ ト FPGA デバイ スの ICON コ ア と特定の USER ス キ ャ ン チェーン レジス タに接続され

た コ アの数を取得し ます。

構文

::chipscope::csecore_get_core_count handle deviceIndex userRegNumber

引数

リ ターン

コ アの番号。

コマン ド がエラーになる と例外にな り ます。

JTAG チェーンの 3 つ目のデバイ スの USER3 レジス タの ICON コ アのコ ア番号を取得し ます。

%set coreCount [csecore_get_core_count $handle 2 3]

CseCore コマン ド の リ ス ト に戻る

表 5-59 : ::chipscope::csecore_get_core_count サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ

シ ョ ンへのハン ド ル

deviceIndex n-length の JTAG チェーンのデバイ ス イ ンデッ ク ス (0 ~

n-1)

userRegNumber BSCAN ブロ ッ ク の USER レジス タ番号 (1 から開始)

180 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseCore コマン ド

::chipscope::csecore_get_core_status

ターゲ ッ ト ChipScope Pro コ アから ス タテ ィ ッ ク ステータ ス ワード を読み出し ます。

構文

::chipscope::csecore_get_core_status handle [list deviceIndex userRegNumber coreIndex] bitCount

引数

リ ターン

ネス ト された リ ス ト 。 外部 リ ス ト には、 内部 リ ス ト と コ ア ス テータ ス を示す文字列 (16 進数) の 2 つのエレ メ ン ト が含まれ、 内部 リ ス ト には、 次のエレ メ ン ト が含まれます。

注記 : コマン ド がエラーになる と例外にな り ます。

2 つ目の USER レジス タの 4 つ目のデバイ スの中の ICON コ アに接続される 初のコ アのス テー

タ スを取得し ます。

%set coreRef [list 3 2 0]

%set coreStatus [csecore_get_core_status $handle $coreRef]

CseCore コマン ド の リ ス ト に戻る

表 5-60 : ::chipscope::csecore_get_core_status サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ

シ ョ ンへのハン ド ル

[list

deviceIndex

userRegNumber

coreIndex]

次の 3 つのエレ メ ン ト を含む リ ス ト :

· n-length の JTAG チェーンのデバイ ス イ ンデッ ク ス (0 ~ n-1)

· BSCAN ブロ ッ ク の USER レジス タ番号 (1 から開始)

· コ ア ユニ ッ ト のイ ンデッ ク ス。 ICON に接続される

初のコ ア ユニ ッ ト のイ ンデッ ク スは 0 です。

bitCount ステータ ス ワード の長さ (ビ ッ ト 数)

エレ メ ン ト 説明

manufacturerId Manufacturer ID (整数)

coreType コ ア タ イプ (整数)

coreMajorVersion コ アの メ ジ ャー バージ ョ ン (整数)

coreMinorVersion コ アのマイナー バージ ョ ン (整数)

coreRevision コ アの リ ビジ ョ ン (整数)

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 181UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

::chipscope::csecore_is_cores_supported

ターゲ ッ ト FPGA デバイ スで ChipScope Pro コ アがサポー ト されるかど う かテス ト し ます。

構文

::chipscope::csecore_is_cores_supported handle idcode

引数

リ ターン

idcode で指定されるデバイ スで ChipScope Pro コ アがサポー ト される場合は 1、されない場合は 0。

コマン ド がエラーになる と例外にな り ます。

$idcode で指定されたデバイ スで ChipScope Pro コ アがサポー ト されるかど う かを決定し ます。

%set supportsCores [csecore_is_cores_supported $handle $idcode]

CseCore コマン ド の リ ス ト に戻る

表 5-61 : ::chipscope::csecore_is_cores_supported サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ

シ ョ ンへのハン ド ル

idcode 該当デバイ スの IDCODE

182 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseVIO コマン ド

CseVIO コマン ド

こ こ では、 次の CseFpga コマン ド の詳細について説明し ます。

· ::chipscope::csevio_get_core_info

· ::chipscope::csevio_is_vio_core

· ::chipscope::csevio_init_core

· ::chipscope::csevio_terminate_core

· ::chipscope::csevio_define_signal

· ::chipscope::csevio_define_bus

· ::chipscope::csevio_undefine_name

· ::chipscope::csevio_write_values

· ::chipscope::csevio_read_values

::chipscope::csevio_get_core_info

ターゲ ッ ト VIO コ アから ス タテ ィ ッ ク ステータ ス ワード を読み出し ます。

構文

::chipscope::csevio_get_core_info handle [list deviceIndex userRegNumber coreIndex] coreInfoTclArray

引数

表 5-62 : ::chipscope::csevio_get_core_info サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ

シ ョ ンへのハン ド ル

[list deviceIndex

userRegNumber

coreIndex]

次の 3 つのエレ メ ン ト を含む リ ス ト :

· n-length の JTAG チェーンのデバイ ス イ ンデッ ク ス (0 ~ n-1)

· BSCAN ブロ ッ クの USER レジス タ番号 (1 から開始)

· コ ア ユニ ッ ト のイ ンデッ ク ス。 ICON に接続される

初のコ ア ユニ ッ ト のイ ンデッ ク スは 0 です。

coreInfoTclArray Tcl アレ イ名。 こ のコマン ド が問題な く 実行される と、アレ

イに次の「 リ ターン」セ ク シ ョ ンに記述される情報が含まれ

ます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 183UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

リ ターン

次のエレ メ ン ト を含み、 coreInfoTclArray 引数から コ ア情報を リ ターン し ます。

注記 : コマン ド がエラーになる と例外にな り ます。

2 つ目の USER レジス タの 4 つ目のデバイ スの中の ICON コ アの 初の制御ポー ト に接続される

VIO コ アのコ ア情報を取得し ます。 VIO コ アの非同期入力信号の数を表記し ます。

%set coreRef [list 3 2 0]

%csevio_get_core_info $handle $coreRef coreInfoTclArray

%puts stdout ・ coreInfoTclArray($CSEVIO_ASYNC_INPUT_COUNT)

CseVIO コマン ド の リ ス ト に戻る

エレ メ ン ト 説明

manufacturerId Manufacturer ID (整数)

$CSEVIO_MANUFACTURER_ID 製造元の ID。 ザイ リ ン ク ス社の場合は 1

$CSEVIO_CORE_TYPE コ ア タ イプ フ ィ ール ド。 各 ChipScope コ アで異

な り 、 VIO の場合は 9

$CSEVIO_CORE_MAJOR_VERSION メ ジ ャー リ リ ース バージ ョ ン

$CSEVIO_CORE_MINOR_VERSION マイナー リ リ ース バージ ョ ン

$CSEVIO_CORE_REVISION リ ビジ ョ ン

$CSEVIO_CG_MAJOR_VERSION CoreGen 用フ ィ ール ド (10.1 以降で生成された

コ アの場合)

$CSEVIO_CG_MINOR_VERSION CoreGen 用フ ィ ール ド (10.1 以降で生成された

コ アの場合)

$CSEVIO_CG_MINOR_VERSION_ALPHA

CoreGen 用フ ィ ール ド (10.1 以降で生成された

コ アの場合)

$CSEVIO_ASYNC_INPUT_COUNT 使用される非同期入力信号の数

$CSEVIO_SYNC_INPUT_COUNT 使用される同期入力信号の数

$CSEVIO_ASYNC_OUTPUT_COUNT 使用される非同期出力信号の数

$CSEVIO_SYNC_OUTPUT_COUNT 使用される同期出力信号の数

184 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseVIO コマン ド

::chipscope::csevio_is_vio_core

ターゲ ッ ト コ アが VIO コ アかど う か判別し ます。

構文

::chipscope::csevio_is_vio_core handle [list deviceIndex userRegNumber coreIndex]

引数

リ ターン

コ アが VIO コ アの場合は 1、 それ以外の場合は 0。

コマン ド がエラーになる と例外にな り ます。

2 つ目の USER レジス タの 4 つ目のデバイ スの中の ICON コ アに接続される 初のコ アが VIO コ

アかど う かを決定し ます。

%set coreRef [list 3 2 0]

%set isVIO [csevio_is_vio_core $handle $coreRef]

CseVIO コマン ド の リ ス ト に戻る

表 5-63 : ::chipscope::csevio_is_vio_core サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ

シ ョ ンへのハン ド ル

[list

deviceIndex

userRegNumber

coreIndex]

次の 3 つのエレ メ ン ト を含む リ ス ト :

· n-length の JTAG チェーンのデバイ ス イ ンデッ ク ス (0 ~ n-1)

· BSCAN ブロ ッ ク の USER レジス タ番号 (1 から開始)

· コ ア ユニ ッ ト のイ ンデッ ク ス。 ICON に接続される

初のコ ア ユニ ッ ト のイ ンデッ ク スは 0 です。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 185UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

::chipscope::csevio_init_core

ターゲ ッ ト VIO コ アに関連する グ ローバル変数を初期化し ます。

構文

::chipscope::csevio_init_core handle [list deviceIndex userRegNumber coreIndex]

引数

リ ターン

コマン ド がエラーになる と例外にな り ます。

2 つ目の USER レジス タの 4 つ目のデバイ スの中の ICON コ アに接続された VIO コ アを初期化し

ます。

%set coreRef [list 3 2 0]

%csevio_init_core $handle $coreRef

CseVIO コマン ド の リ ス ト に戻る

表 5-64 : ::chipscope::csevio_init_core サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ

シ ョ ンへのハン ド ル

[list

deviceIndex

userRegNumber

coreIndex]

次の 3 つのエレ メ ン ト を含む リ ス ト :

· n-length の JTAG チェーンのデバイ ス イ ンデッ ク ス (0 ~ n-1)

· BSCAN ブロ ッ ク の USER レジス タ番号 (1 から開始)

· コ ア ユニ ッ ト のイ ンデッ ク ス。 ICON に接続される

初のコ ア ユニ ッ ト のイ ンデッ ク スは 0 です。

186 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseVIO コマン ド

::chipscope::csevio_terminate_core

ターゲ ッ ト VIO コ アに関連する グ ローバル変数を削除し て メ モ リ を解放し ます。

構文

::chipscope::csevio_terminate_core handle [list deviceIndex userRegNumber coreIndex]

引数

リ ターン

コマン ド がエラーになる と例外にな り ます。

2 つ目の USER レジス タの 4 つ目のデバイ スの中の ICON コアに接続された VIO コアを終了し ます。

%set coreRef [list 3 2 0]

%csevio_terminate_core $handle $coreRef

CseVIO コマン ド の リ ス ト に戻る

表 5-65 : ::chipscope::csevio_terminate_core サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ

シ ョ ンへのハン ド ル

[list

deviceIndex

userRegNumber

coreIndex]

次の 3 つのエレ メ ン ト を含む リ ス ト :

· n-length の JTAG チェーンのデバイ ス イ ンデッ ク ス (0 ~ n-1)

· BSCAN ブロ ッ ク の USER レジス タ番号 (1 から開始)

· コ ア ユニ ッ ト のイ ンデッ ク ス。 ICON に接続される

初のコ ア ユニ ッ ト のイ ンデッ ク スは 0 です。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 187UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

::chipscope::csevio_define_signal

指定し た VIO 信号ビ ッ ト の名前を定義し ます。 csevio_init_coreが 初に呼び出される必要があ り

ます。

構文

::chipscope::csevio_define_signal handle [list deviceIndex userRegNumber coreIndex] name flags bitIndex

引数

リ ターン

コマン ド がエラーになる と例外にな り ます。

2 つ目の USER レ ジ ス タ の 4 つ目のデバ イ ス の中の ICON コ ア に接続 さ れた VIO コ ア で、

ASYNC_INPUT ポー ト のビ ッ ト 0 に割 り 当て られた status_bit と い う 信号を定義し ます。

%set coreRef [list 3 2 0]

%set csevio_define_signal $handle $coreRef “status_bit”

$CSEVIO_ASYNC_INPUT 0

CseVIO コマン ド の リ ス ト に戻る

表 5-66 : ::chipscope::csevio_define_signal サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ

シ ョ ンへのハン ド ル

[list

deviceIndex

userRegNumber

coreIndex]

次の 3 つのエレ メ ン ト を含む リ ス ト :

· n-length の JTAG チェーンのデバイ ス イ ンデッ ク ス (0 ~ n-1)

· BSCAN ブロ ッ ク の USER レジス タ番号 (1 から開始)

· コ ア ユニ ッ ト のイ ンデッ ク ス。 ICON に接続される

初のコ ア ユニ ッ ト のイ ンデッ ク スは 0 です。

name 信号に指定する名前。 すべての入力信号名はほかの入力信

号 と 異なっている必要があ り 、 出力信号名もすべてほかの

出力信号と異なっている必要があ り ます。

flags 信号のポー ト タ イプを決定するために使用される フ ラ グ。

フ ラ グ値は次のいずれかにな り ます。

· $CSEVIO_SYNC_OUTPUT

· $CSEVIO_SYNC_INPUT

· $CSEVIO_ASYNC_OUTPUT

· $CSEVIO_ASYNC_INPUT

bitIndex ポー ト へのビ ッ ト イ ンデッ ク ス。 どのポー ト 信号を name に割 り 当てるか決定する ために使用し ます。

188 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseVIO コマン ド

::chipscope::csevio_define_bus

VIO 信号ビ ッ ト のグループ (バス) 名を定義し ます。 csevio_init_coreが 初に呼び出される必要が

あ り ます。

構文

::chipscope::csevio_define_bus handle [list deviceIndex userRegNumber coreIndex] name flags bitIndexArray arrayLen

引数

リ ターン

コマン ド がエラーになる と例外にな り ます。

2 つ目の USER レ ジ ス タ の 4 つ目のデバ イ ス の中の ICON コ ア に接続 さ れた VIO コ ア で、

SYNC_OUTPUT ポー ト のビ ッ ト 3:0 に割 り 当て られた control_bus と い う バス を定義し ます。

%set coreRef [list 3 2 0]

%set csevio_define_bus $handle $coreRef “control_bus”

$CSEVIO_SYNC_OUTPUT [list 0 1 2 3]

CseVIO コマン ド の リ ス ト に戻る

表 5-67 : ::chipscope::csevio_define_bus サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ

シ ョ ンへのハン ド ル

[list

deviceIndex

userRegNumber

coreIndex]

次の 3 つのエレ メ ン ト を含む リ ス ト :

· n-length の JTAG チェーンのデバイ ス イ ンデッ ク ス (0 ~ n-1)

· BSCAN ブロ ッ ク の USER レジス タ番号 (1 から開始)

· コ ア ユニ ッ ト のイ ンデッ ク ス。 ICON に接続される

初のコ ア ユニ ッ ト のイ ンデッ ク スは 0 です。

name バスに指定する名前。 すべての入力バス名はほかの入力バ

ス と 異なっている必要があ り 、 出力バス名もすべてほかの

出力バス と異なっている必要があ り ます。

flags バスのポー ト タ イプを決定するために使用される フ ラ グ。

フ ラ グ値は次のいずれかにな り ます。

· $CSEVIO_SYNC_OUTPUT

· $CSEVIO_SYNC_INPUT

· $CSEVIO_ASYNC_OUTPUT

· $CSEVIO_ASYNC_INPUT

[list

bitIndicies...]バスの信号のビ ッ ト イ ンデッ ク ス を含む リ ス ト 。 リ ス ト の

一番左のエレ メ ン ト が LSB です。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 189UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

::chipscope::csevio_undefine_name

VIO 信号/バス名と関連するすべての情報を削除し ます。

構文

::chipscope::csevio_undefine_name handle [list deviceIndex userRegNumber coreIndex] name flags

引数

リ ターン

コマン ド がエラーになる と例外にな り ます。

2 つ目の USER レ ジ ス タ の 4 つ目のデバ イ ス の中の ICON コ ア に接続 さ れた VIO コ ア で、

SYNC_OUTPUT ポー ト のビ ッ ト に割 り 当て られた control_bus と い う バスの定義を解除し ます。

%set coreRef [list 3 2 0]

%set csevio_undefine_name $handle $coreRef “control_bus”

$CSEVIO_SYNC_OUTPUT

CseVIO コマン ド の リ ス ト に戻る

表 5-68 : ::chipscope::csevio_undefine_name サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ

シ ョ ンへのハン ド ル

[list

deviceIndex

userRegNumber

coreIndex]

次の 3 つのエレ メ ン ト を含む リ ス ト :

· n-length の JTAG チェーンのデバイ ス イ ンデッ ク ス (0 ~ n-1)

· BSCAN ブロ ッ ク の USER レジス タ番号 (1 から開始)

· コ ア ユニ ッ ト のイ ンデッ ク ス。 ICON に接続される

初のコ ア ユニ ッ ト のイ ンデッ ク スは 0 です。

name 削除する信号またはバスの名前

flags 信号またはバスのポー ト タ イプを決定する ために使用され

る フ ラ グ。 フ ラ グ値は次のいずれかにな り ます。

· $CSEVIO_SYNC_OUTPUT

· $CSEVIO_SYNC_INPUT

· $CSEVIO_ASYNC_OUTPUT

· $CSEVIO_ASYNC_INPUT

190 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CseVIO コマン ド

::chipscope::csevio_write_values

ターゲ ッ ト VIO コ アの指定し た信号/バスに値を書き込みます。

構文

::chipscope::csevio_write_values handle [list deviceIndex userRegNumber coreIndex] outputTclArray

引数

リ ターン

コマン ド がエラーになる と例外にな り ます。

例では、 次が前提と されています。

· VIO コ アには既に coreRef が設定済みです。

· reset と い う 信号が SYNC_OUTPUT ポー ト のビ ッ ト と し て定義されています。

· instruction と い う バスが ASYNC_OUTPUT ポー ト の 8 ビ ッ ト バス と し て定義されています。

1. reset 信号を 0 に、 instruction バス をフ リ ッ プフ ロ ッ プ (FF) に設定し ます。

%set outputTclArray(reset) 0

%set outputTclArray(instruction) FF

%csevio_write_values $handle $coreRef outputTclArray

2. 0 の後に 1 つの ク ロ ッ ク サイ クル パルスの 1 を reset 信号に送信し ます。

%set outputTclArray(reset.pulsetrain) 00000000000000000000000000000001

%csevio_write_values $handle $coreRef outputTclArray

CseVIO コマン ド の リ ス ト に戻る

表 5-69 : ::chipscope::csevio_write_values サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ シ ョ ン

へのハン ドル

[list

deviceIndex

userRegNumber

coreIndex]

次の 3 つのエレ メ ン ト を含む リ ス ト :

· n-length の JTAG チェーンのデバイ ス イ ンデッ ク ス (0 ~ n-1)

· BSCAN ブロ ッ ク の USER レジス タ番号 (1 から開始)

· コ ア ユニ ッ ト のイ ンデッ ク ス。 ICON に接続される 初のコ

ア ユニ ッ ト のイ ンデッ ク スは 0 です。

outputTclArray Tcl アレ イの名前。 アレ イへのイ ンデッ ク スは csevio_define_ signal で定義された出力信号の名前、 または csevio_define_bus で

定義されたバスの名前です。SYNC_OUTPUT ポー ト の信号の名前

には .pulsetrain を末尾に付けられるほか、 文字列の 16 進数値が指

定でき ます (LSB は一番右の文字)。パルス列を使用するには、 初

の値が右側へ送信され、16 の値が渡される必要があ り ます。値はそ

れぞれバイ ト アラ イ メ ン ト される必要があ り ます。つま り 、1 つの

信号は値ご とに 2 つの 16 進数文字を必要と し ます。値が 8 ビ ッ ト

を超える場合は、値ご とに 2 つ文字を追加する必要があ り ます。ア

レ イ を再利用する ためにこのコマン ド を呼び出し た後は、 アレ イ

の各エレ メ ン ト の設定を手動で解除する必要があ り ます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 191UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

::chipscope::csevio_read_values

ターゲ ッ ト VIO コ アの指定し た信号/バスから値を読み出し ます。

構文

::chipscope::csevio_read_values handle [list deviceIndex userRegNumber coreIndex] inputTclArray

引数

リ ターン

コマン ド がエラーになる と例外にな り ます。

例では、 次が前提と されています。

· VIO コ アには既に coreRef が設定済みです。

· status と い う 信号が SYNC_INPUT ポー ト のビ ッ ト と し て定義されています。

· data_bus と い う バスが ASYNC_INPUT ポー ト の 8 ビ ッ ト バス と し て定義されています。

1. status および data_bus の値を取得し て stdout に表示し ます。

%csevio_read_values $handle $coreRef inputTclArray% puts stdout “status = $inputTclArray(status.value)”% puts stdout “data_bus = $inputTclArray(data_bus)”

表 5-70 : ::chipscope::csevio_read_values サブ コマン ドの引数

引数 タ イプ 説明

handle

必須

::chipscope::csejtag_session create で リ ターン されたセ ッ シ ョ

ンへのハン ドル

[list

deviceIndex

userRegNumber

coreIndex]

次の 3 つのエレ メ ン ト を含む リ ス ト :

· n-length の JTAG チェーンのデバイ ス イ ンデッ ク ス (0 ~ n-1)

· BSCAN ブロ ッ ク の USER レジス タ番号 (1 から開始)

· コ ア ユニ ッ ト のイ ンデッ ク ス。 ICON に接続される 初の

コ ア ユニ ッ ト のイ ンデッ ク スは 0 です。

inputTclArray Tcl アレ イの名前。 アレ イへのイ ンデッ ク スは csevio_define_ signal で定義された入力信号の名前、 または csevio_define_ bus で定義された入力バスの名前です。入力信号またはバスの

さ まざまなステー ト を指定するには、次のよ う な接尾語を使用

し ます。

· .value は信号/バスの値を指定し ます (接尾語な し と同じ)。

· .activity_up は非同期の Low から High の動作を指定し ます。

· .activity_down は非同期の High から Low の動作を指定し

ます。

· .sync_activity_up は同期の Low から High の動作を指定し

ます (SYNC_INPUT 信号/バスの場合にのみ有効)。

· .sync_activity_down は同期の High から Low の動作を指

定し ます (SYNC_INPUT 信号/バスの場合にのみ有効)。

192 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

CSE/Tcl の例

2. status のさ まざまなステー ト を取得し て stdout に表示し ます。

%csevio_read_values $handle $coreRef inputTclArray% puts stdout “up = $inputTclArray(status.activity_up)”% puts stdout “dn = $inputTclArray(status.activity_down)”% puts stdout “sup = $inputTclArray(status.sync_activity_up)”% puts stdout “sdn = $inputTclArray(status.sync_activity_down)”

CseVIO コマン ド の リ ス ト に戻る

CSE/Tcl の例

ChipScope Pro を イ ン ス ト ールする と、 CseJtag Tcl イ ン ターフ ェ イ ス を使用する Tcl ス ク リ プ ト の

例が含まれます。こ の例では、ザイ リ ン ク ス パラ レル ケーブルまたはザイ リ ン ク ス プラ ッ ト フ ォー

ム USB ケーブルを開いて JTAC チェーンを ス キ ャ ン し、 チェーンで検出されたデバイ スに関する

情報を リ ターン し ます。 こ の例のス ク リ プ ト は、 次のデ ィ レ ク ト リ に含まれます。

<XILINX_ISE_INSTALL>\cse\tcl\csejtag_example1.tcl

こ のス ク リ プ ト は、 ザイ リ ン ク ス ISE Design Suite ソ フ ト ウ ェアに含まれる Tcl シェル (xtclsh) ま

たは ActiveState Software 社の ActiveTcl 8.4 Tcl シ ェル (tclsh) で実行でき ます ([214 ページの リ

フ ァ レ ン ス 24 を参照])。 コマン ド ラ イ ン シェルで Tcl 例を実行するには、csejtag_example1.tcl の

あ るデ ィ レ ク ト リ (上記参照) に変更し、 OS 別の次の手順に従って く ださ い。

· 32 ビ ッ ト Windows の場合 :

• ザイ リ ン ク ス パラ レル ケーブルを使用するには、 次を入力し ます。

<XILINX_ISE_INSTALL>\bin\nt\xtclsh csejtag_example1.tcl -par

• ザイ リ ン ク ス プラ ッ ト フ ォーム ケーブル USB を使用するには、 次を入力し ます。

<XILINX_ISE_INSTALL>\bin\nt\xtclsh csejtag_example1.tcl -usb

· 64 ビ ッ ト Windows の場合 :

• ザイ リ ン ク ス パラ レル ケーブルを使用するには、 次を入力し ます。

<XILINX_ISE_INSTALL>\bin\nt64\xtclsh csejtag_example1.tcl -par

• ザイ リ ン ク ス プラ ッ ト フ ォーム ケーブル USB を使用するには、 次を入力し ます。

<XILINX_ISE_INSTALL>\bin\nt64\xtclsh csejtag_example1.tcl -usb

· 32 ビ ッ ト Linux の場合 :

• ザイ リ ン ク ス パラ レル ケーブルを使用するには、 次を入力し ます。

<XILINX_ISE_INSTALL>/bin/lin/xtclsh csejtag_example1.tcl -par

• ザイ リ ン ク ス プラ ッ ト フ ォーム ケーブル USB を使用するには、 次を入力し ます。

<XILINX_ISE_INSTALL>/bin/lin/xtclsh csejtag_example1.tcl -usb

· 64 ビ ッ ト Linux の場合 :

• ザイ リ ン ク ス パラ レル ケーブルを使用するには、 次を入力し ます。

<XILINX_ISE_INSTALL>/bin/lin64/xtclsh csejtag_example1.tcl -par

• ザイ リ ン ク ス プラ ッ ト フ ォーム ケーブル USB を使用するには、 次を入力し ます。

<XILINX_ISE_INSTALL>/bin/lin64/xtclsh csejtag_example1.tcl -usb

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 193UG029 (v13.4) 2012 年 1 月 18 日

第 5 章 : ChipScope エンジン Tcl イン ターフ ェ イス

その他の Tcl ス ク リ プ ト 例 (csevio_example1.tcl と い う CSE VIO の Tcl ス ク リ プ ト 例な ど) は、

csejtag_example1.tcl と 同じデ ィ レ ク ト リ にあ り ます。 これら のス ク リ プ ト は、 その他の CSE/Tcl 関数呼び出しの例です。

194 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

付録 A

ChipScope Pro ツール ト ラ ブルシューテ ィ ング ガイ ド

概要

こ の付録では、 ChipScope™ Pro ツールのイ ンス ト ールおよび ISE® ソ フ ト ウ ェ ア と の統合に関す

る ト ラブルシュー ト 方法を説明し ます。 ChipScope Pro ツールを使用する際によ く 発生するエラー

や問題について説明するほか、ChipScope Pro およびザイ リ ン ク ス JTAG ベースのプロ グ ラ ム ケー

ブル イ ンス ト ールの ト ラ ブルシュー ト 方法について も説明し ます。 各問題には、 それぞれ次のよ う

なセ ク シ ョ ンが含まれます。

• 問題 : こ のセ ク シ ョ ンには、 問題がエラーおよび警告 メ ッ セージで表示される問題と同じ もの

かど う かを確認する方法が リ ス ト されます。

• 回避策 : こ のセ ク シ ョ ンには、 問題の解決方法が リ ス ト されます。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 195UG029 (v13.4) 2012 年 1 月 18 日

付録 A : ChipScope Pro ツール ト ラブルシューテ ィ ング ガイ ド

ChipScope Pro ツールのイ ンス ト ールに関する ト ラ ブルシューテ ィ ング

表 A-1 は、ChipScope Pro ツールのイ ン ス ト ールでよ く 発生するエラー メ ッ セージや問題について

ま と めた ものです。

表 A-1 : ChipScope Pro ツールのイ ンス ト ールに関する ト ラブルシューテ ィ ング

問題 回避策

Windows または Linux のいずれの場合でも、 ISE ツールで filename.cdc フ ァ イルをダブルク リ ッ ク

し た と きに ChipScope Pro Core Inserter が起動され

ず、 次のエラー メ ッ セージがコ ン ソールに表示さ

れます。

ERROR: Unable to find the Chipscope Exe at NotHere/inserterlauncher.exe

1. 次の 3 つのパラ メーターが正し く 設定されている こ と を確認する こ

とで、 環境が正し く 設定されているかど う かを確認して く ださい。

→ CHIPSCOPE 環境変数 : ザイ リ ン ク スの ChipScope Pro ツー

ルのイ ンス ト ール デ ィ レ ク ト リ に設定する必要があ り ます。

- Windows の場合、 [ス ター ト ] → [設定] → [コ ン ト ロール

パネル] → [システム] → [詳細設定] タブ → [環境変数] ボ タ ンを ク リ ッ ク し ます。 CHIPSCOPE 環境変数でイ ン

ス ト ール デ ィ レ ク ト リ を指定し ます。通常、こ のデ ィ レ ク

ト リ は C:\Xilinx\<version number>\ChipScope です。

- Linux の場合、 setenv CHIPSCOPE /tools/xilinx/version number/chipscope のよ う に指定し ます。

→ XILINX 環境変数 : ChipScope Pro ツールが正し く 動作する

には、 ザイ リ ン ク スの ISE ツールのイ ン ス ト ール デ ィ レ ク ト

リ に設定する必要があ り ます。

- Windows の場合、 [ス ター ト ] → [設定] → [コ ン ト ロール

パネル] → [システム] → [詳細設定] タブ → [環境変数] ボ タ ンを ク リ ッ ク し ます。 XILINX 環境変数でイ ン ス

ト ール デ ィ レ ク ト リ を指定し ます。通常、こ のデ ィ レ ク ト

リ は C:\Xilinx\<version number>\ISE です。

- Linux の場合、 イ ンス ト ールが終了する と、環境変数フ ァ

イルが自動的に作成されます。 ザイ リ ン ク ス ISE ソ フ ト

ウ ェ ア イ ン ス ト ール デ ィ レ ク ト リ に移動し、 <settings file> を指定し ます (settings fileは OS およびシェル タ イ

プによ って、settings32.sh、settings32.csh、settings64.sh、

または settings64.csh にな り ます)。

2. ISE ツールで [Edit] → [Preferences] → [ISE General] →

[Integrated Tools] が <install>/bin/<platform> に設定されている

かど う か確認し ます。

→ <install> は ChipScope Pro ツールのイ ンス ト ール デ ィ レ ク

ト リ です。

→ <platform> は 32 ビ ッ ト Linux の場合 lin、 64 ビ ッ ト Linux の場合 lin64、 32 ビ ッ ト Windows の場合 nt、 64 ビ ッ ト

Windows の場合 nt64 にな り ます。 ChipScope Pro ツールの

<platform> は ISE ツールのプ ラ ッ ト フ ォーム と 同じ であ る

必要があ り ます。

Windows の [ス ター ト ] メ ニューから Core Inserter を実行する と、 スプ ラ ッ シ ュ画面が表示さ れる のに

ツールが起動されません。

Windows の場合、 Core Inserter を コマン ド ラ イ ンか

ら 起動する と、 次の メ ッ セージ を示すポ ッ プア ッ プ

ダ イ ア ロ グ ボ ッ ク スが表示されます。

inserter.exe - entry point not found

Linux の場合、inserter.sh ス ク リ プ ト を起動し て Core Inserter を実行する と、次のよ う なエラー メ ッ セージ

が表示されます。

ERROR: Unable to locate Xilinx ISE release number tools in path!

ERROR: You must set the CHIPSCOPE environment variable before running this tool

196 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ザイ リ ン クス JTAG プログラム ケーブルに関する ト ラブルシューテ ィ ング

ザイ リ ン クス JTAG プログラム ケーブルに関する ト ラブルシューテ ィ ング

こ のセ ク シ ョ ンでは、ザイ リ ン ク ス JTAG (Joint Test Action Group、IEEE 規格) プロ グ ラ ム ケーブ

ルが正し く 接続されているかど う か確認する方法やザイ リ ン ク ス JTAG ケーブルのよ く あ る接続

問題を ト ラブルシュー ト する方法について説明し ます。 このセク シ ョ ンで説明される問題は、 次の

とお り です。

• ケーブルが正し く 接続されているか確認する方法については、 199 ページの表 A-3 を参照し て

く ださ い。

• 「INFO: Cable connection failed」 と い う メ ッ セージに関する問題の ト ラブルシューテ ィ ングに

ついては、 199 ページの表 A-4 を参照し て く だ さい。

• 「ERROR:iMPACT:2246 - A reference voltage has not been detected...」 と い う メ ッ セージに関

する問題の ト ラブルシューテ ィ ングについては、 201 ページの表 A-5 を参照し て く だ さい。

• 「ERROR: No devices detected while scanning the JTAG chain」 、 「ERROR: Failed detecting JTAG device chain」、 または 「ERROR: Opened Xilinx Platform USB Cable but failed to detect JTAG Chain」 と い う メ ッ セージに関する問題の ト ラ ブルシューテ ィ ングについては、202 ペー

ジの表 A-6 を参照し て く ださい。

• 「ERROR: Socket Open Failed. localhost/127.0.0.1:50001」 と い う メ ッ セージに関する問題の ト

ラブルシューテ ィ ングについては、 204 ページの表 A-7 を参照し て く だ さい。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 197UG029 (v13.4) 2012 年 1 月 18 日

付録 A : ChipScope Pro ツール ト ラブルシューテ ィ ング ガイ ド

表 A-2 : プ ラ ッ ト フ ォーム ケーブル USB が正し く 接続されているかの検証

問題 回避策

プラ ッ ト フ ォーム ケーブル USB に正 し く 接続 さ れ て い る か ど う

か、 ど う すれば確認でき ますか。

1. ChipScope Pro Analyzer ツールを起動し ます。

2. [JTAG Chain] メ ニュー オプシ ョ ンを選択し ます。

3. [Platform Cable USB] を ク リ ッ ク し ます。

4. [Speed] および [Port] オプシ ョ ンが正し く 設定されているかど う か確認し ます。

5. [OK] を ク リ ッ ク し ます。

6. 次のよ う な メ ッ セージが表示されるかど う かを確認し ます。

COMMAND: open_platform_usb_cable FREQUENCY=3000000 PORT=USB21INFO: Started ChipScope host (localhost:50001)INFO: Opened socket connection: localhost 50001 localhost/127.0.0.1INFO: Connecting to cable (Usb Port - USB21).INFO: Checking cable driver.INFO: Driver file xusbdfwu.sys found.INFO: Driver version: src=1027, dest=1027.INFO: Driver windrvr6.sys version = 8.1.1.0.INFO: WinDriver v8.11 Jungo (c) 1997 - 2006 Build Date:Oct 16 2006 X86 32bit SYS 12:35:07, version = 811.INFO: Cable PID = 0008.INFO: Max current requested during enumeration is 300 mA.INFO: Type = 0x0005.INFO: Cable Type = 3, Revision = 0.INFO: Setting cable speed to 3 MHz.INFO: Cable connection established.INFO: Firmware version = 2301.INFO: File version of C:/Xilinx/11.1/ChipScope/xilinx/data/xusb_xp2.hex = 2401.INFO: Firmware hex file version = 2401.INFO: Downloading C:/Xilinx/11.1/ChipScope/xilinx/data/xusb_xp2.hex.INFO: Downloaded firmware version = 2401.INFO: PLD file version = 200Dh.INFO: PLD version = 200Dh.

198 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ザイ リ ン クス JTAG プログラム ケーブルに関する ト ラブルシューテ ィ ング

表 A-3 : JTAG パラ レル ケーブル IV が正し く 接続されているかの検証

問題 回避策

パラ レル ケーブル IV に正し

く 接続さ れてい る かど う か、

ど う すれば確認でき ますか。

1. ChipScope Pro Analyzer ツールを起動し ます。

2. [JTAG Chain] メ ニュー オプシ ョ ンを選択し ます。

3. [Platform Cable USB] を ク リ ッ ク し ます。

4. [Speed] および [Port] オプシ ョ ンが正し く 設定されているかど う か確認し ます。

5. [OK] を ク リ ッ ク し ます。

6. 次のよ う な メ ッ セージが表示されるかど う かを確認し ます。

COMMAND: open_parallel_cable FREQUENCY=5000000 PORT=LPT1INFO: Started ChipScope host (localhost:50001)INFO: Opened socket connection: localhost 50001 localhost/127.0.0.1INFO: Connecting to cable (Parallel Port - LPT1).INFO: Checking cable driver. INFO: Driver windrvr6.sys version = 8.1.1.0.INFO: WinDriver v8.11 Jungo (c) 1997 - 2006 Build Date:Oct 16 2006 X86 32bit SYS 12:35:07, version = 811.INFO: LPT base address = 0378h.INFO: ECP base address = 0778h.INFO: ECP hardware is detected.INFO: Cable connection established.INFO: Connecting to cable (Parallel Port - LPT1) in ECP mode.INFO: Checking cable driver.INFO: Driver xpc4drvr.sys version = 1.0.4.0.INFO: LPT base address = 0378h.INFO: Cable Type = 1, Revision = 10.INFO: Setting cable speed to 5 MHz.INFO: Cable connection established.

表 A-4 : プ ラ ッ ト フ ォーム ケーブル USB の接続に関する ト ラブルシューテ ィ ング

問題 回避策

1. ケーブルに接続し よ う とする と、 次のよ う な メ ッ セー

ジがコ ン ソールに表示されます。

INFO: Cable connection failed.

ERROR: Failed to open Xilinx Platform USB Cable.See message(s) above.

こ の メ ッ セージは、ケーブルはイ ン ス ト ールされてい

るのに接続さ れていない場合に表示されます。ケーブ

ルが接続 さ れてい る のに こ の メ ッ セージが表示 さ れ

る 場合は、 ケーブルが破損 し てい る ので、 フ ァ ーム

ウ ェ アのア ッ プデー ト が必要です。

まず、 ケーブルが接続されているかど う か確認し ます。

問題 2 に進んで く だ さい。

2. ケーブルは適切な USB ポー ト に挿入されていますか。 いいえ : ケーブルを接続し、ChipScope Pro Analyzer で接続し

て く ださ い。

はい : 問題 3 に進んで く ださい。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 199UG029 (v13.4) 2012 年 1 月 18 日

付録 A : ChipScope Pro ツール ト ラブルシューテ ィ ング ガイ ド

3. 正しいケーブルが接続時に指定されていま し たか。 いいえ/わから ない : ChipScope Pro Analyzer ツールの [JTAG Chain] メ ニューで正しいケーブルが選択されていたかど う か

確認し ます。 正しいケーブルを選択し、 接続し直し ます。

はい : 問題 4 に進んで く ださい。

4. サーバー ホ ス ト 設定は正し く 設定されていますか。 いいえ/わから ない : ChipScope Pro Analyzer ツールで [JTAG Chain] → [Server Host Settings] を ク リ ッ ク し ます。 ダ イ ア ロ

グ ボ ッ ク スで正しいサーバー ホ ス ト 名 (または IP ア ド レ ス) と ポー ト が使用されているかど う か確認し ます。ローカル シス

テムのケーブルに接続する場合は、localhost:50001 を使用し て

く ださ い。

はい : 問題 5 に進んで く ださい。

5. 特定のプラ ッ ト フ ォーム ケーブル USB に接続する場

合、 正しいポー ト 設定を選択し ていますか。いいえ/わから ない : ChipScope Pro Analyzer ツールで [JTAG Chain] → [Platform Cable USB] を ク リ ッ ク し ます。 ダ イ ア ロ

グ ボ ッ ク スでポー ト 設定がそのケーブルの正しいポー ト 数に

指定されているかど う か確認し ます。 た と えば、 初のケーブ

ルには、 ポー ト USB21 を選択し ます。

はい : 問題 6 に進んで く ださい。

6. フ ァーム ウ ェ ア ア ッ プデー ト が必要な可能性があ り

ます。フ ァーム ウ ェアをア ッ プデー ト するには、次の手順に従います。

1. DOS シェルを開いて、次を入力して環境変数を設定し ます。

SET XIL_IMPACT_ENV_USB2_FORCE_CPLD_ UPDATE=TRUE

2. DOS シェルに「impact」 と入力して iMPACT を起動し ます。

3. [Cable Communication Setup] ダ イ ア ロ グ ボ ッ ク スでザイ

リ ン ク ス USB ケーブルを選択し、 ア ッ プデー ト が完了す

るのを待ちます。

4. iMPACT を終了し ます。

5. DOS シェルに次を入力し て環境変数を一掃し ます。

SET XIL_IMPACT_ENV_USB2_FORCE_CPLD_ UPDATE=

環境変数の設定方法の詳細と Linux ベースの OS での環境変

数設定方法については、(ザイ リ ン ク ス アンサー 11630) を参照

し て く ださい。

これで解決し ない場合は、 ザイ リ ン ク ス テ ク ニカル サポー ト

で次の情報を含めてケース を開いて く ださ い。

• XInfo

• cs_analyzer.log

表 A-4 : プ ラ ッ ト フ ォーム ケーブル USB の接続に関する ト ラブルシューテ ィ ング (続き)

問題 回避策

200 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ザイ リ ン クス JTAG プログラム ケーブルに関する ト ラブルシューテ ィ ング

表 A-5 : ケーブルの参照電圧に関する ト ラブルシューテ ィ ング

問題 回避策

1. ケーブルに接続し よ う とする と、 ケーブルの LED がオ

レ ンジにな り (緑にな ら ないで)、 次のよ う な メ ッ セージ

がコ ン ソールに表示されます。

ERROR: ERROR:iMPACT:2246 - A reference voltage has not been detected on the ribbon cable interface to the target system (pin 2).Check that power is applied to the target system and that the ribbon cable is properly seated at both ends.The status LED on Platform Cable USB is GREEN if target voltage is in the proper range and applied to the correct pin.

こ の問題は、 通常 VCC の電圧が正し く ないために発生し ま

す。 問題 2 に進んで く ださい。

2. ターゲ ッ ト ボード に電源は投入されていますか。 いいえ : ボード に正し く 電源が投入されているかど う か確認

し ます。

はい : 問題 3 に進んで く ださい。

3. リ ボン ケーブルがターゲ ッ ト ボード コネ ク ターと プ

ラ ッ ト フ ォーム ケーブル USB コネ ク ターにし っか り さ

さ っていますか。

いいえ : リ ボン ケーブルを両方のコネク ターに差し直し ます。

はい : 問題 4 に進んで く ださい。

4. ケーブルの VCC 電圧のレベルは正しいですか。 いいえ/わから ない : テス ト ツールでボード の電圧を調べて、

電圧が正しい範囲内にあ る よ う にし ます。

はい : 次の情報を含めてザイ リ ン ク ス テ ク ニカル サポー ト

から ケース を開き ます。

• XInfo

• cs_analyzer.log

• 可能であれば、 ターゲ ッ ト ボード のケーブル接続時の

ケーブルの VCC 電圧レベルのス ク リ ーンシ ョ ッ ト

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 201UG029 (v13.4) 2012 年 1 月 18 日

付録 A : ChipScope Pro ツール ト ラブルシューテ ィ ング ガイ ド

表 A-6 : JTAG デバイス検出に関する ト ラ ブルシューテ ィ ング

問題 回避策

1. ケーブルに接続 し よ う と する と、 次の よ う な

メ ッ セージがコ ン ソールに表示されます。

ERROR: No devices detected while scanning the JTAG chain

ERROR: Failed detecting JTAG device chain

ERROR: Opened Xilinx Platform USB Cable but failed to detect JTAG Chain.

この問題の原因は、TDI または TDO が未接続

か High または Low になった場合に発生する

JTAG チェーンの問題であ る こ と がよ く あ り

ます。通常はボード に関連する問題であ る こ と

を示し ています。

問題 2 に進んで く ださい。

2. ケーブル TDI と TDO はケーブル ヘッ ダーで

正し く 接続されていますか。いいえ/わから ない : 可能であれば、 別のボード、 ケーブル、 ケーブル

コ ネ ク ターを使用し て、 エ ラーの原因を見つけて く だ さ い。 有効な

チェーンを含める よ う に接続を修正し ます。 リ ボン ケーブルま

たはフ ラ イ リ ー ド を変え る と、 接続でき る こ と も あ り ます。 別

のボー ド を使用する と、 問題が発生し ないこ と も あ り ます。

はい : 問題 3 に進んで く ださい。

3. ボード のス イ ッ チ ノ イ ズが原因で JTAG チェーンが検出されませんか。

はい/わから ない : 可能であれば、ボード レベルの リ セ ッ ト を使用

し て、 ほかのデバイ ス を リ セ ッ ト ステー ト にし、 JTAG チェー

ン を検出 し 直 し てみ ます。 こ の リ セ ッ ト を アサー ト す る と、

JTAG 操作中のボード の ノ イ ズが削減される こ と があ り ます。

いいえ : 問題 4 に進んで く ださい。

4. ザイ リ ン ク ス デバイ ス以外のデバイ スが

JTAG チェーン内にあ り ますか。はい : ザイ リ ン ク ス デバイ ス以外のデバイ スのア ク テ ィ ブ Low の

TRST# ピンが High になっているかど う か確認し、 JTAG チェーンを

検出し直し ます。

いいえ : 問題 5 に進んで く ださい。

5. JTAG チェーンに含まれる Virtex®-4、 Virtex、

Virtex-E、 または Spartan®-II/-E デバイ スのア

ク テ ィ ブ Low の PROG# ピンが Low に保持

されていますか。

はい : これらのデバイ スの PROG# ピンは必ず High になる よ う にし

ます。 PROG# のパルスが少ない と、 これらのデバイ スの JTAG TAP コ ン ト ローラーが リ セ ッ ト され、 チェーン上のすべての動作が実行さ

れな く な り ます。

いいえ : 問題 6 に進んで く ださい。

202 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ザイ リ ン クス JTAG プログラム ケーブルに関する ト ラブルシューテ ィ ング

6. JTAG チェーンに含まれるデバイ スが 5 個よ

り も多 く 、 バッ フ ァーを介さ ない TCK および

TMS ネ ッ ト を使用し ていますか。

はい : TCK および TMS 信号にバッ フ ァーが必要であ る可能性があ り

ます。大まかですが、デバイ スの数が 5 個を超え る場合は、バッ フ ァー

を使用する必要があ り ます。 LS244 は、 ザイ リ ン ク スのデバイ ス と

バ ッ フ ァーを使用し た例です。 IEEE 1149.1 規格で定められている よ

う に、 TMS および TDI ピンには内部プルア ッ プ抵抗があ り ます。 こ

れらの 50 ~ 150kΩの内部プルア ッ プ抵抗は、 選択されているモード

に関係な く ア ク テ ィ ブです。抵抗値は各 FPGA デバイ ス フ ァ ミ リ のコ

ンフ ィ ギュ レーシ ョ ン ユーザー ガイ ド を参照し て く ださ い。

• Spartan-3 FPGA コ ンフ ィ ギュ レーシ ョ ン ユーザー ガイ ド [参照 7]

• Spartan-6 FPGA コ ンフ ィ ギュ レーシ ョ ン ユーザー ガイ ド [参照 8]

• Virtex-4 FPGA コ ンフ ィ ギュ レーシ ョ ン ユーザー ガイ ド [参照 9]

• Virtex-5 FPGA コ ンフ ィ ギュ レーシ ョ ン ユーザー ガイ ド [参照 10]

• Virtex-6 FPGA コ ンフ ィ ギュ レーシ ョ ン ユーザー ガ イ ド [参照 11]

いいえ : 問題 7 に進んで く ださい。

7. TCK の実行速度が速すぎませんか。 はい/わから ない : [JTAG Chain] → [Xilinx Platform USB Cable] →

[Speed] でケーブル速度を削減し て、 TCK ピンの周波数を 低速度設

定になる よ う に下げます。

いいえ : 次の情報を含めてザイ リ ン ク ス テ ク ニカル サポー ト か ら

ケース を開き ます。

• XInfo

• cs_analyzer.log

• JTAG 操作中の JTAG ラ イ ン (TDI、 TDO、 TCK および TMS) の

ス ク リ ーンシ ョ ッ ト (可能であれば、 ターゲ ッ ト FPGA に近 く

TCK の立ち上が り エ ッ ジの 1 つに焦点をあてたス ク リ ーン

シ ョ ッ ト )

表 A-6 : JTAG デバイス検出に関する ト ラ ブルシューテ ィ ング (続き)

問題 回避策

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 203UG029 (v13.4) 2012 年 1 月 18 日

付録 A : ChipScope Pro ツール ト ラブルシューテ ィ ング ガイ ド

表 A-7 : サーバー ホス ト 接続に関する ト ラブルシ ューテ ィ ング

問題 回避策

1. ケーブルに接続し よ う とする と、次のよ う な メ ッ セージ

がコ ン ソールに表示されます。

ERROR:Socket Open Failed. localhost/127.0.0.1:50001

java.net.ConnectException:Connection refused

これらの メ ッ セージは、 別のアプ リ ケーシ ョ ン (た と え

ば、iMPACT ソ フ ト ウ ェ ア ツール) がケーブルを制御し

ている場合に表示されます。 また、 システムにあ る別の

デバイ ス またはアプ リ ケーシ ョ ンがそのポー ト / ソ ケ ッ

ト へのア ク セス を拒否し ている場合に も表示されます。

問題 2 に進んで く ださい。

2. ChipScope Pro Analyzer ツールが TCP/IP ソ ケ ッ ト へ

接続されないよ う にする フ ァ イ アウ ォール アプ リ ケー

シ ョ ンを実行し ていますか。

はい : TCP/IP ソ ケ ッ ト へのア ク セス を拒否する可能性のあ

る アプ リ ケーシ ョ ンをすべてオフにし、 ケーブルを接続し直

し ます。

いいえ : 問題 3 に進んで く ださい。

3. ほかのザイ リ ン ク ス ソ フ ト ウ ェ ア アプ リ ケーシ ョ ンを

使用し てケーブルにア ク セス し ま し たか。はい : そのアプ リ ケーシ ョ ンがケーブル ロ ッ ク を解除し てい

ない可能性があ り ます。 該当する アプ リ ケーシ ョ ンを閉じ る

と、こ の問題は回避でき る こ と がほ と んどです。それでも回避

でき ない場合は、iMPACT バッチ モード で次のコマン ド を実

行し て、 停止し た状態のケーブル ロ ッ ク を削除し ます。

> impact -batch

# cleancablelock

# exit

いいえ : 次の情報を含めてザイ リ ン ク ス テ ク ニカル サポー

ト から ケース を開き ます。

• XInfo

• cs_analyzer.log

204 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer コアの ト ラブルシューテ ィ ング

ChipScope Pro Analyzer コ アの ト ラ ブルシューテ ィ ング

こ のセ ク シ ョ ンでは、ChipScope Pro Analyzer ツールから ケーブルおよび JTAG チェーンにア ク セ

スでき るのに、ザイ リ ン ク ス FPGA のデバッ グ コ アを検出でき ないか、ILA コ アを ト リ ガーでき な

いか、 キ ャプチャ された ILA コ ア データが表示されない と いった問題について説明し ます。

• 「INFO: Found 0 Core Units in the JTAG device Chain」 と い う メ ッ セージに関する問題の ト ラ

ブルシューテ ィ ングについては、 表 A-8 を参照し て く ださい。

• 「Waiting for upload」 と い う メ ッ セージに関する問題の ト ラ ブルシューテ ィ ングについては、

208 ページの表 A-9 を参照し て く だ さい。

• 「ERROR: Fatal - Did not find trigger mark in buffer. Data buffer may be corrupt!」 と い う メ ッ

セージに関する問題の ト ラブルシューテ ィ ングについては、 209 ページの表 A-10 を参照し て

く ださ い。

表 A-8 : コア検出に関する ト ラブルシューテ ィ ング

問題 回避策

1. ケーブルに接続し よ う とする と、次のよ う な

メ ッ セージがコ ン ソールに表示されます。

INFO: Found 0 Core Units in the JTAG device Chain

ChipScope Pro Analyzer ツールでコ ア ユ

ニ ッ ト が検出されない場合、い く つかの原因

が考え られます。 ChipScope Pro Analyzer ツールは、デバイ スに含まれる コ アの数やタ

イプを示すステータ ス ワード を得る ため

に、 JTAG チェーンをポー リ ング し ます。 ス

テータ ス ワード を読み込む と、 JTAG TAP 信号の ノ イ ズやデザ イ ンに含まれる タ イ ミ

ン グ問題のいずれかが原因でデータ が破損

し、 コ アに影響を与え る こ と があ り ます。

問題 2 に進んで く ださい。

2. ChipScope Pro ICON (Integrated

CoNtroller)、ILA (Integrated )、VIO (Virtual Input/Output)、 および ATC2 (Agilent Trace Core) デバッ グ コ アが正し く デザイ ンにイ

ンプ リ メ ン ト されているか、または存在し ま

すか。

いいえ/わから ない : デザイ ンにこれら のコ アが含まれるかど う かは、次の

手順で確認でき ます。

1. FPGE Editor を開いて、配置配線済みの NCD フ ァ イルを編集し ます。

2. [Tools] から [ILA] を選択する と、 すべてのプローブ済みの信号が表

示されます。エラー メ ッ セージに 「There is no ILA core」 と表示され

ている場合は、 デザイ ンに ILA デバッ グ コ アが含まれていません。

コ アが検出されなかった場合は、 デザイ ンに戻って、 なぜコ アがイ ンプ リ

メ ン ト されていないのかを確認する必要があ り ます。 ILA コ アおよび

ICON コ アを含め、 ネ ッ ト リ ス ト すべてが正し く イ ンプ リ メ ン ト されてい

るかど う かは、 合成および変換レポー ト から確認でき ます。 コ アに関連付

け られた NCF (ネ ッ ト リ ス ト 制約フ ァ イル) も適用されているかど う か確

認し ます。 コ アのネ ッ ト リ ス ト フ ァ イル (*.ngc/ngo) がイ ンプ リ メ ンテー

シ ョ ン中に移動された場合、 関連する制約フ ァ イル (*.ncf) が適切に移動

されていない可能性があ り ます。

はい : 問題 3 に進んで く ださい。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 205UG029 (v13.4) 2012 年 1 月 18 日

付録 A : ChipScope Pro ツール ト ラブルシューテ ィ ング ガイ ド

3. プロ グ ラ ム ツールで JTAG ロ ジ ッ ク を解放

し ま し たか。いいえ/わから ない : ChipScope Pro Analyzer ツールの代わ り に iMPACT ツールを使用し て FPGA をプロ グ ラ ムする と、 こ の問題は回避でき ます。

次を実行し て く ださい。

1. iMPACT を起動し て FPGA をプロ グ ラ ム し ます。

2. iMPACT を終了し ます。

3. ChipScope Pro Analyzer ツールを実行し ます。

はい : 問題 4 に進んで く ださい。

4. ザイ リ ン ク ス System ACE™ MPM デバイ

スが JTAG チェーン内にあ り ますか。はい : JTAG チェーンに System ACE MPM が含まれている場合、 既知の

問題があ り 、 コ ア ユニ ッ ト が検出されないこ と があ り ます。 こ の問題を回

避するには、 ChipScope Pro Analyzer ツールのプロ ジェ ク ト フ ァ イル

(.cpj) に次の行を追加し、 ケーブルをオープンする前に読み込みます。

avoidUserRegDeviceX=1,2

「X」 を V50E デバイ スの位置指数に置き換えます。チェーンの 初のデバ

イ スのイ ンデッ ク スは 0 です。 V50E デバイ スのスキ ャ ンを スキ ッ プする

こ と を示す メ ッ セージが cs_analyzer.log フ ァ イルに表示されます。

ア ッ プデー ト された .cpj フ ァ イルを使用する場合は、上記の手順に従いま

す。ChipScope Pro Analyzer ツールの起動直後に .cpj フ ァ イルを まず読み

込んで く だ さい。

いいえ : 問題 5 に進んで く ださい。

5. ザイ リ ン ク ス デバイ ス以外のデバイ スが

JTAG チェーン内にあ り ますか。はい : チェーンにザイ リ ン ク ス以外のデバイ スが含まれる場合は、ChipScope Pro Analyzer の GUI で命令レジス タ長を入力する必要があ り ます。命令レジ

ス タ長は、 デバイ スに対する BSDL フ ァ イルの次の行に表示されています。

attribute INSTRUCTION_LENGTH of <entity name> : entity is XX;

正しい命令レジス タ長を入力し ない と、ChipScope Pro Analyzer で JTAG デバイ スのオフセ ッ ト が正し く 設定できず、デバイ ス またはデバ ッ グ コ ア

を識別でき な く な り ます。

いいえ : 問題 6 に進んで く ださい。

6. デバイ スでス ター ト ア ッ プ シーケン スが問

題な く 完了し ま し たか。いいえ : コ ンフ ィ ギュ レーシ ョ ン オプシ ョ ンが適切に設定されていない

ために、ChipScope Pro Analyzer ツールでコ アを検出でき ない可能性があ

り ます。BitGen オプシ ョ ンの LCK_cycle (Project Navigator では [Release DLL] オプシ ョ ン) が Nowait に設定されていない場合、 GWE の解放と共

に ChipScope Pro コ アが初期化される ため、ChipScope Pro Analyzer でコ

アが検出されない こ と があ り ます。こ のオプシ ョ ンを Nowait (デフ ォル ト

) に設定する と、 問題が回避される こ と があ り ます。

はい : 問題 7 に進んで く ださい。

表 A-8 : コア検出に関する ト ラブルシューテ ィ ング (続き)

問題 回避策

206 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer コアの ト ラブルシューテ ィ ング

7. FPGA デバイ スの DONE ピンはボード で

Low に保持されていますか。

ボー ド に複数の FPGA があ り DONE ピ ン

が互いに接続されていて、コ ン フ ィ ギ ュ レー

シ ョ ン されていないデバイ スによ り DONE が Low に保持されコ ンフ ィ ギュ レーシ ョ ン

が完了し ていない場合に、こ のエラーが見ら

れる こ と があ り ます。

はい : 問題を回避するには、 ボード の FPGA がすべてコ ンフ ィ ギュ レー

シ ョ ン されている こ と を確認、または bitgen オプシ ョ ンの DriveDONE が

ターゲ ッ ト デバイ スに対し設定されている こ と を確認し ます。

いいえ : 問題 8 に進んで く ださい。

8. コ ア制約は正し く 適用されていますか。 いいえ/わから ない : ILA の ク ロ ッ ク と し て使用される ク ロ ッ クに

PERIOD 制約が追加されている こ と を確認し ます。ISE プロ ジェ ク ト でこ

のネ ッ ト に制約が付け られていない場合、ChipScope 制約は正し く 適用さ

れず、 コ アが認識されない こ と があ り ます。 コ アに関連付け られた NCF フ ァ イルも適用されているかど う か確認し ます。コ アのネ ッ ト リ ス ト フ ァ

イル (*.ngc/ngo) がイ ンプ リ メ ンテーシ ョ ン中に移動された場合、 関連す

る制約フ ァ イル (*.ncf) が移動されていない可能性があ り ます。

はい :次の情報を含めてザイ リ ン ク ス テ ク ニカル サポー ト から ケース を

開き ます。

• cs_analyzer.log

• Inserter プロジェ ク ト (<project_name>.cdc) も含めた ISE ソ フ ト

ウ ェ ア プロ ジェ ク ト の圧縮フ ァ イル

表 A-8 : コア検出に関する ト ラブルシューテ ィ ング (続き)

問題 回避策

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 207UG029 (v13.4) 2012 年 1 月 18 日

付録 A : ChipScope Pro ツール ト ラブルシューテ ィ ング ガイ ド

表 A-9 : ILA コアの ト リ ガに関する ト ラ ブルシューテ ィ ング

問題 回避策

1. ILA コ アに ト リ ガーを付ける と、 次のよ

う な メ ッ セージがステータ ス バーに表

示されます。

Waiting for upload

ア ッ プデー ト の待機中を示す メ ッ セージです

が、 こ の後何も発生し ません。 こ の問題には、

次のよ う な原因が考え られます。

• ト リ ガー条件が満た されていない

• ILA コ アにマ ッ プされた ト リ ガー ク

ロ ッ クが停止し ている

• BUFG が ICON の JTAG CLK で使用さ

れていない

問題 2 に進んで く ださい。

2. ト リ ガー条件は満た されていますか。 いいえ/わから ない : ChipScope Pro Analyzer ツールのウ ィ ン ド ウ下部に表示

される メ ッ セージを確認し ます。 「Waiting for trigger, Sample buffer has 0 samples(0%)」 のよ う な メ ッ セージが表示された場合は、 次の手順に従って く

だ さい。

[Trigger Setup] および [Trigger Immediate] ウ ィ ン ド ウ を確認し ます。

ChipScope Pro Analyzer ツールが取得を開始し、サンプルの波形を表示し た場

合、 デザイ ンには問題あ り ません。 ク ロ ッ ク信号は入ってき ていますが、 ト リ

ガー条件が満た されていません。

イベン ト ( ト リ ガー条件) が確実に このデザイ ンで生じ ている場合、 [Trigger Setup] ウ イ ン ド ウで、 条件が正し く 設定されているかを確認し ます。

はい : 問題 3 に進んで く ださい。

3. ILA コ アに接続されている ク ロ ッ クは

実行されていますか。 いいえ/わから ない : ウ ィ ン ド ウ下部に 「Waiting for Core to be armed, slow or stopped clock」 のよ う な メ ッ セージが表示された場合、 ト リ

ガー条件は問題の原因ではあ り ません。ILA コ アには有効な ク ロ ッ ク

がな く 、 取得を開始でき ません。

この問題を修正するには、 ChipScope Pro Core Inserter ツールまたは RTL コード (生成し たコ アを手動で使用する場合) を使用し て有効な ク ロ ッ ク を

マ ッ プする必要があ り ます。 ILA コ アにマ ッ プし た ク ロ ッ クが動作し ている

か分から ない場合は、 代わ り にシステム ク ロ ッ ク (または、 確実に動作し て

いる ク ロ ッ ク) を接続し ます。

はい : 問題 4 に進んで く ださい。

4. ICON JTAG ク ロ ッ クに BUFG を使用

し ま し たか。いいえ : JTAG ク ロ ッ ク に BUFG が使用さ れない場合、 「Waiting for upload」 と い う メ ッ セージが表示されます。こ の属性が確実に ICON コ アの

生成用に設定される よ う にする には、デザイ ン を イ ンプ リ メ ン ト し直す必要

があ り ます。

はい : 問題 5 に進んで く ださい。

208 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ChipScope Pro Analyzer コアの ト ラブルシューテ ィ ング

5. コ ア制約は正し く 適用されま し たか。 いいえ/わから ない : ILA コ アへの CLK 入力 と し て使用される ク ロ ッ

ク に PERIOD 制約が追加されている こ と を確認し ます。ISE プロ ジェ

ク ト で このネ ッ ト に制約が付け られていない場合、 タ イ ミ ング制約は

正し く 適用されず、 コ アが認識されない こ と があ り ます。 コ アに関連

付け られた NCF フ ァ イルも適用されているかど う か確認し ます。 コ

アのネ ッ ト リ ス ト フ ァ イル (*.ngc/ngo) がイ ンプ リ メ ンテーシ ョ ン中

に移動された場合、 関連する制約フ ァ イル (*.ncf) が適切に移動され

ていない可能性があ り ます。

はい : 問題 6 に進んで く ださい。

6. JTAG TCK ク ロ ッ クの実行速度が速す

ぎませんか。はい/わから ない : [JTAG Chain] → [Xilinx Platform USB Cable] → [Speed] でケーブル速度を削減し て、TCK ピンの周波数を 低速度設定になる よ う に

下げます。

いいえ : 次の情報を含めてザイ リ ン ク ス テ ク ニカル サポー ト から ケース を

開き ます。

• cs_analyzer.log

• Inserter プロ ジェ ク ト (filename.cdc) も含めた ISE ソ フ ト ウ ェ ア プロ

ジェ ク ト の圧縮フ ァ イル

表 A-9 : ILA コアの ト リ ガに関する ト ラ ブルシューテ ィ ング (続き)

問題 回避策

表 A-10 : 破損し た ILA コアのデータ バッ フ ァーに関する ト ラブルシューテ ィ ング

問題 回避策

1. ILA コ アに ト リ ガーを付ける と、 次のよ

う な メ ッ セージがコ ン ソールに表示され

ます。

ERROR: Fatal - Did not find trigger mark in buffer.Data buffer may be corrupt!

サンプ リ ング されるデータに影響するデ

ザイ ン またはコ アのタ イ ミ ング問題であ

る可能性が高 く 、 こ の結果バッ フ ァーの

データが破損し て し まいます。

問題 2 に進んで く ださい。

2. OFFSET IN/OFFSET OUT および

PERIOD 制約を適用し ま し たか。はい : タ イ ミ ング問題がこ の問題を引き起こ さ ないよ う に、 これらの制約が

適切な信号すべてに適用されているかど う か確認し て く ださい。

いいえ : 問題 3 に進んで く ださい。

3. ICON JTAG ク ロ ッ クに BUFG を使用

し ま し たか。いいえ : 使用されない場合、 「ERROR: Fatal - Did not find trigger mark in buffer. Data buffer may be corrupt!」 と い う メ ッ セージが表示される

可能性があ り ます。 この属性が確実に ICON コ アの生成用に設定され

る よ う にするには、デザイ ンを イ ンプ リ メ ン ト し直す必要があ り ます。

はい : 問題 4 に進んで く ださい。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 209UG029 (v13.4) 2012 年 1 月 18 日

付録 A : ChipScope Pro ツール ト ラブルシューテ ィ ング ガイ ド

4. コ ア制約は正し く 適用されま し たか。 いいえ/わから ない : ILA コ アへの CLK 入力と して使用される ク ロ ッ ク

に PERIOD 制約が追加されている こ と を確認し ます。ISE ソ フ ト ウ ェ

ア プロ ジェ ク ト で このネ ッ ト に制約が付け られていない場合、 タ イ ミ

ング制約が正し く 適用されず、 ILA コ アの制御ロ ジ ッ クが正し く 動作

しないこ と があ り ます。コ アに関連付け られた NCF フ ァ イルも適用さ

れているかど う か確認し ます。 コ アのネ ッ ト リ ス ト フ ァ イル

(*.ngc/ngo) がイ ンプ リ メ ンテーシ ョ ン中に移動された場合、関連する

制約フ ァ イル (*.ncf) が適切に移動されていない可能性があ り ます。

はい : 問題 5 に進んで く ださい。

5. デザイ ンおよびコ アはタ イ ミ ングを満た

し ていますか。いいえ : デザイ ンが再びタ イ ミ ングを満たすよ う にするには、 ト リ

ガー ポー ト 数、各ポー ト の ト リ ガー ビ ッ ト 数、データ幅、データ深さ

など を削減し て、 ILA コ アを簡素にする必要があ り ます。

はい : 次の情報を含めてザイ リ ン ク ス テ ク ニカル サポー ト から ケース を開

き ます。

• cs_analyzer.log

• Inserter プロ ジェ ク ト (filename.cdc) も含めた ISE ソ フ ト ウ ェ ア プロ

ジェ ク ト の圧縮フ ァ イル

表 A-10 : 破損し た ILA コアのデータ バッ フ ァーに関する ト ラブルシューテ ィ ング (続き)

問題 回避策

210 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

ザイ リ ン ク ス テ クニカル サポー ト に提出する情報の取得方法

ザイ リ ン クス テ クニカル サポー ト に提出する情報の取得方法

Xinfo 情報の取得

Xinfo は、 ザイ リ ン ク ス ツールで使用される システム情報を収集するために使用される アプ リ ケー

シ ョ ンで、 イ ン ス ト ール ロ グおよびデバッ グに便利な環境の詳細情報などが入手でき ます。

Windows の場合

1. [ス ター ト ] → [フ ァ イル名を指定し て実行] を ク リ ッ ク し、 「Xinfo」 と入力し ます。

2. Xinfo アプ リ ケーシ ョ ンで [File] → [Export] → [Save as Text file] を ク リ ッ ク し ます。

Linux の場合

1. シェル プロ ンプ ト から xinfo を実行し ます。

2. Xinfo アプ リ ケーシ ョ ンで [File] → [Export] → [Save as Text file] を ク リ ッ ク し ます。

ChipScope Pro Analyzer ログ フ ァ イル情報の取得

cs_analyzer.log フ ァ イルには、 新の ChipScope Pro Analyzer ツール セ ッ シ ョ ンからのコ ン ソール

メ ッ セージのロ グが含まれます。

Windows の場合

cs_analyzer.log フ ァ イルは %homepath%/.chipscope デ ィ レ ク ト リ に保存されています。 こ のデ ィ

レ ク ト リ は、 通常 C:/Documents and Settings/<username>/.chipscope にな り ます。

Linux の場合

cs_analyzer.log フ ァ イルは $HOME/.chipscope デ ィ レ ク ト リ に保存されています。

ChipScope Pro Core Inserter ツールのログ フ ァ イル情報の取得

cs_inserter.log フ ァ イルには、 新の ChipScope Pro Core Inserter ツール セ ッ シ ョ ンからのコ ン

ソール メ ッ セージのロ グが含まれます。

Windows の場合

cs_analyzer.log フ ァ イルは %homepath%/.chipscope デ ィ レ ク ト リ に保存されています。 こ のデ ィ

レ ク ト リ は、 通常 C:/Documents and Settings/<username>/.chipscope にな り ます。

Linux の場合

cs_analyzer.log フ ァ イルは $HOME/.chipscope デ ィ レ ク ト リ に保存されています。

圧縮された ISE ツール プロジ ェ ク ト の取得

1. ISE Project Navigator ツールで [Project] → [Archive] を ク リ ッ ク し ます。

2. すべてのプロ ジェ ク ト を含む project_name.zip フ ァ イルが作成されます。プロジェ ク ト で Core Inserter ツールを使用し た場合は、 圧縮前にプロジェ ク ト に <filename>.cdc が含まれているか

ど う か確認し て く ださ い。

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 211UG029 (v13.4) 2012 年 1 月 18 日

付録 A : ChipScope Pro ツール ト ラブルシューテ ィ ング ガイ ド

212 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日

付録 B

参考資料

マルチギガビ ッ ト シ リ アル ト ラ ンシーバーに関する資料 :

1. UG076 : 『Virtex-4 FPGA RocketIO マルチギガビ ッ ト ト ラ ンシーバー ユーザー ガイ ド』

2. UG196 : 『Virtex-5 FPGA RocketIO GTP ト ラ ンシーバー ユーザー ガイ ド』

3. UG198 : 『Virtex-5 FPGA RocketIO GTX ト ラ ンシーバー ユーザー ガ イ ド 』

4. UG366 : 『Virtex-6 FPGA GTX ト ラ ンシーバー ユーザー ガイ ド』

5. UG371 : 『Virtex-6 FPGA GTH ト ラ ンシーバー ユーザー ガイ ド』

6. UG386 : 『Spartan-6 FPGA GTP ト ラ ンシーバー ユーザー ガイ ド』

ザイ リ ン ク ス Virtex® FPGA および Spartan® FPGA に関する資料 :

7. UG332: 『Spartan-3 ジェネレーシ ョ ン コ ンフ ィ ギュ レーシ ョ ン ユーザー ガイ ド』

8. UG380 : 『Spartan-6 FPGA コ ンフ ィ ギ ュ レーシ ョ ン ユーザー ガイ ド』

9. UG071 : 『Virtex-4 FPGA コ ンフ ィ ギュ レーシ ョ ン ユーザー ガイ ド』

10. UG191 : 『Virtex-5 FPGA コ ンフ ィ ギュ レーシ ョ ン ユーザー ガイ ド』

11. UG360 : 『Virtex-6 FPGA コ ンフ ィ ギュ レーシ ョ ン ガイ ド』

12. XAPP139 : 『バウ ンダ リ ス キ ャ ン (JTAG) を使用し た Virtex FPGA のコ ンフ ィ ギ ュ レーシ ョ ン と リ ード バッ ク』

13. UG480 : 『7 シ リ ーズ FPGA の XADC 12 ビ ッ ト 1MSPS デュ アル アナロ グ - デジ タル コ ンバーター』

ザイ リ ン ク スのツールおよびソ リ ューシ ョ ン

14. ISE® Design Suite のマニ ュ アル

15. エンベデッ ド 開発キ ッ ト (EDK) のマニ ュ アル

16. ISE Design Suite ソ フ ト ウ ェ ア マ ト リ ッ ク ス

17. PlanAhead™ デザイ ン解析ツール

18. ザイ リ ン ク ス サポー ト 19. System Generator for DSP

20. ザイ リ ン ク ス オン ラ イ ン ス ト ア

21. シ リ コ ン ステ ッ ピング

22. UG192 : 『Virtex-5 システム モニ ター ユーザー ガイ ド』

23. UG370 : 『Virtex-6 システム モニ ター ユーザー ガイ ド』

ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド japan.xilinx.com 213UG029 (v13.4) 2012 年 1 月 18 日

付録 B : 参考資料

その他の資料 :

24. ActiveState

25. アジレ ン ト テ ク ノ ロ ジー

26. Tcl Developer Xchange

27. Byte Tools

28. UG480 : 『7 シ リ ーズ FPGA の XADC 12 ビ ッ ト 1MSPS デュ アル アナロ グ - デジ タル コ ンバーター』

214 japan.xilinx.com ChipScope Pro ソ フ ト ウ ェ アおよびコ ア ユーザー ガイ ド

UG029 (v13.4) 2012 年 1 月 18 日