christian joachim editor - 東京大学

20
Christian Joachim Editor Atomic Scale Interconnection Machines Proceedings of the 1st AtMol European Workshop Singapore 28th–29th June 2011 123

Upload: others

Post on 15-Apr-2022

1 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Christian Joachim Editor - 東京大学

Christian JoachimEditor

Atomic ScaleInterconnection Machines

Proceedings of the 1st AtMol EuropeanWorkshop Singapore 28th–29th June 2011

123

Page 2: Christian Joachim Editor - 東京大学

Christian JoachimCNRSGNSRue J. Marvig 2931055 Toulouse CedexFrance

ISSN 2193-9691 e-ISSN 2193-9705ISBN 978-3-642-28171-6 e-ISBN 978-3-642-28172-3DOI 10.1007/978-3-642-28172-3Springer Heidelberg New York Dordrecht London

Library of Congress Control Number: 2012933100

� Springer-Verlag Berlin Heidelberg 2012This work is subject to copyright. All rights are reserved by the Publisher, whether the whole or part ofthe material is concerned, specifically the rights of translation, reprinting, reuse of illustrations,recitation, broadcasting, reproduction on microfilms or in any other physical way, and transmission orinformation storage and retrieval, electronic adaptation, computer software, or by similar or dissimilarmethodology now known or hereafter developed. Exempted from this legal reservation are briefexcerpts in connection with reviews or scholarly analysis or material supplied specifically for thepurpose of being entered and executed on a computer system, for exclusive use by the purchaser of thework. Duplication of this publication or parts thereof is permitted only under the provisions ofthe Copyright Law of the Publisher’s location, in its current version, and permission for use must alwaysbe obtained from Springer. Permissions for use may be obtained through RightsLink at the CopyrightClearance Center. Violations are liable to prosecution under the respective Copyright Law.The use of general descriptive names, registered names, trademarks, service marks, etc. in thispublication does not imply, even in the absence of a specific statement, that such names are exemptfrom the relevant protective laws and regulations and therefore free for general use.While the advice and information in this book are believed to be true and accurate at the date ofpublication, neither the authors nor the editors nor the publisher can accept any legal responsibility forany errors or omissions that may be made. The publisher makes no warranty, express or implied, withrespect to the material contained herein.

Printed on acid-free paper

Springer is part of Springer Science+Business Media (www.springer.com)

Page 3: Christian Joachim Editor - 東京大学

Preface

Atom Technology is essential for the construction and study of dangling bondlogic circuits, single molecule logic gates and for single molecule mechanicalmachineries. One of the first instruments urgently required for experimental workat the atomic scale is a machine with an atomic scale precision offering thepossibility to exchange information and energy with single and well-identifiedmolecule machineries. These nano-communication-like machines must also offerdedicated navigation abilities for the interconnection probes to be positioned onthe device with atomic scale precision. As a result of this need for such instrumentsin many laboratories around the world, the Integrated European Project AtMoldecided to organize its first biannual workshop on the topic of ‘‘Atomic ScaleInterconnection Machines’’. Fully supported by the ICT-FET of INFSO at theEuropean level, AtMol was launched on 1 January 2011, for 4 years, with theobjective to construct the first ever complete molecular chip. This Atomic ScaleInterconnection Machines workshop event took place at IMRE in June 2011,where IMRE-A*STAR is the AtMol partner based in Singapore.

This first volume of the new Springer Series ‘‘Advances in Atom and SingleMolecule Machines’’ compiles all the contributions presented during thisworkshop. The workshop was the first to involve a large number of laboratoriesfrom all around the world working on the construction, the development or usageof atomic scale interconnection machines. All the possible categories of these veryoften large, ultra high vacuum (UHV) instruments were presented by differentcommunities, from academics to high-tech companies who develop the newhardware, or control the software of these machines. Examples include, multipleLT-UHV STM systems, multiple LT-NC-AFM systems, optical navigation, SEMnavigation and even the first machines demonstrating single atom and molecularmanipulation capability together with the nano-communication setup.

The organizing committee of the first workshop of the AtMol series is happy tothank the ICT-FET division of European Commission and A*STAR of Singaporefor the financial support to organize this first workshop. We are expecting to

v

Page 4: Christian Joachim Editor - 東京大学

launch a quite unique series of events to boost Atom Technologies from a practicalpoint of view. The upcoming workshops in the AtMol series will be announced onthe www.atmol.eu official web site. The organizing committee also wishes tothank all the participants in Singapore for a very dynamic workshop.

For the organizing committeeC. Joachim

vi Preface

Page 5: Christian Joachim Editor - 東京大学

Contents

High Precision Local Electrical Probing: Potential and Limitationsfor the Analysis of Nanocontacts and Nanointerconnects. . . . . . . . . . . 1B. Guenther, M. Maier, J. Koeble, A. Bettac, F. Matthes,C. M. Schneider and A. Feltz

Ultra-Compact Multitip Scanning Probe Microscope with anOuter Diameter of 50 mm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9Vasily Cherepanov, Evgeny Zubkov, Hubertus Junker, Stefan Korte,Marcus Blab, Peter Coenen and Bert Voigtländer

Atomic Scale Interconnection Machine. . . . . . . . . . . . . . . . . . . . . . . . 23O. A. Neucheva, R. Thamankar, T. L. Yap, C. Troadec, J. Dengand C. Joachim

The DUF Project: A UHV Factory for Multi-Interconnectionof a Molecule Logic Gates on Insulating Substrate . . . . . . . . . . . . . . . 35D. Martrou, L. Guiraud, R. Laloo, B. Pecassou, P. Abeilhou,O. Guillermet, E. Dujardin, S. Gauthier, J. Polesel Maris, M. Venegas,A. Hinault, A. Bodin, F. Chaumeton, A. Piednoir, H. Guo and T. Leoni

Challenges and Advances in Instrumentation of UHV LTMulti-Probe SPM System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53Zhouhang Wang

On the Road to Multi-Probe Non-Contact AFM . . . . . . . . . . . . . . . . . 81T. Vancura, S. Schmitt, V. Friedli, S. Torbrügge and O. Schaff

vii

Page 6: Christian Joachim Editor - 東京大学

Atomically Precise Manufacturing: The Opportunity, Challenges,and Impact . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89John N. Randall, James R. Von Ehr, Joshua Ballard, James Owen,Rahul Saini, Ehud Fuchs, Hai Xu and Shi Chen

Combined STM and Four-Probe Resistivity Measurementson Single Semiconductor Nanowires . . . . . . . . . . . . . . . . . . . . . . . . . . 107M. Berthe, C. Durand, T. Xu, J. P. Nys, P. Caroff and B. Grandidier

Probing Electronic Transport of Individual Nanostructureswith Atomic Precision . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119Shengyong Qin and An-Ping Li

Surface Conductance Measurements on a MoS2 SurfaceUsing a UHV-Nanoprobe System . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131R. Thamankar, O. A. Neucheva, T. L. Yap and C. Joachim

Multi-Probe Characterization of 1D and 2D NanostructuresAssembled on Ge(001) Surface by Gold Atom Depositionand Annealing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141M. Wojtaszek, M. Kolmer, S. Godlewski, J. Budzioch, B. Such,F. Krok and M. Szymonski

Nanometer-Scale Four-Point Probe Resistance Measurementsof Individual Nanowires by Four-Tip STM . . . . . . . . . . . . . . . . . . . . 153S. Hasegawa, T. Hirahara, Y. Kitaoka, S. Yoshimoto,T. Tono and T. Ohba

Silicon Surface Conductance Investigated Using a Multiple-ProbeScanning Tunneling Microscope. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 167Janik Zikovsky, Mark H. Salomons, Stanislav A. Dogeland Robert A. Wolkow

Atomic-Scale Devices in Silicon by ScanningTunneling Microscopy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 181J. A. Miwa and M. Y. Simmons

Electronic Transport on the Nanoscale. . . . . . . . . . . . . . . . . . . . . . . . 197C. A. Bobisch, A. M. Bernhart, M. R. Kaspers, M. C. Cottin,J. Schaffert and R. Möller

viii Contents

Page 7: Christian Joachim Editor - 東京大学

Solid State Nano Gears Manipulations . . . . . . . . . . . . . . . . . . . . . . . . 215Cedric Troadec, Jie Deng, Francisco Ample, Ramesh Thamankarand Christian Joachim

Probing Single Molecular Motors on Solid Surface. . . . . . . . . . . . . . . 225Haiming Guo, Yeliang Wang, Min Feng, Li Gao and Hongjun Gao

Contents ix

Page 8: Christian Joachim Editor - 東京大学

Nanometer-Scale Four-Point ProbeResistance Measurements of IndividualNanowires by Four-Tip STM

S. Hasegawa, T. Hirahara, Y. Kitaoka, S. Yoshimoto, T. Tonoand T. Ohba

Abstract We present a review of our recent results about transport properties ofnanowires measured by a four-tip scanning tunneling microscope (STM) installedwith metal-coated carbon nanotube (CNT) tips. We first present our custom-madeapparatus (with UNISOKU Co.) as well as CNT tips, and then some case studieswith two different samples, Co-silicide nanowires self-assembled on Si(110) sur-face and Cu nanowires made by damascene processes used in LSI industry. It isshown that the four-tip STM with CNT tips is versatile and powerful for measuringthe conductivity of individual nanostructures.

1 Introduction

Conductivity measurements in sub-micron or nanometer scale are of great interestin nanoscience and nanotechnology. For example, nanoelectronics such as semi-conductor devices requires low and stable electrical resistance of interconnects tomaintain device performance. Several kinds of methods to measure the conduc-tivity at nanoscales have been developed including fixed electrodes made bymicrolithography techniques. A method which adopts tips of scanning tunneling

S. Hasegawa (&) � T. Hirahara � Y. Kitaoka � S. Yoshimoto � T. TonoDepartment of Physics, School of Science, University of Tokyo, 7-3-1,Hongo, Bunkyo-ku, Tokyo 113-0033, Japane-mail: [email protected]

T. OhbaSchool of Engineering, University of Tokyo, Tokyo 113-0033, Japan

S. YoshimotoInstitute of Solid State Physics, University of Tokyo, Kashiwa 277-8581, Japan

C. Joachim (ed.), Atomic Scale Interconnection Machines, Advances in Atomand Single Molecule Machines, DOI: 10.1007/978-3-642-28172-3_12,� Springer-Verlag Berlin Heidelberg 2012

153

Page 9: Christian Joachim Editor - 東京大学

microscope (STM) as electrodes, however, has great advantages in positioning ofthe probes in arbitrary configurations as well as in high spatial resolution ofmeasurements. But single-tip STM is not enough for versatile measurementsof transport properties at various kinds of nanostructures: we need source, drain,and gate electrodes. For this reason, several groups [1–10] including companies[11] and our group [12–14] have developed four-tip STM, in which fourindependent STM tips are operated in an organic manner with aid of a SEM oroptical one, and they are used as electrodes for microscopic two- or four-pointprobe (l4PP) conductance measurements. In this article, we show our apparatusesincluding installation of carbon nanotube tips [15–17] and some results ofresistance measurements of nanowires obtained in our group.

2 Four-Tip STM System

Figures 1a, b show schematic drawings of our new version of four-tip STM system[14], consisting of a main (STM) chamber, a sample preparation molecular beamepitaxy (MBE) chamber, and two load-lock chambers for sample and tipexchanges, all of which are UHV compatible. The STM tips can be installed intothe main chamber from the tip load-lock chamber where a hot W filament isinstalled for out-gassing of the tips. The sample is introduced from the MBEchamber where cleaning of the sample, deposition of materials and reflection-high-energy electron diffraction (RHEED) observation can be done. The sample can beheated by direct current heating and cooled down to about 30 K by continuous-flow type cryostat in the MBE chamber. These capabilities are necessary for

Fig. 1 Schematic drawings of the whole system of low-temperature four-tip STM (a) and aroundthe STM stage (b) [14]. c A close-up photo of the STM stage without radiation shields. d Thephoto of the whole system

154 S. Hasegawa et al.

Page 10: Christian Joachim Editor - 東京大学

preparing aimed surface superstructures, epitaxial thin films, nanodots, nanowires,and for making in situ measurements.

The STM stage is mounted on the thermal conducting Cu rod which is soakedin the coolant of the bath cryostat below. The STM stage including the sample andfour sets of actuator units is wholly surrounded with two-fold radiation shields andmovable shutters. The photo of Fig. 1c is the stage without the radiation shields,and Fig. 1d shows the whole system. The sample and tips can be cooled down to7 K and can be kept for 23 h with liquid He as coolant. In the case of liquid N2, theminimum temperature is 80 K and the preserved time is longer than three days.

The SEM column (APCO Mini-EOC) is mounted above the STM stage. Theworking distance of SEM is about 25 mm. The electron beam is irradiated fromSEM column through a 1 mm diameter hole in the radiation shields. A multi-channel plate for the secondary electron detection for SEM imaging is placed onthe inside wall the outer shield. The SEM image is obtained from the secondaryelectron signal or beam induced current signal electron-beam-induced currentimage (EBIC). The resolution of the SEM is about 20 nm for both signals.

A spring vibration isolator and an eddy-current damper are built between thethermal conductor and the STM stage to avoid vibration of STM stage. The springisolator decoupled the STM stage from other components. However, during SEMobservation, tip/sample exchanges, and cooling the stage, the STM stage is fixed tothe thermal conductor (Cu rod) and therefore the isolator and damper are disabled.When we fix the STM stage, the Cu plate works as a thermal conductor andenlarges the contact area for good thermal connection. When we float the STMstage by the springs, this plate makes eddy-current damper. Since alternativearrangements of the small magnets make closed magnetic paths, the magnetizationdoes not affect the SEM beam.

Four sets of tip actuator units are mounted at the corner of the square STMstage, and a sample actuator unit is placed at the center. The actuator units consistof stacked piezo ceramics supported by sapphire plates. For fine positioning orscanning in nanometer or sub-nanometer range, tips and samples are driven byconventional piezoelectric effect of the ceramics by DC voltage. The maximumpositioning range by this method is about 2 lm to each direction. For coarsepositioning, the actuators are driven by stick–slip mechanism in 5 mm traveldistance in XY directions and 2.5 mm in Z direction at accuracy of about 100 nm.In addition to these three- or two-dimensional-motion actuators, the tip actuatorsalso contain small piezo ceramics near the tips for fast STM feedback.

Figure 2 shows a series of SEM images of the four tips arranged in variousconfigurations [12, 13, 18]. The tips are chemically etched W wires. The probespacing can be changed from 1 mm to ca. 200 nm in Figs. 2a–c. They can bearranged on a line equidistantly (linear l4PP method) (c, d) in arbitrary directions,or in square arrangement (square-l4PP method) Figs. 2e–h. The square can berotated with respect to the sample surface (rotational square-l4PP method) by re-positioning each tip under computer control. This is useful to measure anisotropicsurface conductivity in which the conductivity is different depending on the crystalorientation.

Nanometer-Scale Four-Point Probe Resistance 155

Page 11: Christian Joachim Editor - 東京大学

3 Metal-Coated Carbon Nanotube Tips

An important issue for the four-tip STM is the probe spacing; the probe spacingshould be in the order of 10 nm to measure various kinds of nanostructures. At thepresent the minimum probe spacing in the multi-tip STM is approximately 100 nmwhen W tips are used. This is due to the radius of tip apex of electrochemicallyetched W tips. This probe spacing is not small enough for observing ballistictransport and quantum interference effects because the coherence length of con-duction carriers is shorter than 100 nm in many cases. For this reason, continuousefforts are made to shorten the probe spacing down to ca. 10 nm. To make theprobe spacing shorter, carbon nanotube tips have been developed in which acarbon nanotube is glued at the end of W tip [19–22]. Since the radius of the(multi-walled) carbon nanotubes is usually ca. 10 nm and the aspect ratio is muchhigher than usual W tips, two carbon nanotube tips can be brought together intoapproximately 10 nm spacing. Another feature of the carbon nanotube tip is itsmechanical flexibility which can reduce damage to delicate samples such asorganic and biological molecules, and make the tips withstand numerous directcontacts to the samples. These properties are quite convenient for the transportmeasurements by multi-tip STM at nanometer scales. However, there have beenproblems in the carbon nanotube tips; high contact resistance between the sup-porting metal tip and the attached carbon nanotube strongly disturbs electrontransport at the STM/STS measurements. Moreover, adsorbates contained in thecarbon nanotube degrade the surface cleanness of the specimen under STMoperation.

A novel technique for overcoming these difficulties has been developed; thecarbon nanotube together with the supporting metal tip is wholly coated with a thinmetal layer [15]. Figures 3a,b show TEM images of a W-coated carbon nanotubetip glued on a W supporting tip. The W layer of ca. 3 nm thick was deposited bypulsed laser deposition (PLD) method. The W layer fully covers the tip even at the

Fig. 2 SEM images of the four W tips in various arrangements in the four-tip STM [12, 13, 18]

156 S. Hasegawa et al.

Page 12: Christian Joachim Editor - 東京大学

end. Figure 3c shows flexibility and robustness of the W-coated carbon nanotubetip upon the direct contact to a sample surface. Figure 3d is a SEM image showingfour CNT tips contacting a Co-silicide nanowire grown on a Si substrate [23]. Wehave also confirmed that the electrical resistance at the glued point between thecarbon nanotube and supporting W tip is stably reduced by the metal coating;especially PtIr coating is the most efficient for this purpose [16]. Atomic-resolutionSTM imaging and STS spectra were acquired with the W-coated carbon nanotubetip at the first attempt [15]. With this metal-coated carbon nanotube tips, we havesucceeded in bringing the two tips together into less than 30 nm [17, 23, 24]. Sincethe resolution of SEM is not enough for observing a smaller probe spacing, webelieve that the minimum spacing can be reduced to ca. 20 nm, similar to thediameter of CNT itself.

4 Measuring Co-Silicide Nanowires

CoSi2 nanowires are known to grow self-assembly by depositing high-puritycobalt on a Si(110) clean surface held at 750–850�C in UHV, as shown inFigs. 4a–c [25]. The nanowires become longer and thinner with lowering thesubstrate temperature during the Co deposition. The wires are single-crystalline,half of which is embedded in the Si substrate as observed by a cross-sectionalTEM image of Fig. 4d [25]. The CoSi2 is known to be highly conductive metallic

Fig. 3 a TEM image and b high-resolution TEM image of a W-coated carbon nanotube tipcoated by pulsed laser deposition method. c SEM images of the W-coated carbon nanotube tip,directly contacting to a sample surface. Reproduced from Ref. [15]. d A SEM image showingfour carbon nanotube tips contacting a Co-silicide nanowire grown on a Si substrate [23]

Nanometer-Scale Four-Point Probe Resistance 157

Page 13: Christian Joachim Editor - 東京大学

and its resistivity is 31 ± 9 lX cm for the nanowire [26] and *15 lX cm for thefilms [27] at 300 K.

As shown in Fig. 3d, the four CNT tips were made contact onto one of thenanowires under SEM observation. The tips were made approach beyond the pointof tunneling until the contact resistances became less than 1 MX, corresponding todirect contact. At the current–voltage (I–V) measurement, the STM feedback loopswere cut. Even if the tip physically contacted the NW, the contact resistancebetween the tip and sample was higher than 50 kX. It was difficult to reduce thisresistance because of the nanometer-sized contact area. This is much larger thanthe resistance of the nanowire itself, which is less than 1 kX with probe spacingsmaller than 1 lm [26]. Therefore, by two-terminal I–V measurements, theresistance did not depend on the probe spacing due to the large contact resistanceat the probe contacts: four-point measurements are indispensable at nanometerscale.

Four-terminal I–V measurements were done by sweeping the bias voltagebetween tip 1 and tip 4 with recording the current I and the voltage drop V between tip2 and tip 3, with changing the spacing between tip 2 and tip 3 as shown in Figs. 4e–h.The SEM beam was stopped at the I–V measurements to avoid possible influence on

Fig. 4 a–c Atomic force microscopy images of CoSi2 nanowires formed by depositing Co on theheated Si(110) substrate at different temperatures reproduced [25]. d A cross-sectional TEMimage of the nanowire from Ref. [25]. e–h SEM images of the inner pair of CNT tips (tip 2 andtip 3 in (j)), with different probe spacings, contacting one of the nanowires during the resistancemeasurements in the four-tip STM [23]. The current probes (tip 1 and tip 4) are about 1 lm awayfrom these voltage probes. e0–h0 Four-terminal current–voltage curves measured at the tipconfiguration shown in e–h, respectively [23]. The four-terminal resistance R4t decreased withreducing the probe spacing. i The voltage probes contacted each other, and the tip 3 was bent.j A schematic showing the four-terminal current–voltage measurements

158 S. Hasegawa et al.

Page 14: Christian Joachim Editor - 東京大学

the resistance caused by high-energy electron beam (10 kV). Figures 4e–h show aseries of SEM images around the voltage probes (tip 2 and tip 3) touching on thenanowire, and corresponding four-terminal I–V curves are shown in (e0–h0).We reduced the probe spacing between the voltage probes during taking the I–Vcharacteristics. The positions of the two current probes (tip 1 and tip 4) and one of thevoltage probes (tip 2) were fixed in the measurements, and only tip 3 was shifted. AllI–V curves were linear. The four-terminal resistance R4t = dV/dI around I = 0decreased with shortening the probe spacing. They are several X, much smaller thanthe contact resistance. A voltage amplifier was introduced at the STM pre-amplifiersto detect small voltage drops resulted from the small resistance. Finally tip 3 bent asshown in Fig. 4i, and R4t became 0 X because of direct contact between the voltageprobes. The minimum probe spacing achieved here was 30 ± 20 nm as shown inFig. 4h. This was limited by the diameter of the CNT tip apex we used, 30 nm (20 nmdiameter of CNT ? 5 nm thick PtIr layer). The error bar in the probe spacing isdetermined by the radii of the apexes in tip 2 and tip 3.

We plot the measured four-terminal resistance R4t as a function of the spacingbetween the contact points of the voltage probes on the nanowire in Fig. 5. Thelinear proportional relation in the range 30–600 nm means diffusive transport, andthe fit line gives one-dimensional resistivity q1D = 57 ± 3 X/lm. By extrapo-lating the data points, there seems to be no residual resistance at zero probe

Fig. 5 The measured four-terminal resistance R4t as afunction of the spacingbetween the voltage probes,and SEM image of thenanowire under measurement(top view). The black arrowaround 600 nm in the graphand the white arrow in theSEM image indicate theposition where the nanowirewidth changes, resulting in achange of the resistivity [23]

Nanometer-Scale Four-Point Probe Resistance 159

Page 15: Christian Joachim Editor - 東京大学

spacing, which is owing to the four-point probe configuration. The gradientdecreased to 19 ± 4 X/lm above 600 nm probe spacing. This is due to an increaseof the nanowire width from 100 ± 20 to 160 ± 20 nm as shown in the SEMimage in Fig. 5. By checking the reproducibility we found that the physicalcontacts of the CNT tips did not cause any significant damage to the nanowire.

We now discuss the transport property of the NW. Table 1 shows a list ofthe probe spacing L dependence of the four-terminal resistance in variousconduction mechanism. The probe spacing dependence of resistance in CoSi2nanowire showed a linear one-dimensional Ohmic feature (R4t � L). Thisbehavior is due to a one-dimensional conduction path through the nanowirewithout leakage of current to the underlying three-dimensional substrate or tothe two-dimensional substrate surface. This is because a Schottky barrierbetween the nanowire and the Si substrate confines the current [26]. The meanfree path of the electrons in CoSi2 is around 6 nm at room temperature [28],which is much smaller than the width and height of our nanowire as well asthe probe spacing. Therefore, our result of diffusive conduction is reasonable.The three-dimensional resistivity of the nanowire can be calculated. The widthof the nanowire is determined by SEM image, and the height can be deter-mined by the transmission electron microscope image [25]. We obtain thethree-dimensional resistivity 22 ± 4 lX cm. In the same way, we obtain19 ± 4 lX cm for the region larger than 600 nm. These values are comparableto the previous results (31 ± 9 lX cm) in which similar CoSi2 NWs weremeasured with W tips in larger probe spacing range [26].

In the ballistic transport regime, two-terminal and four-terminal resistances(R2t and R4t) do not depend on the probe spacing. They depend only on the totaltransmission probability T23 of electron wavefunction between the voltage probes,tip 2 and tip 3 (which are also the current probes in the two-terminal measure-ment). A remarkable feature of the ballistic transport is that R4t takes any valuebetween -R2t and +R2t, meaning that R4t can be negative by quantum interferenceeffects [21]. At liquid-He temperature, the mean free path of conduction electronsin a CoSi2 film with the thickness of 110 nm becomes ca. 100 nm [27]. Therefore,at low temperatures, we can possibly observe quantum interference effects inresistance at probe spacing we achieved here by using the PtIr-coated CNT tips.The probe spacing dependence of R4t in the present experiment also excludesobservable effects of carrier localization.

Table 1 Probe spacing L dependence of the four-terminal resistance R4t in various conductionmechanisms

Conductionmechanism

1DOhmic

2D Ohmic 3DOhmic

1D stronglocalization

1D weaklocalization

Ballistic

L-dependenceof R4t

� L1 � L0

(constant)� L-1

� exp LL0

� �� L

L0�L� L0

(withfluctuation)

L0 is the localization length

160 S. Hasegawa et al.

Page 16: Christian Joachim Editor - 東京大学

5 Measuring Cu Nanowires

Copper (Cu) wires are now widely used for interconnects in semiconductordevises. But, as the wire width reaches down to the sub-micrometer scale, which iscomparable to the mean free path of conduction electrons in the wires, a significantincrease in the resistivity has been observed. This is speculated as due to theincreased surface and grain boundary scatterings. As the wire width scales down,electrons will undergo reflections more frequently at the surfaces/interfaces, so thecollisions with the surfaces/interfaces will become a significant fraction of the totalnumber of collisions. In addition, grain boundaries in polycrystalline wires may actlike partially reflecting planes for electron waves, so they also contribute to theincrease of resistivity. To investigate these effects directly, the conductivitymeasurements by the four-tip STM at nanometer scales is very useful [29].

Cu wires having the width between 70 nm and 1 lm were prepared using a Cu/Low-kdamascene processes which are now very common in semiconductor industry. Figure 6shows the cross-sectional SEM images of the damascene structure made in SiO2 layer.Tantalum (Ta) was used as a barrier metal (BM) in this experiment. Cu damascene lineswere formed using conventional Cu process such as seed Cu, electrochemical plating(ECP) Cu for trench filling, and chemical–mechanical polishing. The Cu nanowires arenot single-crystalline; they are consisted of small gains. By the electron back-scatterdiffraction (EBSD) method, such grains are visualized along the Cu damascene lines[29]. The average grain size was measured to be about 100 nm at 70 nm wide Cunanowires, which did not change so much with the width, because the grain size isthought to be determined by the height when the width is smaller than the height.

The four-tip STM was used to measure the resistance of individual wires asshown in Fig. 7. By using Pt-coated CNT tips, the probe spacing can be reduceddown to the order of several ten nm routinely [23]. When the Pt-coated CNT tipswere used, the contact resistance between the tip and sample could not be smallerthan 50 kX because of its very small contact area. This means that it is impossibleto measure conductive materials whose resistance is less than 50 kX by the two-point probe method. Only with the four-point probe method, resistances muchsmaller than the contact resistance (as small as 0.1X in the present case) can bemeasured. Therefore, the combination of the CNT tips and the four-tip STM isvery powerful for studies in nanoscale measurements.

Fig. 6 Cross-sectional SEM image of damascene trenches. The trench widths are a 70 nmb 100 nm, and c 500 nm, respectively [29]

Nanometer-Scale Four-Point Probe Resistance 161

Page 17: Christian Joachim Editor - 東京大学

Four-terminal I–V measurements were performed by sweeping the bias voltagebetween the outer pair of tips and recording the current I and the voltage drop Vbetween the inner pair of tips (Fig. 7c). The probe spacing between the voltageprobes was reduced while measuring the I–V characteristics. The two currentprobes (tip 1 and tip 4) and one of the voltage probes (tip 2) were fixed during themeasurements, and only tip 3 was moved between tip 2 and tip 4 (Fig. 7c).

The measured values of four-terminal resistance as a function of the probespacing between the contact points of the voltage probes on the Cu wires areshown in Figs. 8 and 9. For all of them, the probe spacing dependence of resis-tance basically showed a linear one-dimensional feature, meaning a diffusivetransport. By multiplying the gradient of the fitted straight lines and the crosssection of Cu wires (which was estimated from SEM image in Fig. 6), the three-dimensional resistivity was calculated as 4.6, 3.7, and 3.4 X cm, for the 70, 50 nm,and 1 lm wide Cu wires, respectively. The resistivity of Cu increased as the linewidth decreased as shown in Fig. 9b. This result is understood by the Fuchs–Sondheimer theory for the surface-scattering effect and the Mayadas–Shatzkesmodel for the grain boundary effect [29]. No change in the measured resistance

Fig. 7 a An SEM image of the Cu wire being contacted with the four probes [29]. b Theenlargement of the area of rectangle in (a). c The illustration of the four-terminal I–Vmeasurement

162 S. Hasegawa et al.

Page 18: Christian Joachim Editor - 東京大学

was found in each measurement before and after repeated contacts of CNT tips. Itmeans no significant damage on the sample by the probe contacts.

In this experiment, the probe spacing was reduced to the scale which is com-parable with the grain size. Therefore, it can be expected that there will be somechange in the resistance when the probe spacing becomes so short that electrons donot undergo grain boundary scattering. This has been indeed observed. Figure 8bshows the enlarged view of the data shown in Fig. 8a for the probe spacing smallerthan 600 nm. There is a slight jump in resistance when the probe spacing is shorterthan 200 nm. This directly corresponds to the grain boundary scattering whereadditional resistance occurs at the grain boundary due to the reflection of electronwave there.

Fig. 8 a The measured resistances of the 70 nm wide Cu wire are shown as a function of probespacing. b The enlargement of the area under 600 nm of probe spacing [29]

Fig. 9 a The measured resistances of Cu wires are shown as a function of probe spacing for the500 nm and 1 lm wide wires. b Plot of the resistivity versus Cu line width [29]. The resistivitycoming from the grain boundary scattering is assumed to be constant because the gran size isroughly independent of the line with in our samples

Nanometer-Scale Four-Point Probe Resistance 163

Page 19: Christian Joachim Editor - 東京大学

6 Concluding Remarks

Electrical measurements using metal-coated CNT tips in the four-tip STM have beendemonstrated for the CoSi2 nanowires and Cu damascene wires. Since the apex ofCNT tips is around 10 nm and the aspect ratio is so large, it is able to measure theresistance at nanoscale surface areas. The minimum probe spacing in the four-pointprobe resistance measurement was reduced to a few 10 nm, which is similar or lessthan the grain size of polycrystalline wires and even the carrier mean free path. Theresistance along the wires present here increased linearly with the measured length,meaning classical diffusive transport. But very recently, we have found quasi-ballistic transport in semiconducting FeSi2 nanowires at room temperature where thecarrier mean free path is much longer than that of the metallic wires. The details willbe reported elsewhere. In the case of Cu damascene wires, the resistivity increased asthe wire width decreased. This is due to the surface/interface scattering of carrier. Wehave evaluated the surface/interface scattering quantitatively to obtain the specu-larity factor in Fuchs-Sondheimer theory. At the very narrow probe spacing whichwas comparable to the grain size, the resistance jump due to a single grain boundarywas clearly observed. As demonstrated by the measurements presented here, thefour-tip STM with CNT tips is a very useful tool for transport physics at nanoscale aswell as industrial purposes.

Acknowledgments The present work was done in collaboration with UNISOKU Co., Ltd. inconstruction of the four-tip STM and Prof. M. Katayama in fabricating CNT tips. It was fullysupported by the SENTAN Program of the Japan Science and Technology Agency (JST), andalso by Grants-in-Aid for Scientific Research and A3 Foresight Program from the JapaneseSociety for the Promotion of Science (JSPS).

References

1. Kubo, O., Shingaya, Y., Nakayama, M., Aono, M., Nakayama, T.: Epitaxially grown WOxnanorod probes for sub-100 nm multiple-scanning-probe measurement. Appl. Phys. Lett. 88,254101 (2006)

2. Tsukamoto, S., Siu, B., Nakagiri, N.: Twin-probe scanning tunneling microcope. Rev. Sci.Instrum. 62, 1767 (1991)

3. Okamoto, H., Chen, D. M.: An ultrahigh vacuum dual-tip scanning tunneling microscopeoperating at 4.2 K. Rev. Sci. Instr. 72, 4398 (2001)

4. Watanabe, H., Manabe, C., Shigematsu, T., Shimizu, M.: Single molecule DNA device measuredwith triple-probe atomic force microscope. Appl. Phys. Lett. 78, 2928 (2001); 79, 2462 (2001)

5. Lin, X., He, X.B., Yang, T.Z., Guo, W., Shi, D.X., Gao, H.-J., Ma, D.D.D., Lee, S.T., Liu, F.,Xie, X.C.: Intrinsic current-voltage properties of nanowires with four-probe scanning tunnelingmicroscopy: A conductance transition of ZnO nanowire. Appl. Phys. Lett. 89, 043103 (2006)

6. Guise, O., Marbach, H., Yates Jr, J.T., Jung, M.-C., Levy, J.: Development and performanceof the nanoworkbench: A four tip STM for conductivity measurements down tosubmicrometer scales. Rev. Sci. Instr. 76, 045107 (2005)

7. Ishikawa, M., Yoshimura, M., Ueda, K.: Development of four-probe microscopy for electricconductivity measurement. Jpn. J. Appl. Phys. 44, 1502 (2005)

164 S. Hasegawa et al.

Page 20: Christian Joachim Editor - 東京大学

8. Takami, K., Akai-Kasaya, M., Saito, A., Aono, M., Kuwahara, Y.: Construction of independentlydriven double-tip scanning tunneling microscope. Jpn. J. Appl. Phys. 44, L120 (2005)

9. Grube, H., Harrison, B.C., Jia, J.F., Boland, J.J.: Stability, resolution, and tip–tip imaging bya dual-probe scanning tunneling microscope. Rev. Sci. Instr. 72, 4388 (2001)

10. Bannani, A., Bobisch, C.A., Möller, R.: Local potentiometry using a multiprobe scanningtunneling microscope. Rev. Sci. Instrum. 79, 083704 (2008)

11. Omicron Nano Technology GmbH (http://www.omicron.de/), MultiProbe, Inc (http://www.multiprobe.com/), Zyvex Co. (http://www.zyvex.com/)

12. Shiraki, I., Tanabe, F., Hobara, R., Nagao, T., Hasegawa, S.: Independently driven four-tipprobes for conductivity measurements in ultlahigh vacuum. Surf. Sci. 493, 633 (2001)

13. Hasegawa, S., Shiraki, I., Tanabe, F., Hobara, R.: Transport at surface nanostructuresmeasured by four-tip STM. Current Appl. Phys. 2, 465 (2002)

14. Hobara, R., Nagamura, N., Hasegawa, S., Matsuda, I., Yamamoto, Y., Ishikawa, K.,Nagamura, T.: Variable-temperature independently-driven four-tip scanning tunnelingmicroscope. Rev. Sci. Inst. 78, 053705 (2007)

15. Ikuno, T., Katayama, M., Kishida, M., Kamada, K., Murata, Y., Yasuda, T., Honda, S., Lee,J.-G., Mori, H., Oura, K.: Metal-coated carbon nanotube tip for scanning tunnelingmicroscope. Jpn. J. Appl. Phys. 43, L644 (2004)

16. Yoshimoto, S., Murata, Y., Hobara, R., Matsuda, I., Kishida, M., Konishi, H., Ikuno, T., Maeda,D., Yasuda, T., Honda, S., Okado, H., Oura, K., Katayama, M., Hasegawa, S.: Electricalcharacterization of metal-coated carbon-nanotube tips. Jpn. J. Appl. Phys. 44, L1563 (2005)

17. Konishi, H., Murata, Y., Wongwiriyapan, W., Kishida, M., Tomita, K., Motoyoshi, K.,Honda, S., Katayama, M., Yoshimoto, S., Kubo, K., Hobara, R., Matsuda, I., Ha-segawa, S.,Yoshimura, M., Lee, J.-G., Mori, H.: High-yield synthesis of conductive carbon nanotube tipsfor multiprobe scanning tunneling microscope. Rev. Sci. Instr. 78, 013703 (2007)

18. Kanagawa, T., Hobara, R., Matsuda, I., Tanikawa, T., Natori, A., Hasegawa, S.: Anisotropyin conductance of a quasi-one-dimensional metallic surface state measured by square micro-four-point probe method. Phys. Rev. Lett. 91, 036805 (2003)

19. Shingaya, Y., et al.: Carbon nanotube tip for scanning tunneling microscopy. Phys. B 323,153 (2002)

20. Ishikawa, M., et al.: Simultaneous measurement of topography and contact current by contactmode atomic force microscopy with carbon nanotube probe. Jpn. J. Appl. Phys. 41, 4908 (2002)

21. Ueda, K., Yoshimura, M., Nagamura, T.: A fabrication method tips for scanning probemicroscopes and its apparatus, Japan Patent 2004, No. 3557589

22. Tang, J., Gao, B., Geng, H., Velev, O.D., Qin, L.-C., Zhou, O.: Assembly of IDnanostructures into sub-micrometer diameter fibrils with controlled and variable length bydielectrophoresis. Adv. Mater. 15, 1352 (2003)

23. Yoshimoto, S., Murata, Y., Hobara, R., Matsuda, I., Kishida, M., Konishi, H., Ikuno, T., Maeda,D., Yasuda, T., Honda, S., Okado, H., Oura, K., Katayama, M., Hasegawa, S.: Four-point proberesistance measurements using ptIr-coated carbon nanotube tips. Nano Lett. 7, 956 (2007)

24. Murata, Y., Yoshimoto, S., Kishida, M., Maeda, D., Yasuda, T., Ikuno, T., Honda, S., Okado, H.,Hobara, R., Matsuda, I., Hasegawa, S., Oura, K., Katayama, M.: Exploiting metal coating ofcarbon nanotubes for scanning tunneling microscopy probes. Jpn. J. Appl. Phys. 44, 5336 (2005)

25. He, Z., Smith, D.J., Bennett, P.A.: Endotaxial silicide nanowires. Phys. Rev. Lett. 93, 256102 (2004)26. Okino, H., Matsuda, I., Hobara, R., Hosomura, Y., Hasegawa, S., Bennett, P.A.: In situ resistance

measurements of epitaxial cobalt silicide nanowires on Si(110). Appl. Phys. Lett. 86, 233108 (2005)27. Hensel, J.C., Tung, R.T., Poate, J.M., Unterwald, F.C.: Specular boundary scattering and

electrical transport in single-crystal thin films of CoSi2. Phys. Rev. Lett. 54, 1840 (1985)28. Allen, P.B., Schulz, W.W.: Bloch-Boltzmann analysis of electron transport in intermetallic

compounds - ReO3, BaPbO3, CoSi2, and Pd2Si. Phys. Rev. B 47, 14434 (1993)29. Kitaoka, Y., Tono, T., Yoshimoto, S., Hirahara, T., Hasegawa, S., Ohba, T.: Direct detection

of grain boundary scattering in damascene Cu wires by nanometer-scale four-point proberesistance measurements. Appl. Phys. Lett. 95, 052110 (2009)

Nanometer-Scale Four-Point Probe Resistance 165