[email protected] …...2017 年全球半導體廠商營收前十大排名 2017 rank 2016 rank vendor...

44
Innovations Enabling Semiconductor Roadmap Chee Wee Liu (劉致為) [email protected] http://www.nanosioe.ee.ntu.edu.tw High mobility /High K/ 3D x3D GDP similar to Philippine (1.2x) if semi dies 1

Upload: others

Post on 19-Mar-2020

6 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

Innovations Enabling Semiconductor Roadmap Chee Wee Liu (劉致為)

[email protected]

http://www.nanosioe.ee.ntu.edu.tw

High mobility/High K/ 3D x3DGDP similar to Philippine (1.2x) if semi dies

1

Page 2: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

2017 年全球半導體廠商營收前十大排名

2017 Rank

2016 Rank Vendor 2017 Revenue

2017 Market Share (%) 2016 Revenue

2016-2017 Growth (%)

1 2SamsungElectronics

61,215 14.6 40,104 52.6

2 1 Intel 57,712 13.8 54,091 6.7

3 4 SK Hynix 26,309 6.3 14,700 79.0

4 6MicronTechnology

23,062 5.5 12,950 78.1

5 3 Qualcomm 17,063 4.1 15,415 10.7

6 5 Broadcom 15,490 3.7 13,223 17.1

7 7TexasInstruments

13,806 3.3 11,901 16.0

8 8 Toshiba 12,813 3.1 9,918 29.2

9 17 Western Digital 9,181 2.2 4,170 120.2

10 9 NXP 8,651 2.1 9,306 -7.0

Others 174,418 41.6 157,736 10.6

Total Market 419,720 100.0 343,514 22

Source: Gartner (January 2018)

2

Page 3: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

3

Page 4: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

4

Page 5: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

2018

Technology

trend

AIIOT

Cloud and

Virtualization

Connectivity

Ubiquitous

video

Computer

vision

Robot and

Drone

Blockchain

Cloud AIOn-Device AI

Digital current : BITCOIN

5G wireless

Broadcast

Image sensorImage processing and analysis

The top eight transformative technologies for the global technology market in 2018, IHS Marktreport Ref: https://www.businesswire.com/news/home/20180104005040/en/IHS-Markit-Identifies-Top-Technology-Trends-2018

5

Page 6: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

Strained Si starting from 90 nm node

• Enabling technologies: high mobility, high-k/metal gate, 3D transistor 6

Page 7: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

7

Page 8: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

8

https://www.eetimes.com/document.asp?doc_id=1333244&print=yesTSMC’s Roadmap 5/2/2018

7nm: 2018/5 in volume production

7nm+:early 2019, using EUV lithography ramping

5nm:• To start risk production of a 5-nm node in the first half of 2019.

• To use EUV on multiple layers and 5-nm nodes.

• To start 5-nm production in 2020.

2nm and beyond:• Stacked nanowires or nanosheets

• Germanium channel with record-low contact resistance

• 2D back-end materials including molybdenum disulfide

• To enlarge copper grains to reduce resistance in interconnects.

• selective dielectric-on-dielectric deposition process to enable self-aligning of copper vias.

Page 9: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

Silicon Crystal Structure (Ge, SiGe, GeSn)

• Unit cell of silicon crystal is cubic.

• Each Si atom has 4 nearest neighbors.

• Si sp3

9

Page 10: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

Large Ion and small Vdd

•要馬兒好又要馬兒不吃草

10

Page 11: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

11

Page 12: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

12

Page 13: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

Power Saving : To Increase Mobility

13

Page 14: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

VDD(0.9V)VG

• SS ≥ 60 mV/decade for thermionic emission

• SS ≤ 60 mV/decade → NCFET , TFET

log ID

Large

SS

Small

SS

VDD(0.5

V)

Ion

SS (subthreshold slope) = inverse

of the slope in log ID vs VG plot

Delay = CV/Ion

Ioff

Reduce to Power (= CVDD2f) in transistor level small VDD

14

Page 15: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

• Intel co-founder Gorden Moore noticed in 1964

• Transistor density on a chip doubles per

generation 2x/generation

• Amazingly still correct, likely to keep until 20xx

Moore’s Law (scaled FETs)

MORE Than MOORE: Sensor/MEMS,

Analog, RF, …

15

Page 16: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

16

Strained Si at room temperature (90 nm)

Strained Si

M. H. Lee et al., IEDM, 69 (2003).

Mobility enhancement

Δ2

Δ4

The tensile strain lowers Δ2 valleys with low in-plane

effective mass.

More electron in high mobility Δ2 valleys

~2x enhancement

Control Si

Page 17: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

High mobility strained Si

SiGe relaxed buffer layer

To reduce Coulomb scattering:

1. No doping in the SiGe/Si/SiGe

heterostructure.

2. Thick SiGe barrier layer. To prevent remote

charged impurity scattering from gate stack

To reduce phonon scattering:

2DEG mobility is measured at 0.3K.

Ref: F. Schaffler et al., Semicond. Sci. Tech., 12, 1515 (1997).

2D electrons

µ~3.5x105cm2/V-s

Our approach

SiGe barrier layer

Si QW

modulation

doped layer

17

Page 18: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

18

SiGe relaxed buffer

SiGe barrier layer

SiGe graded buffer

Si QW

1μm200 nm

SiGe Graded Buffer Layers

Step graded buffer layer

• Dislocations confined in the compositionally graded

buffer layer.

Page 19: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

19

Quantum Hall Effect

Integral and fraction quantum Hall Effect can is observed in the 2DEG

structure.

1.6 M cm2/V-s

T. M. Lu et al., APL, 94, 182102 (2009).

Collaborate with Prof. D. C. Tsui, Princeton Univ.

Page 20: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

20

Record High 2DEG Mobility (2.4 M cm2/V s)

2.4 × 106 cm2/V s by the reduction of background

charges from 2.3 x 1014 cm-3 to 1.2 x 1014 cm-3.

0.0 5.0x1010

1.0x1011

1.5x1011

2.0x1011

2.5x1011

0.0

5.0x105

1.0x106

1.5x106

2.0x106

2.5x106

3.0x106

2D

EG

mo

bil

ity (

cm

2/V

s)

Electron density (cm-2)

20% enhancement

Background charges: 1.2 x 1014 cm-3

Background charges: 2.3 x 1014 cm-3

M. Yu. Melnikov et al., APL, 106, 092102 (2015).

Collaborate with Prof. S. V. Kravchenko, Northeastern Univ.

Page 21: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

• SiGe/Ge has higher mobility than Si

• GeSn mobility is not known!

MRS Bulletin Aug. 2014

21

Page 22: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

SiGe channel PFET using Si cap

Si

Si0.2Ge0.8

Si cap

•Si cap for high K/metal gate•3x mobility enhancement C.Y. Peng APL 2007, ROC patent 2006

0.10 0.15 0.20 0.25 0.300

100

200

300

400

500

600 Universal Moblity

Bulk Si SB PFET

-Ge SB PFET

3x

Eff

ec

tiv

e h

ole

mo

bil

ity

(c

m2/V

s)

Effective electric field (MV/cm)

22

Page 23: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

23Ref: Toward Quantum FinFET pp 55-79

• A substantial improvement of 70–85 % with SiGe fins is noticed at high carrier density of 1013 cm−2.

Strained Si0.75Ge0.25 channel pFET

Page 24: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

24

Page 25: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

25

• k ↑, Cox, inv ↑, Ion ↑

• k ↑, thigh-k ↑, leakage ↓

Effects of High-k

Page 26: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

26

Page 27: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

3D Transistors to Reduce SS

• Current can NOT go through at Vgs=0V.

• SS approaches 60 mV/dec (70 mV in real devices)

Source: Intel

Planar FETs

ref: C.Hu, “Modern Semicon. Devices for ICs” 2010, Pearson

3D transistors

27

Page 28: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

Slide 7-28

Variations of FinFET

Nanowire

FinFET

Short

FinFETTall FinFET

• Tall FinFET has the advantage of providing a large W and therefore large Ion while occupying a small footprint.

• Short FinFET has the advantage of less challenging lithography and etching.

• Nanowire FinFET gives the gate even more control over the silicon wireby surrounding it.

Page 29: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

• S/D: -high doping-low parasitic resistance Optimization for in-situ doped GeSn S/D and

PtGeSn/PtGe formation to decrease parasitic resistance are achieved.

• Epi-strain engineering: fully compressive strain for stacked GeSn channels-defect and dislocation: defect confinement at Ge/SOI interface-inter-channel uniformity: sacrificial layers design • Channel release

-etching selectivity: H2O2 etching -Inter-channel uniformity & line edge roughness: sacrificial layers etching with ultrasonic assist technique-effective mass & strain after channel release:biaxial to uniaxial strain & microbridge effect

• Low thermal budget gate stacks-low Dit of IL, high-k material, and low dispersion: TiN/ZrO2/Al2O3+RTO with 400oC thermal budget

Beyond FinFET: stacked GAA

29

Page 30: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

Process flow CVD epitaxy on 200mm SOI Fin formation by E-beam patterning

and anisotropic etching (pure Cl2 or HBr )

Channel release (H2O2(wet)) along <110>

Gate dielectric and in-situ electrode formation (ALD @ 250oC)Al2O3+RTO 400oC +ZrO2 + in-situ TiN

Gate stack annealing (FGA 400oC 10min)

Gate metal pad (TiN), S/D contact formation by sputtering (Pt), and PMA at 400oC

30

Device fabrication

Page 31: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

31

Stacked 3 channels GeSn JL pGAAFETs with optimum S/D

• Parasitic resistance is reduced by optimum S/D. Ion=1975mA/mm at VOV=VDS=-1V and Ion=554mA/mm at VOV=VDS=-0.5V with 3 channels.

-1.0 -0.5 0.00

200

400

600

800

1000

1200

1400

1600

1800

2000

2200

at VOV=VDS

=-1V

I D(m

A/m

m)

Ion

=1975 mA/ mm

VDS(V)

VOV = 0~-1V

step=-0.25 V

-2 -1 0 110

-4

10-3

10-2

10-1

100

101

102

103

104

105

Ion/Ioff~5.0x103

3 channels

LCH

=60nm

JL GeSn

I D(m

A/m

m)

VDS

= -0.5V

VDS

= -0.05V

Ion/Ioff~7.1x104

VGS

(V)

SS=108mV/dec

50nm 0 50 100 150 200 2500

200

400

600

800

1000

1200

1400

1600

1800

2000

2200optimum etching 3 wires [Sn]=7% 133 21

~3.3X

optimum etching 2 wires 133 21

IM 1 channel

[Sn]=6%non optimum etching

2 wires, [Sn]=6%

JL 1 channel

I on p

er

ch

an

ne

l w

idth

(mA

/mm

)

LCH

(nm)

optimum etching 2 wires 133 21non optimum S/D [Sn]=10%

Vov=Vds=-1V -2 -1 0 1

10-4

10-3

10-2

10-1

100

101

102

103

104

105

Ion/Ioff~5.0x103

3 channels

LCH

=60nm

JL GeSn

I D(m

A/m

m)

VDS

= -0.5V

VDS

= -0.05V

Ion/Ioff~7.1x104

VGS

(V)

SS=108mV/dec

-3 -2 -1 0 1 2

1E-14

1E-13

1E-12

1E-11

1E-10

1E-9

1E-8

1E-7

1E-6

1E-5

B

A

B

D

-3 -2 -1 0 1 2

1E-14

1E-13

1E-12

1E-11

1E-10

1E-9

1E-8

1E-7

1E-6

1E-5

B

A

B

D

-2 -1 0 110

-4

10-3

10-2

10-1

100

101

102

103

104

105

Ion/Ioff~5.0x103

3 channels

LCH

=60nm

JL GeSn

I D(m

A/m

m)

VDS

= -0.5V

VDS

= -0.05V

Ion/Ioff~7.1x104

VGS

(V)

SS=108mV/dec

Page 32: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

Source: Applied Materials p.32

Page 33: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

3 D NAND Flash

p.33Source: Western Digital, DevelopEX 2017

SGD: select gate at the drain end

SGS: select gate at the source end

Page 34: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

Why 3-D IC

p.34

• P = NI/O↑C↓ f↓VDD2

Less power consumption

• Hetero-integration

More than Moore

Cost effective

• NI/O ↑ + Vertical Interconnect

Higher bandwidth

Size reduction

Lower RC delay

Page 35: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

Hybrid Memory Cube

p.35Ref:2017 VLSI SC

Page 36: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

High Bandwidth Memory

p.36

Source: SK Hynix

•HBM 2.5D, memory dies

packaged TOGETHER with

processor and connected

through silicon interposer.

• Stacked DRAM dies,

connected by TSVs

• Size reduction vs GDDR5

Page 37: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

High Density Memory System with HBM on Si Interposer

p.37Ref:2017 VLSI SC

Page 38: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

Hetero-integration

p.38

Ref:2014 IEDM SC

• 3D-IC is the promising technology for

heterogeneous integration such as RF,

photonics, memories, and logics

Page 39: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

DRAM on Application Processor

p.39

Source: TSMC

• Vertical interconnects in

molding compound (TIV)

• Stacked DRAM dies on

application processor (AP)

• No TSV, no additional substrate

Low cost

Page 40: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

Emerging memory (source: IEEE Solid

State circuit Mag. Col.8 no.43, 2016))

40

Page 41: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

Phase change memory (PCM)

• Large change in resistance > 100X

• But high programming current (~0.1 mA)

IEDM Tutorial, 2017

Kolobov et al., Nature Materials, 2004

Lee et al., Nature Nano, 2007

Chen and Pop, TED, 2009

Phase change induced by Joule heat

Page 42: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

Resistive RAM (RRAM)

• Metal-insulator-metal (MIM) geometry, “I” = e.g. HfO2

• The conducting filament is formed by oxygen vacancies or metal precipitates

Samsung 8 Gb PCM 20 nm w/

integrated diode (IEDM, 2011)

H.-S.P. Wong et al., Proc. IEEE 100, 1951 (2012)

Page 43: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

Spin-transfer torque MRAM (STT-MRAM)

• Magnetic tunnel junctions (MTJ): Two ferromagnetic layers (CoFeB) sandwich a tunnel barrier (MgO).

• The spin polarized current can switch the magnetization of free layers by spin-transfer torque.

FL

MgO

Bit line (BL)

Word line

(WL)

Source line (SL)

MgO

Pinned

layer

Parallel state (P) Anti-parallel state (AP)

MgO

Free layer

Select transistor

Tunnel magnetoresistance (TMR)

= (RAP-RP)/RP x100% = 100%~200%

Magnetization

Perpendicular magnetic anisotropy

(PMA)

PL

Page 44: cliu@ntu.edu.tw …...2017 年全球半導體廠商營收前十大排名 2017 Rank 2016 Rank Vendor 2017 Revenue 2017 Market Share (%) 2016 Revenue 2016-2017 Growth (%) 1 2 Samsung

SRAM

• SRAM bit cell area scaling is enabled by

Innovations in process technology

Design solutions of read and write assist techniques

IEDM Short course, 2017

J. Chang et al., VLSI Symp. T2-2, 2017