control of reactive plasmas for low-k/cu integration

22
Control of reactive plasmas for low-k/Cu integration Tetsuya Tatsumi * STDD, Semiconductor Business Group, Sony Corporation, 4-14-1 Asahi-cho, Atsugi-shi, Kanagawa 243-0014, Japan Available online 12 February 2007 Abstract We proposed models for controlling surface reactions during etching of SiOCH and organic material. The etch rate of each material can be determined by the balance between the total atom fluxes of O, C, F, N, and H that were supplied from both the plasma and the etched material to the reactive layer. Low-k films (SiOCH, porous SiOCH, and organic material) have narrow process windows for obtaining good etching properties, such as selectivity, because the polymer and reactive layers on these films can be changed by only slight changes in the plasma parameters. Therefore, the partial pressure and dissociation of parent gas molecules in fluorocarbon or N–H plasma as well as plasma–wall interaction must be controlled. To create highly reliable interconnects, the interfaces between the metal and low-k must be optimized during the etching of stopper material and ashing. The surface of Cu is very reactive, the remaining F induces degradation of Cu. SiOCH can easily be oxidized during ashing processes, and the adsorption of H 2 O on damaged SiOCH causes interconnect failure during electrical tests. To suppress problems in the etching and ashing processes, the balance of the total atom fluxes should be quantitatively and instantaneously controlled to the optimum point for each material. # 2007 Elsevier B.V. All rights reserved. Keywords: Plasma; Dry etching; Low-k; SiOCH; Cu; Damage 1. Introduction In recent years, low-k dielectric films have been widely used in high speed and low power consumption CMOS devices. Historically, as a dielectric material, SiO 2 , which has a unique structure (atom ratio of Si:O = 1:2 and film density of 2.4 g/cm 3 ), has been used because it has excellent thermal and mechanical stability and is easy to fabricate. A huge database of SiO 2 etching processes and knowledge of the controllability of fluorocarbon plasmas in various dry etching systems has been accumulated. Low-k films, such as SiOCHs [1–4], however, have C and H (such as methyl groups –CH 3 ) in the film network and have a relatively lower film density than SiO 2 to create a lower dielectric constant. Furthermore, many kinds of ‘‘SiOCH’’ exist with different film composi- tions and film densities that depend on the fabrication method (spun-on or chemical vapor deposition (CVD)), precursor of the films (gas chemistry, etc.) or curing method (thermal, electron beam (EB, ultra-violet photon (UV), etc.). Conse- quently, the etching properties of each SiOCH film are different from SiO 2 . Dry etching plasma must be frequently adjusted to the optimum condition to have good etching results. This means that etching processes must be developed or modified for each device, each interconnect structure, and each material. As a low-k material, organic polymer is also used, which does not include Si in the film [5–7]. In the process for etching of C-polymer, N–H based plasma is used to control the etched profile. Organic materials are also used as multi-stacked resist masks, and strict control of the critical dimensions is required. Using this ‘‘halogen-less’’ plasma during the fabrication of multi-stacked structures makes plasma control more difficult. The walls in a dry etching chamber can be changed step-by-step by exposure to different plasmas. The mechanisms of plasma processes for various materials and structures should be systematically analyzed. We studied the controllability of plasma and modeled a surface reaction for each low-k material (SiOCH and organic material) to clarify the difference between SiCO 2 etching and low-k etching. The change in the surface or interface should be optimized to create a reliable interconnect. Therefore, we also determined the change in low-k surfaces and their effects on interconnect properties. www.elsevier.com/locate/apsusc Applied Surface Science 253 (2007) 6716–6737 * Tel.: +81 46 230 6568; fax: +81 46 230 5400. E-mail address: [email protected]. 0169-4332/$ – see front matter # 2007 Elsevier B.V. All rights reserved. doi:10.1016/j.apsusc.2007.02.008

Upload: tetsuya-tatsumi

Post on 21-Jun-2016

220 views

Category:

Documents


4 download

TRANSCRIPT

Page 1: Control of reactive plasmas for low-k/Cu integration

www.elsevier.com/locate/apsusc

Applied Surface Science 253 (2007) 6716–6737

Control of reactive plasmas for low-k/Cu integration

Tetsuya Tatsumi *

STDD, Semiconductor Business Group, Sony Corporation, 4-14-1 Asahi-cho, Atsugi-shi, Kanagawa 243-0014, Japan

Available online 12 February 2007

Abstract

We proposed models for controlling surface reactions during etching of SiOCH and organic material. The etch rate of each material can be

determined by the balance between the total atom fluxes of O, C, F, N, and H that were supplied from both the plasma and the etched material to the

reactive layer. Low-k films (SiOCH, porous SiOCH, and organic material) have narrow process windows for obtaining good etching properties,

such as selectivity, because the polymer and reactive layers on these films can be changed by only slight changes in the plasma parameters.

Therefore, the partial pressure and dissociation of parent gas molecules in fluorocarbon or N–H plasma as well as plasma–wall interaction must be

controlled. To create highly reliable interconnects, the interfaces between the metal and low-k must be optimized during the etching of stopper

material and ashing. The surface of Cu is very reactive, the remaining F induces degradation of Cu. SiOCH can easily be oxidized during ashing

processes, and the adsorption of H2O on damaged SiOCH causes interconnect failure during electrical tests. To suppress problems in the etching

and ashing processes, the balance of the total atom fluxes should be quantitatively and instantaneously controlled to the optimum point for each

material.

# 2007 Elsevier B.V. All rights reserved.

Keywords: Plasma; Dry etching; Low-k; SiOCH; Cu; Damage

1. Introduction

In recent years, low-k dielectric films have been widely

used in high speed and low power consumption CMOS

devices. Historically, as a dielectric material, SiO2, which has

a unique structure (atom ratio of Si:O = 1:2 and film density

of 2.4 g/cm3), has been used because it has excellent thermal

and mechanical stability and is easy to fabricate. A huge

database of SiO2 etching processes and knowledge of the

controllability of fluorocarbon plasmas in various dry etching

systems has been accumulated. Low-k films, such as SiOCHs

[1–4], however, have C and H (such as methyl groups –CH3)

in the film network and have a relatively lower film density

than SiO2 to create a lower dielectric constant. Furthermore,

many kinds of ‘‘SiOCH’’ exist with different film composi-

tions and film densities that depend on the fabrication method

(spun-on or chemical vapor deposition (CVD)), precursor of

the films (gas chemistry, etc.) or curing method (thermal,

electron beam (EB, ultra-violet photon (UV), etc.). Conse-

* Tel.: +81 46 230 6568; fax: +81 46 230 5400.

E-mail address: [email protected].

0169-4332/$ – see front matter # 2007 Elsevier B.V. All rights reserved.

doi:10.1016/j.apsusc.2007.02.008

quently, the etching properties of each SiOCH film are

different from SiO2. Dry etching plasma must be frequently

adjusted to the optimum condition to have good etching

results. This means that etching processes must be developed

or modified for each device, each interconnect structure, and

each material. As a low-k material, organic polymer is also

used, which does not include Si in the film [5–7]. In the

process for etching of C-polymer, N–H based plasma is used

to control the etched profile. Organic materials are also used

as multi-stacked resist masks, and strict control of the critical

dimensions is required. Using this ‘‘halogen-less’’ plasma

during the fabrication of multi-stacked structures makes

plasma control more difficult. The walls in a dry etching

chamber can be changed step-by-step by exposure to different

plasmas. The mechanisms of plasma processes for various

materials and structures should be systematically analyzed.

We studied the controllability of plasma and modeled a

surface reaction for each low-k material (SiOCH and organic

material) to clarify the difference between SiCO2 etching and

low-k etching. The change in the surface or interface should be

optimized to create a reliable interconnect. Therefore, we also

determined the change in low-k surfaces and their effects on

interconnect properties.

Page 2: Control of reactive plasmas for low-k/Cu integration

T. Tatsumi / Applied Surface Science 253 (2007) 6716–6737 6717

2. Etching of SiOCH films

Starting with 90 nm node devices, low-k film was applied to

the manufacturing of logic devices [8–11]. This manufacturing

faced many problems, such as etch stop, surface roughness, or

non-uniformity of etched profiles induced by using low-k

material. A typical process flow is shown in Fig. 1, specifically

how to fabricate dual damascene interconnects. First, a resist

pattern for a via hole was formed on the stacked structure of

SiO2/SiOCH (Fig. 1a). During etching of the SiOCH hole

pattern, high selectivity to SiC is required to reduce the loss of

the bottom stopper material (Fig. 1b). Next, the via hole is filled

with organic polymer, and a resist mask for trench pattern is

formed on the SiO2 layer (Fig. 1c). After that, the SiO2/SiOCH

trench is etched (Fig. 1d), the resist mask is removed by ashing

plasma (Fig. 1e), and the SiC stopper layer is finally etched

(Fig. 1f). Higashi et al. reported [8] the process technologies for

mass production of homogeneous interconnect structures using

Cu wiring with SiOCH as an inter-layer dielectric (ILD)

material. They pointed out that precise control of the etched

profile as well as damage (see Section 4) reduction during

etching and/or ashing are required to create a highly reliable

interconnect.

The process window for selective etching of SiOCH/SiC

structures is very narrow [12,13]. Slight fluctuations of the

plasma or the film condition can induce etch stopping, residue,

or changes in the bottom or top diameter of via holes. To clarify

the way to optimize such processes, understanding the

difference between the mechanisms of SiO2 etching and

SiOCH etching is very important. In general, fluorocarbon

(C–F) plasmas (such as C4F8/Ar/O2, CF4/Ar/O2, etc.) are used

for the etching of SiOCH materials. C–F plasmas have been

widely used for SiO2 etching of high aspect ratio contact holes

[14–16]. In this section, we will describe the controllability of

C–F plasma (Section 2.1), incident fluxes and surface reactions

on SiOCH (Section 2.2), and a model for controlling the

surface reactions on SiOCH and porous SiOCH materials

(Section 2.3).

Fig. 1. Process flow of via first dual damascene interconnect: (a) resist mask for via; (

(f) stopper etching.

2.1. Control of fluorocarbon plasma

2.1.1. Dissociation of fluorocarbon molecules

Fluorocarbon plasma, which is used for SiOCH etching, is

basically similar to that used in SiO2 etching. To generate the

reactive species, such as CF2 radicals, the parent fluorocarbon

molecules (C4F8, C4F6, etc.) must be dissociated by multiple

collisions with energetic electrons (Fig. 2). The amount of CFx

species is determined by the partial pressure of the parent

molecules, and the dissociation of this molecule is determined

by the balance between the generation and loss of each species

in steady state plasma. The density of the CF2 radical can be

determined by the following equation (of course, as dissociated

species, many other radicals or ions (C3F5, C2F4, CF3, CF, F,

and etc.) can be also generated [17]. However, to simplify the

understanding of gas phase reactions, we here use CF2 for all

the reactive species because the density of CF2 was generally

much larger than the other radical species in actual etching

plasma for contact hole etching):

d½CF2�dt

¼ ½C4F8�nehsvi � ½CF2�tpump

� ½CF2�twall

¼ 0 ðsteady-stateÞ

(2.1.1)

where [C4F8] is the density of C4F8 that depends on the partial

pressure of C4F8 gas, [CF2] the CF2 radical density, ne the

electron density, s the collision cross-section for dissociation,

and v is the electron velocity, tpump and twall are time constants

for the loss rate of CF2 radical by pumping out and by sticking

on walls, respectively. hsvi means integrated value of s multi-

plied by v from threshold energy (eth) for s to infinity as shown

in following equation ( f(e) is the distribution function):

hsvi ¼Z 1eth

sðeÞv f ðeÞ de (2.1.2)

tpump and twall can be described as follows:

tpump ¼PV

Q(2.1.3)

b) via etching; (c) resist mask for trench; (d) trench etching; (e) removal of resist;

Page 3: Control of reactive plasmas for low-k/Cu integration

Fig. 2. Dissociation of fluorocarbon molecules by multiple collisions with electrons (after multiple impacts with energetic electrons, the amount of radicals and/or

ions with smaller molecular weights increased while the amount of undissociated parent molecules decreased).

T. Tatsumi / Applied Surface Science 253 (2007) 6716–67376718

and

twall ¼S

V

2s

2� s� 1

4½CF2�

ffiffiffiffiffiffiffiffi8kT

pM

r(2.1.4)

where P, V, Q, and S are the gas pressure, plasma volume, total

flow rate, and surface area, respectively. s is the reaction

probability and is considered to depend on the surface tem-

perature and the energy of the incident ion on the wall surface,

k, T, and M are the Boltzmann constant, the radical temperature,

and the mass of the radicals, respectively.

To evaluate the controllability of reactive species, we used a

capacitively coupled plasma (CCP) system for the etching of

dielectric materials. In general, CCP systems have a relatively

small plasma volume (V) and generally use a very high total

flow rate of an Ar diluted gas mixture (Q) and a relatively lower

pressure of several tens mTorr (P) [18,19]. Therefore, tpump is

considered to be smaller than twall. Morishita pointed out that

when the gas residence time was less than 10 ms, most CFx

radicals are pumped out, and, consequently, a term for sticking-

to-wall has little effect on the radical composition in the plasma

[20]. Typical conditions we used were V = 1000 cm3,

Q = 420 sccm, and P = 30 mTorr, and tpump was calculated

to be about 6 ms. Under such short residence time conditions

Fig. 3. Model for dissociation control (the dissociation degree of a C–F molecule is r

controlled by varying the power, pressure, total flow, electron temperature, and pl

(tpump� twall), the total amount of CFx species (which can be

related to the dissociation degree of parent molecules) can be

estimated by the number of collisions with electrons (j, see

Fig. 3):

j ¼ tpumpnehsvi (2.1.5)

For example, we varied the electron density by changing the

RF power from 400 to 2000 W (ne = 0.5–1.5 � 1011 cm�3), the

total gas flow rate (Q = 100–600 sccm), the gas pressure

(P = 20–100 mTorr), and the gap width (V = 18–26 mm), and

measured the dissociation degree of C4F8 using a quadrupole

mass spectrometer (QMS) [20–23]. Regardless of which

parameter was changed, the dissociation degree of C4F8 in

dual frequency (27/0.8 MHz) increased monotonically with

increasing j, as shown in Fig. 4. The absolute densities of

radicals also were evaluated using various in situ measurement

tools (infrared laser absorption spectroscopy (IRLAS) [24–26]

and achtinometry [27–29]). The densities of CF, CF2, CF3, and

F as a function of power (plasma density) and flow rate

(residence time) are shown in Fig. 5. The relative amount of

smaller molecules, especially the atomic F radical, was

remarkably increased with increasing ne or tpump. By changing

the gap width of the etching system, the electron energy

elated to the number of collisions with electrons (j = tpumpnehsvi) which can be

asma volume).

Page 4: Control of reactive plasmas for low-k/Cu integration

Fig. 4. Dissociation degree of C4F8 molecule in C4F8/Ar/O2 plasma as function

of number of collisions (the power, flow rate, gap width, and gas pressure were

varied in the same chamber).

Fig. 5. Radical densities as functions of (a) plasma density and (b) total flow

rate (gas residence time) (an increase in the atomic density of F under high

power or long residence time conditions increases the dissociation of parent

molecules).

T. Tatsumi / Applied Surface Science 253 (2007) 6716–6737 6719

distribution function (EEDF) was also changed. Kinoshita

reported that when the electron temperature in an ultra high

frequency (UHF) plasma source was varied by changing the gap

width, the dissociation of fluorocarbon molecules was

promoted because hsvi was increased [30]. As shown in

Fig. 6, when hsvi was larger, the relative atomic F density in

C4F8/Ar/He/O2 plasma was increased. The EEDF also can be

changed by changing the plasma source, the gas pressure, or the

ratio of the etching gas flow rate [31,32]. The total amount of

reactive species from both the partial pressure of parent

molecule, which can be quantitatively estimated from both the

gas composition (flow rate ratio) and the pressure P, and the

dissociation degree, which depends on number of electron

collisions j, can be controlled.

2.1.2. Plasma–wall interaction

As discussed in Section 2.1.1, the amount of CFx radicals

depends on the dissociation of fluorocarbon parent molecules.

Moreover, when the wall is actively controlled, the composition

of reactive species (F/C ratio) can be controlled (see Fig. 7). To

reduce the concentration of F radicals, which reduce the

selectivity to mask or underlying materials, most CCP reactors

use Si as a top electrode to enhance the reaction based on

following reaction:

SiðwallÞ þ 4F! SiF4: (2.1.7)

According to Eq. (2.1.2), the effect of the plasma–wall

interaction becomes larger when twall is relatively small and

twall is large. By increasing the energy of incident ions to the Si

wall, the reaction probability s in (2.1.4) strongly increases. An

example of the effect of increasing ion energy in a parallel plate

etching system is shown in Fig. 8. In this experiment, two

frequencies of 500/13 MHz were applied to a top electrode.

500-MHz power was used for plasma generation and 13-MHz

power changed the incident ion energy on the Si top electrode.

Using this system, the plasma density (dissociation of C4F8)

and ion energy to the Si wall (plasma–wall reaction) were

independently controlled. When the Vdc on top Si electrode was

high, a remarkable decrease in the F radical density in the C4F8/

Ar plasma was observed. The potential of chamber walls also

can be used to control the composition of reactive species in

fluorocarbon plasma.

2.1.3. Control of incident fluxes

The total amount of CFx species is related to the partial

pressure of parent molecules and dissociation by collision with

electrons. Some of the reactive species also react with chamber

walls, and the absolute densities of radicals or ions have been

determined in steady state plasma. These reactive species are

carried onto wafer surfaces to react with etched materials, as

shown in Fig. 9. The amount and composition of reactive

species depend on the etching system or process conditions.

Internal plasma parameters, such as the plasma density, the

radical density, and the ion energy, must be quantitatively

Page 5: Control of reactive plasmas for low-k/Cu integration

Fig. 6. Radical densities as function of electron temperature in UHF plasma

system (an increase in the number of high energy electrons in the narrow gap

chamber also increased the dissociation of molecules).

Fig. 8. Radical densities as function of ion energy at top plate of parallel plate

type UHF-ECR reactor (F can react on Si walls under ion bombardment).

T. Tatsumi / Applied Surface Science 253 (2007) 6716–67376720

adjusted to optimum conditions by controlling the recipe,

which is a set of external parameters. However, the external

parameter, such as the power, the pressure, and the flow rate, do

not have a one-to-one correspondence with internal parameters,

such as plasma density or electron temperature, because

internal plasma parameters also depend on the configuration of

the etching system or discharge mechanisms for each plasma

source, etc. Therefore, even when using the same power

conditions, the plasma density is different in different etching

systems. To promote a quantitative understanding of our

processes, the relationship between external parameters and

Fig. 7. Plasma surface interactions (the wall can be either a sink or a source of

reactive species).

each value of t, ne, and hsvi, as well as their spatial

distributions in the plasma chamber, must be clarified. In situ

monitoring or prediction of reactive species in a dry etching

system is expected.

Fig. 9. Reactions in plasma (the generation of reactive species depends on gas

phase reactions, such as electron impact dissociation, plasma–wall interactions,

or pumping. When the same amounts and energies of reactive species (radicals

and ions) are supplied to an etched surface, the surface reaction on the etched

surface must be the same).

Page 6: Control of reactive plasmas for low-k/Cu integration

T. Tatsumi / Applied Surface Science 253 (2007) 6716–6737 6721

2.2. Surface reaction of SiOCH etching

Next, we will explain the relationship between incident

reactive species and etching reactions. The reaction on etched

surfaces is the same when the same amount of reactive species,

such as CF2 or CF+ ions and ion energy, are supplied on the

surface. We determined the reaction of these species on the

surfaces of various materials.

2.2.1. Total atom flux

The etching rate of SiO2 or SiOCH is determined by the rate

of an ion-assisted reaction of incident F atoms with Si atoms in

films. F is supplied to the etched surface with C atoms in the

form of CFx radicals and/or ions. These CFx radicals are

dissociated from the parent molecules (C4F8, etc.) through

multiple collisions with energetic electrons, as mentioned in

Section 2.1. Next, to clarify the relationship between plasma

and surface reactions, we determined the total amount of

Fig. 10. Etch rate of SiO2 as functions of incident fluxes: (a) CF+; (b) CF2; (c)

1.8 � 1011 cm�3, the ion energy was 1450 V, and the thickness of the surface polym

incident species (e)).

incident reactive species as well as the ion energy supplied to

the etched surface.

As determined in a study on SiO2 etching, the etch rate of

SiO2 depends on the total amount of F included in all the CFx

species dissociated or ionized from parent molecules [32,33].

The etch rate of SiO2 as functions of various incident species,

which are quantitatively measured under various gas conditions

(the total ion flux, ion energy, and thickness of the surface

polymer (<1 nm) were kept constant), is shown in Fig. 10. The

etch rate did not depend on a single incident radical or ion (F,

CF, CF2, CF3+, etc.). When we calculated the total amount of F

included in F, CF, CF2, and CF3 radicals, a clear relationship

can be seen between the total F flux and the etch rates, as shown

in Fig. 10e. This result suggests that ANY of the incident

radicals or ions can be etchants of SiO2. In this experiment, the

amount of the total F from C–F radical species was calculated

from radical fluxes because we used the conditions where the

radical density (on the order of 1013 cm�3) was much larger

than the ion density (1011 cm�3). When we used high-density

CF3+; (d) F; (e) total F included in all CHx species (the plasma density was

er was <1 nm. The etch rate depended on the total amount of F included in all

Page 7: Control of reactive plasmas for low-k/Cu integration

Fig. 12. CF, CF2, and CF3 radical densities as function of C4F8 partial pressure

in C4F8/Ar/O2(N2) plasma (the dissociation degree of C4F8 (96%), ion energy

(1400 V), and plasma density (1.8 � 1011 cm�3) were kept constant. The

radical densities linearly increased with the partial pressure of the parent

molecule).

T. Tatsumi / Applied Surface Science 253 (2007) 6716–67376722

and low-pressure plasma, we also needed to be take the ionic

species into account in the calculation of the total F. The radical

flux can be roughly expressed using the following equations:

G F-total�X

x

2s

2� sG CFx � xffi

Xx

s� 1

4NCFx vCFx x; ðs� 1Þ

(2.2.1)

and

G C-total G F-total

a

b(2.2.2)

where NCFx and vCFx are the densities and thermal velocities of

the radicals (F, CF, CF2, and CF3), and a and b are the numbers

of C and F atoms in a parent-gas molecule (CaFb). For example,

when C4F8 gas was used, a and b were 4 and 8, respectively, s

was the surface reaction probability of reactive species, which

depended on the ion energy. The s under ion energy of 1500 V

was about 0.1, as shown in Fig. 11. As described above, the total

amount of reactive species should be controlled to evaluate the

surface reaction during the etching of low-k materials. An

example of incident fluxes as a function of the C4F8 flow rate

in C4F8/Ar/O2(N2) plasma in a dual frequency (60/2 MHz) CCP

system is shown in Fig. 12. We kept the dissociation ratio of

C4F8 constant at 96%, the pressure at 30 mTorr, and the plasma

density at 1.1 � 1011 cm�3. CF, CF2, and CF3 radical were

measured using IRLAS and were linearly increased with the

C4F8 flow rate. Similarly, the density and incident fluxes of the

total amount of O and N could also defined by their densities,

thermal velocities, and reaction probabilities. The dissociation

degree of O2 (or N2) molecules was also monitored, and we

confirmed the total amount or O (or N) radicals was almost

constant. The dissociation degree of N2 was generally lower

(about 1/20) than that of O2 because of the smaller dissociation

collision cross-section. In this way, we estimated the total

numbers of each type of atom (F, C, O, and N) introduced

to the steady state etched surface per unit time and per unit area.

Using this quantitatively known plasma, we evaluated the

etched surfaces of SiO2 and SiOCH films.

2.2.2. Control of surface polymer

We studied the surface reaction of SiOCH using both the

incident fluxes from the plasma and the outflux from the

Fig. 11. Surface reaction probability as function of ion energy (an increa

SiOCH. We calculated the outflux (number of atoms (Si, O, C,

and H) released from etched surface) using the etch rate, the

film density, and the atomic composition of SiOCHs. Using

both fluxes, the surface reaction on SiOCH is described as

follows.

The etched surface on SiO2 and SiOCH has basically two

layers (Fig. 13). One is the reactive layer where ion energy was

deposited and incident F can react with Si in SiOCH films

because energetic ions break the bonds in the SiOCH network

resulting higher reactivity. Above the reactive layer, a C–F

polymer exists in a steady state surface [34–36]. The thickness

of this polymer (TC–F) is determined by the balance between the

total C and the total removal ability (specifically, chemical

reactions such as C + O! CO, C + N + H! HCN)

[32,33,37]. As shown in Fig. 12, we varied the total number

of incident CFx fluxes by increasing the C4F8 flow rates in the

C4F8/Ar/N2 plasma. The steady state thickness of the C–F

polymer (TC–F) on the SiOCH surface was changed as follows.

(I) T

se in

C–F < 1 nm (!high etch rate)

(II) 1

< TC–F < 4 nm (!unstable/residue)

(III) T

C–F > 4 nm (!low etch rate)

ion energy strongly increases the reactivity of radical species).

Page 8: Control of reactive plasmas for low-k/Cu integration

Fig. 13. Surface reaction model for SiOCH etching (the surface consisted of two layers (a polymer layer and a reactive layer). The thickness of the polymer TC–F was

determined by the balance between the total atom fluxes supplied from both the plasma (incident flux) and the etched material (out flux)).

T. Tatsumi / Applied Surface Science 253 (2007) 6716–6737 6723

When TC–F was less than 1 nm, the SiOCH etch rate

increased (I), reached a peak, and then decreased (II) to a

constant value (III). In region II, we observed the fluctuation of

the etch rate and residue on the etched surface. A significant

change in both the etch rate and TC–F can be seen at around the

peak, called a ‘‘critical point’’ (Pc, see Fig. 14a), which is a

condition between I and II [38,39].

Fig. 14. (a) Etch rate of SiOCH, SiO2, and resist as function of C4F8 flow rate;

(b) SEM and TEM photographs of surface of etched SiOCH. Ru (in TEM

image) was used as a marker to clarify the top surface of C–F polymer. The

surface reaction can be classified into three conditions (I: thin polymer and high

etch rate, II: unstable, III: thick polymer and low etch rate).

To understand these change in the etch rate and the surface

polymer, the total atom fluxes of F, C, N, and O were estimated,

as shown in Fig. 15. The position of Pc (in Fig. 14a) is related to

the condition where the incident total C was equal the C-

removal ability of O (from SiOCH) and N (from plasma). When

the C4F8 flow rate was lower than Pc, the incident C were

immediately removed by the chemical reaction with O or N,

and all of the incident F reacted with the Si in SiOCH

effectively. However, when the C4F8 flow rate was larger than

Pc, the thickness of the C–F polymer increased. As the thick C–

F polymer decreased the ion energy deposited on the reactive

layer, the etch rate remarkably decreased under conditions II

and III. The 4-nm thick polymer decreased the ion energy by

about 800 V, as shown in Fig. 16. The net energy deposited on

the reactive layer was determined by both the incident ion

energy and the energy loss (DE) by the C–F polymer [36,40].

We used etching condition I in Fig. 14 to solve problems with

residue or etch stop (photographs in Fig. 14b). The width of

region I (i.e. process window) for SiOCH was much narrower

than that for SiO2 because of the lower concentration of O in the

film. The etch rate of various films with different oxygen

Fig. 15. Incident fluxes of total atoms in C4F8/Ar/N2 plasma (same conditions

as Figs. 12 and 14) (when the C4F8 flow rate was higher than Pc (where

C = N + O), TC–F was thicker, and some part of the F and ion energy were spent

by removing excess C).

Page 9: Control of reactive plasmas for low-k/Cu integration

Fig. 16. Ion energy decrease as ion passed though C–F polymer (TC–F of the

4 nm thick reduced ion energy to about 800 V).

Fig. 17. Etch rate of SiOCH, SiOH, and SiO2 as function of C4F8 flow rate (the

position of Pc (peak etch rate) for each material depended on the oxygen

concentration of each film. SiOCH, which has the lowest amount of oxygen, has

the narrowest process window for etching).

T. Tatsumi / Applied Surface Science 253 (2007) 6716–67376724

concentrations is shown in Fig. 17. The process window of

SiOCH with the lowest O content of 31% has the narrowest

process window for etching. This indicates that SiOCH is very

sensitive to changes in the incident CFx fluxes. The optimal

plasma condition for the etching of SiOCH is a ‘‘pin-point’’,

and slight changes in the plasma or composition of the films

cause problems, such as residue, unexpected profiles, or low

selectivity. This is why low-k etching is so difficult to optimize.

The sample structure also changes the amount of CFx fluxes.

In high aspect ratio structures, such as via holes, incident CFx

species are slightly lower than those in trench patterns because

some species react at the sidewalls. As a result, the optimal

C4F8 flow rate (Pc) was different for trench etching and via

etching, as shown in Fig. 18. To optimize the flux balance on the

Fig. 18. Etched profile of via and trench as function of C4F8 flow rate (the structur

smooth surface with thin a polymer, to (II) rough, to (III) a low etch rate smooth sur

each structure).

bottom of a via structure, the amount of CFx species in bulk

plasma as well as the sticking coefficient on the sidewall of the

via holes, which is a function of the wafer temperature, should

be controlled.

2.3. Porous SiOCH

Since the 45-nm generation, materials with lower film

densities (inter-layer or inter-metal dielectric material (ILD or

IMD), stopper materials, multi-layer masks, etc.) have needed

to be used [41–43]. However, most of these materials still

consist of Si, O, C, H, and N, and can be etched by using

fluorocarbon plasma. Hence, the same model can be used to

control both the plasma and the surface reaction.

The etch rates of SiOCHs with the same film composition

(Si:O:C:H = 18:31:14:37) but different film densities are shown

in Fig. 19a. The etch rate was higher when the film density was

lower, whereas the Pc did not change. This is because the

number of Si atoms per unit cell was fewer in lower density

films while the incident total number of F atoms was the same

under the same condition. In the etching of porous materials,

the thickness of the surface polymer on porous SiOCH can be

changed more by small changes in radical fluxes. This means

e of the surface layer depended on the aspect ratio and was changed from (I) a

face and a thick polymer. The amount of reactive species must be optimized for

Page 10: Control of reactive plasmas for low-k/Cu integration

Fig. 19. Etch rate of various p-SiOCH films using C4F8/Ar/O2 plasma: (a) film

density varied; (b) H/C ratio varied (small changes in either film property

(atomic composition or film density) and the plasma induce large changes in the

surface reaction).

Fig. 20. Model for controlling etch rate of SiOCH (Region I (lower flow rate of

C4F8 than Pc) must be used to avoid etch stop and surface roughness. Pc can be

predicted from the incident and out fluxes.

T. Tatsumi / Applied Surface Science 253 (2007) 6716–6737 6725

the sensitivity to the change in incident fluxes was increased.

The effect of pore size on etching properties has been discussed

in Ref. [41]. The lower etch rate was observed in the etching of

SiOCH films with a higher porosity of a larger pore size. The

etch rates of porous SiOCHs with different H/C ratios are

shown in Fig. 19b. When an excess supply of CFx radicals

(higher C4F8 flow rate than Pc) was used, the etching reaction of

p-SiOCH, which had the lowest H/C ratio, was stopped.

Although surface roughness was observed, p-SiOCH with a

higher H/C ratio had a high etch rate. These results indicate that

H in films help to remove excess C from the steady state

polymer on the etched surface.

The model to control the surface reaction on various SiOCH

films is summarized in Fig. 20. First, the total amount of

incident CFx and O (or N) must be controlled by varying the

partial pressure and dissociation of parent molecules. Then the

atomic composition and density of the SiOCH film must be

known. The optimum etching condition Pc for each material

can be determined from both the incident flux from the plasma

(C, F, O, and N) and the out flux from the film (Si, O, C, and H).

The process window (width of region I) was determined by the

balance between C and O (N). When the film has a relatively

large amount of oxygen or when the additive O2 (or N2) flow

rate ratio in the fluorocarbon plasma is increased, Pc shifts to

the right in this figure, meaning the process window is wider.

The etch rate depends on the reaction between F and Si. When

we increased the total number of F atoms (without increasing

C), or when we decreased the film density, the etch rate

increased.

To have high selectivity in etching, the etching conditions

under which the polymer is thin on the etched material and

thick on the underlying material must be used. In the case of

SiO2 etching on Si (conventional contact hole etching), the

difference in the O content between these two materials is very

large, and a highly selective etching condition can easily be

determined. By contrast, in the case of low-k processes, the

SiOCH must be etched on SiC, which has a similar film

composition. For this reason, selective etching can only occur

under ‘‘pin-point’’ conditions. As shown in Fig. 21, the process

window in low-k processes was much smaller than that in SiO2

processes. Thus, the variation of film properties (density and

composition) as well as those of the structure (type of mask,

composition of underlying material, and aspect ratio) strongly

increase the difficulty in developing an optimal etching process

for SiOCH. To produce reliable dry etching processes for multi-

layer structures, the Pc for each material must be precisely

determined. However, the unevenness of the pattern width must

be suppressed to within several nanometers. Accordingly, a

large database of etching recipe for various materials must be

created but also one containing simulation techniques for

predicting the etching properties of various materials. The

results of the selectivity calculation using our surface reaction

model are showed in Fig. 22. The high selectivity of SiOCH/

SiC can be obtained for only a very small area on this map [44].

3. Etching of organic polymer film

In a 65-nm node device, a stacked structure of pure organic

low-k material and SiOCH (a so-called hybrid structure) was

applied to BEOL integration [45,46]. An example of the

process flow of multi-layer interconnects, called a hybrid

structure, using a dual hardmask is shown in Fig. 23. First, a

Page 11: Control of reactive plasmas for low-k/Cu integration

Fig. 21. Window for selective etching (selective etching can be done in

conditions where the polymer is thin on the etched material but thick on the

underlying material. This process window depends on the difference of oxygen

concentrations between the two materials. In general, a low-k process has a very

narrow window for selective etching).

T. Tatsumi / Applied Surface Science 253 (2007) 6716–67376726

resist pattern for the trench was formed on the stacked structure

of the Si3N4/SiO2 mask on PAr(poly-allylene)/SiOCH

(Fig. 23a). After the etching of the top Si3N4 layer

(Fig. 23b), a resist mask for the via hole pattern was formed

(Fig. 23c). Then, the SiO2/PAr was etched, and the resist mask

was also removed during etching of the PAr layer (Fig. 23d).

Then, using the Si3N4 trench mask, a SiO2/PAr trench was

etched (Fig. 23e). Then, the bottom SiC and top Si3N4 masks

Fig. 22. Calculation of etch rate of various materials under various conditions (th

were removed simultaneously (Fig. 23f). In the fabrication of

this structure, we used two kinds of plasma. For the etching of

the organic layer (trench level), oxygen or hydrogen based

plasmas were used [47–51], and the SiOCH layer (via level)

was etched by fluorocarbon plasma, as described in Section 2.

Fluctuation of the cross-section of the Cu interconnect should

be minimized in 65-nm node and beyond devices. After the

fabrication of the multi-stacked hardmask, the etching

processes for the PAr layer must be controlled precisely.

Organic low-k material, such as poly-allylene (PAr), has no

Si and can be etched using halogen free plasma. When the N–H

plasma is well controlled, very high selectivity to the

underlying SiOCH layer can be had, suppressing the loss or

erosion of the trench bottom (however, it causes some damage

on SiOCH (Section 4)). This high selectivity is one of the

advantages of a hybrid dual damascene structure, because the

same trench depth can be had regardless of the pattern width. To

have high performance organic low-k etching, the controll-

ability of the N–H plasma processes must be clarified. In this

section, we discuss the control of N and H radicals in the plasma

(Section 3.1) and show the surface reaction on organic material

as well as on mask material (Section 3.2).

3.1. Control of N–H plasma

3.1.1. Generation of N, H radicals

NH3 or H2/N2 plasma has been used to allow precise control

of the etched profile of organic materials. The absolute densities

of H and N radicals as well as the ion energy must be controlled

to control the etching properties. The basic reaction in the gas

phase to generate H and N radicals in N–H plasma is described

as follows:

d½H�dt¼ ½H2�nehsvi � ½H�

tpump

� ½H�twall

¼ 0 ðsteady-stateÞ (3.1.1)

d½N�dt¼ ½N2�nehsvi � ½N�

tpump

� ½N�twall

¼ 0 ðsteady-stateÞ (3.1.2)

These equations are similar to Eq. (2.1.2). To generate the N

and H radicals in N–H based plasma, a high electron density or

e etch rate and the process window for selective etching can be calculated).

Page 12: Control of reactive plasmas for low-k/Cu integration

Fig. 23. Process flow of hybrid dual damascene interconnect: (a) resist mask for trench; (b) trench hardmask etching; (c) resist mask for via; (d) via etching; (e) trench

etching (and removal of resist); (f) stopper etching (and removal of hardmask).

T. Tatsumi / Applied Surface Science 253 (2007) 6716–6737 6727

high electron energy is required because the cross-section (s)

for ionization or dissociation is smaller than that of

fluorocarbon molecules. The plasma density drastically

changed by changing the parent N-H molecules or the ratio

of the gas mixture, while the plasma density in SiOCH etching

is not sensitive to small changes in the flow rates of

fluorocarbon molecules or additive O2 or N2, because reactive

gases were generally diluted by the large flow rate of Ar. The

change in plasma density using NH3 and N2/H2 plasma is

shown in Fig. 24 [52]. When NH3 was used, the plasma density

Ne was higher than N2/H2 plasma under the same power

condition because the cross-section for ionization of NH3 was

larger than that of N2 and H2. Similarly, when the ratio of H2

and N2 was varied in the N2/H2 plasma, the plasma density was

lower in the H2 rich condition. Specifically, the electron density

is very low whereas the electron temperature is high in pure H2

plasma [53].

3.1.2. Control of plasma–wall interaction

As shown in Eq. (3.1.1), the density of each radical is

determined by the balance between the generation and the loss

of each species. To stabilize N–H plasma in a dry etching

Fig. 24. Plasma density as function of RF source power of Helicon plasma

(using NH3, the plasma density was higher than of H2/N2 because of the larger

ionization cross-section).

system, the loss terms of each radical by recombination on the

metal walls or consumption by a C–F polymer (formed during

previous step in multi-step etching of the stacked structure)

must be considered. Specifically, H radicals can react on metal

walls easily, changing the density of H by the contamination of

Cu on the walls. An example of the H radical density in the

plasma chamber measured by optical emission spectroscopy is

shown in Fig. 25. We put three different types of wafers (Si,

polymer, and Cu). Under the same etching conditions using H2/

Ar discharge, we measured the relative intensity of [H]/[Ar].

The relative amount of H radicals was reduced by polymer or

Cu on the wafers. A wafer covered with 1% of its area with Cu

induced a change in the H radical density of 5% [54]. During

over etching of the stopper material (such as SiC), the bottom

Cu of the under layer was exposed to plasma and sputtered out

from the via holes. This Cu can stick on the surface of Si or

dielectric parts on chamber walls of dry etching systems. This

Cu can cause fluctuations of etching plasmas for SiC or organic

materials because H radicals can easily disappear through

recombination on the conductive surface [55].

3.2. Surface reaction of organic polymer

3.2.1. Reaction between H, N radicals and organic polymer

The typical etch rate of organic polymer as a function of the

H2/N2 gas ratio in a high-density (Helicon) plasma source is

shown in Fig. 26a. Fukasawa found that the etch rate has a peak

at the ‘‘optimum ratio’’ of the H2/N2 gas mixture [52]. This

suggests that both H and N radicals are needed to have an

effective reaction on the surface. The etching of organic

material is related to the chemical reaction of carbon with

hydrogen or nitrogen radicals. The main desorbed molecules

during NH3 plasma beam exposure to organic low-k material

were found to be HCN and C2N2 [56]:

C þ N þ H ! HCN; 2C þ 2N ! C2N2

The effective generation of these volatile reaction products

is the key to increasing the etch rate of organic polymer.

Page 13: Control of reactive plasmas for low-k/Cu integration

Fig. 25. H radical density (a) changed by (b) consumption by polymer or (c)

recombination on conductive surface on wall (the change in O and N radical

density was smaller than that of H).

Fig. 26. (a) Etch rate of organic low-k (PAE) as function of H2/N2 gas ratio in

helicon plasma system. (b) Etch yield of PAr as function of ratio of absolute

densities of H and N radicals (the Ar ion energy was fixed to 500 eV. The peaks

of etch rate indicates that H and N radical densities should be controlled to an

optimal ratio).

T. Tatsumi / Applied Surface Science 253 (2007) 6716–67376728

A change in gas composition also changes the ion current or

ion energy in a dry etching system, as mentioned in Section 3.1.

To separate the effects of ions (supply of energy) and radicals

(supply of etchant), the surface reaction on a PAr surface was

analyzed using a radical injection beam experimental set-up

(Fig. 26b). We introduced an Ar ion beam with H and N radicals

generated by an electron cyclotron resonance (ECR) type

radical source. The ion energy was varied from 50 to 500 eV.

The absolute densities of H and N radicals were monitored

using vacuum ultra violet (VUV) absorption spectroscopy, and

the ratio of H/(H + N) was varied from 0 to 100%. The etch

yield (etch rate divided by ion current) as a function of the H/N

radical ratio is shown in Fig. 26b. The peak is at around H/

(H + N) = 30%. We also analyzed the surface of PAr using in

situ X-ray photo-electron spectroscopy (XPS). On the surface

of PAr exposed to Ar+ with N-rich conditions (H/

(N + H) < 30%), an increase of C–N bonding was observed

(Fig. 27a) while a remarkable decrease in benzene rings was

observed under the H-rich condition (Fig. 27b) [57]. We

determined the surface reaction on organic polymer to be as

follows; the formation of HCN determined the etch rate. H

radicals generate active sites in the network on CHx polymers

under ion injection, and consequently the reactivity of N

radicals on the surface increases. When we used a N-rich

condition, the surface of PAr was covered with stable bonding

of C–N or C N, and the formation of HCN was suppressed. By

contrast, under an H-rich condition, the surface became very

active, and an insufficient supply of N radicals induced a lower

etch rate because the formation of volatile products, such as

HCN, was limited by supplying N radicals. This is the reason

the ‘‘optimum ratio’’ appeared in the experiment varying the

H2/N2 ratio. An image of the surface reaction is illustrated in

Fig. 28. The etch rate is related to the thickness of the reactive

layer where surface bonding has been broken by physical

bombardment with ions (or chemical reaction with H), and N

radicals have been sufficiently supplied. The ratio of the

absolute densities of H and N radicals must be quantitatively

controlled to find an effective etching reaction.

3.2.2. Reducing mask erosion

Variation of CD must be suppressed to within several

nanometers. Therefore, faceting of mask material should be

minimized. SiO2 or SiOCH have been used as mask materials in

the etching of organic material. An example of an etched profile

of a SiO2/SiOCH (hardmask)/PAr structure is shown in Fig. 29.

Page 14: Control of reactive plasmas for low-k/Cu integration

Fig. 27. Results of surface analysis on PAr surface: (a) nitridation on PAr under

N-rich condition; (b) benzene-ring opened by H-rich plasma.

T. Tatsumi / Applied Surface Science 253 (2007) 6716–6737 6729

When we used the low-frequency bias power (high energy peak

observed at 720 eV), severe erosion can be seen on the corner of

the hardmask (Fig. 29c) [58]. To suppress the erosion of the

hardmask, the ion energy must be decreased below the

threshold energy (eth) of etch yield of the mask material. For

example, the threshold energies of PAr, SiOCH, and SiO2 were

80, 120, and 160 eV, respectively (see Fig. 29a) [57]. The

etching of SiO2 or SiOCH using N-H plasma is a sputtering

reaction, and the etch yield depends on the root energy. As

shown in Fig. 29b, we estimated the position of the high energy

peak of the ion energy distribution function (IEDF), which

depends on the bias power, frequency, sheath thickness, and ion

Fig. 28. Model for controlling surface reaction on organic low-k (the etch rate depe

reaction probability of N depends on H radicals, the ion energy, and the temperat

mass [59,60]. We successfully suppressed the mask faceting by

using a high frequency bias power and controlling the high

energy ion to be below the threshold energy of SiO2 sputtering

(Fig. 29e). When we used a porous material as a hardmask, the

range of the ion energy under which highly selective etching

can occur became narrower (several tens eV). Quantitative

control of the ion energy distribution as well as the ratio of H

and N radicals is necessary.

4. Control of interfaces

We described the etching of low-k materials in the previous

section, and now we can control the profiles of dual damascene

structures. However, these formations of vias and trench

profiles are only the beginning of the process integration to

create highly reliable interconnects. After the etching of low-k

material, the photo resist must be removed, and the stopper

material must be broken. These plasma treatments change the

surface of low-k materials or Cu. The existence of a thin

modified layer (only several atomic layers thick) strongly

changes the electrical properties. Next, we will show some

example of controlling interfaces between metal and low-k

materials.

4.1. Control of Cu surface

After the etching of low-k film to make via and trench

patterns, SiN or SiC, which is a stopper material to suppress Cu

diffusion into the low-k material, was etched by fluorocarbon

plasma (Fig. 30). During the over etching of this process, the

surface of Cu was exposed to the plasma. For example, when

we used CF4/CHF3/O2 plasma for the etching of SiC, we

observed high resistance of the via chain under high power

conditions. During etching, the partial pressure and dissociation

degree of each gas were quantitatively monitored (Fig. 31).

When the RF source power was increased, the dissociation

degree of the CF4 increased markedly, while those of O2 and

CH2F2 did not increase as much. The resistance also increased

when the O/(CF4 + CHF3) flow rate ratio was lower. These

results indicate that the relative densities of CFx radicals with

respect to O can be related to the resistance of the via chain.

Next, we calculated the total incident fluxes of F, C, O, and H

atoms from the absolute density, the thermal velocity, and the

nds on the reaction between C and N (formation of HCN or C2N2). The surface

ure).

Page 15: Control of reactive plasmas for low-k/Cu integration

Fig. 29. (a) Etch yield of PAr and SiO2 as function of ion energy; (b) high energy peak of IEDF; when (b) below threshold energy (eth) of SiO2 etch yield, faceting of

mask shoulder successfully minimized (c–e).

T. Tatsumi / Applied Surface Science 253 (2007) 6716–67376730

reaction probability of each reactive species for each molecule.

We estimated how many atoms were supplied to a unit area of

the reactive surface on the Cu during etching. The total atom

fluxes of C, F, and O to the Cu surface are shown in Fig. 32a.

Next, double-level Cu interconnects were fabricated for

electrical testing of the via chain resistance (Fig. 32b). We

varied the ratio of the incident atom fluxes of C and O during

stopper etching with CH2F2/CF4/O2 plasma. Under condition

(B) in Fig. 32a, in which the total C flux was larger than the O

flux, some via holes had a higher resistance due to degradation

of the Cu; similarly, we also observed degradation under high

power conditions. After stopper etching, we found that excess

C–F polymer formed on the Cu surface and was the reason for

the degradation. By contrast, when the O flux was overly

supplied, severe oxidation of both the Cu and the low-k surfaces

Fig. 30. Control of Cu surface during stopper etching (Cu is not etched by fluo

was observed. The dimensions of etched features becomes

smaller as the degree of degradation becomes larger in porous

materials. Consequently, oxidation of only a few layers on a

low-k surface (or a Cu surface) induced CD variation or failure

during reliability testing. Therefore, the fluxes must be

carefully adjusted to their optimal amounts to suppress excess

polymer formation and excess surface oxidation. Under

condition (A), in which the C and O fluxes were equal, we

suppressed both excess polymer formation and excess

oxidation, and the via chain yield improved, as shown in

Fig. 32b [61,62].

The remaining C–F polymer or F on the surface of the Cu

must be minimized to suppress the fluctuation of resistance of

interconnects. Hence, the ratio of incident fluxes must be

optimized during stopper etching. The remaining F on Cu

rocarbon plasma but can be degraded by un-optimized plasma treatment).

Page 16: Control of reactive plasmas for low-k/Cu integration

Fig. 31. Example of Cu degradation at bottom of via hole (under high power

conditions in CF4/CH2F2/O2 plasma, the relative amount of CFx species was

larger with respect to oxygen).

Fig. 32. (a) Total atom fluxes of C, O, and F as function of gas ratio (the total

flow rate of CF4/CH2F2/Ar was kept constant); (b) total C much larger than O,

part of via chain resistance was very high (condition B) (we optimized the

plasma to condition A) where all C can be removed by chemical reaction with

oxygen efficiently. An excess supply of O induces the oxidation of Cu and the

SiOCH surface).

T. Tatsumi / Applied Surface Science 253 (2007) 6716–6737 6731

surfaces can react with moisture in the atmosphere and

sometimes causes surface roughness or degradation of Cu as

well as low-k surfaces. The remaining F on Cu surfaces can be

removed by using additional surface treatment, such as N2 or O2

plasma [63,64]. In the actual integration of interconnects, many

kinds of countermeasures are used together to reduce the risk of

degradation on Cu surfaces.

4.2. Control of low-k surfaces

Next, we will show examples of the effects of damage of

low-k surfaces on interconnect reliability. After optimizing both

of the profile (via hole and trench) and the surface on Cu, the

surface reaction on the SiOCH sidewall must be optimized

during plasma treatment, such as ashing. SiOCH film has Si–

CHx bonding (such as methyl groups –CH3) in film networks to

reduce the film density. The Si–CH3 bond, however, is weaker

than a Si–O bond and easily oxidized during O-containing

plasma treatment (Fig. 33). This damage increases the k-value

and/or the side etching of the via profile after wet treatment. To

suppress this damage, new ashing processes were developed to

reduce the strength of side wall oxidation. Actually, the damage

could be decreased by changing the ashing plasma from O2

plasma to H2O plasma [8,65]. A thin damaged layer, however,

still exists on the surface of SiOCH sidewalls even when using

H2O ashing, and this very thin layer decreased the interconnect

reliability. For further improvement of this process, the

mechanism of damage must be understood. In this section,

we show an example of the effects of SiOCH damage on

electrical properties.

In general, the grain or vacancy of Cu in interconnects can be

moved by either electrical or thermal stresses. If the adhesion of

Cu to a barrier metal (Ta/TaN) is insufficient, the Cu

interconnect can be broken at an early stage of a reliability

test. The adhesion strength of Cu is affected by the degradation

at the interface between Cu and a barrier metal (or metal and

low-k materials). A typical Cu void observed in the early steps

in an electro migration (EM) test is shown in Fig. 34a. We

obtained a good etching profile of the via and trench patterns

and the optimized surface of the Cu as described in previous

sections. Therefore, the cross-sectional view and the resistance

Page 17: Control of reactive plasmas for low-k/Cu integration

Fig. 33. Control of SiOCH surface during ashing (methyl (–CH3) groups in SiOCH can be replaced with hydroxide (–OH) groups easily. An increase in the k-value

was observed, and moisture up-take induced problems in the reliability test).

T. Tatsumi / Applied Surface Science 253 (2007) 6716–67376732

of the Cu interconnect was no problem. However, we did not

obtain sufficient reliability, as shown in Fig. 34c. When we used

a conventional ashing process, the same parts of the

interconnects were broken under a relatively short stress time.

This was due to the degradation of the SiOCH surface during

plasma exposure. In this case, we used H2O plasma for ashing,

Fig. 34. (a) Typical void in via hole observed during early stage of electro

migration (EM) test; (b) via hole observed when ashing plasma optimized void;

(c) cumulative failure as function of stress time.

where the thickness of the damaged layer was approximately

10 nm on the sidewalls of the SiOCH via structure. In these

weakly oxidized damaged layers, moisture can easily be

adsorbed on Si–OH bonds during wet treatment or air exposure

before metallization processes. H2O in the damaged layer was

released during the annealing of metals and oxidized the

metals. The interface between the metals and the damage on

SiOCH films was analyzed by using electron energy loss

spectroscopy (EELS). Much more oxygen was found around

the interface between Cu and Ta/TaN (Fig. 35).

Next, we investigated the correlation between the damaged

SiOCH thickness and the amount of the contained H2O by

thermal desorption spectroscopy (TDS). When SiOCH film is

exposed to plasma containing excessive oxygen radicals,

carbon atoms in the film are extracted, and the surface is

converted to SiOx, which can be etched by diluted hydrogen

fluoride (dHF), while undamaged SiOCH cannot. We defined

the etched thickness by dHF as the damaged thickness. As

shown in Fig. 36, the H2O degassing amount is proportional to

the damaged SiOCH thickness. The higher density of the

oxygen radicals in the ashing plasma induced greater damage to

the SiOCH. The generation of oxygen radicals in the ashing

plasma must be suppressed quantitatively to improve the

reliability. However, decreasing the dissociation of H2O (used

in the conventional process) reduces the ashing rate and

degrades the stripping ability, making the use of this method

problematic for mass production. To solve this problem, we

used O2 plasma again and clarified the relationship between the

oxygen radical density and the external parameters of the

ashing system by using optical emission spectroscopy (OES).

The density of the oxygen radicals can be expressed by both the

partial pressure and the dissociation degree (estimated from the

OES results) of the parent O2 molecules. The oxygen radical

density dropped when we reduced the gas pressure and/or the

plasma density, as shown in Fig. 37. By decreasing the O radical

density, the degraded SiOCH was reduced to less than 3 nm

thick (Fig. 38), although the ashing rate was higher than

Page 18: Control of reactive plasmas for low-k/Cu integration

Fig. 35. Diffusion barrier metals (Ta/TaN) oxidized by H2O released from

damaged SiOCH film during annealing (the adhesion between Cu and Ta/TaN

was weak when the interface was oxidized).

Fig. 36. Total amount of H2O (evaluated by TDS) as function of damage

thickness on SiOCH (the damage thickness was varied by varying the ashing

conditions. The amount of H2O increased linearly with the damage thickness).

Fig. 37. Control of oxygen radical in ashing system (the partial pressure and

dissociation degree of O2 determined the O radical density. To reduce the

damage on SiOCH sidewalls, low dissociation plasma under low pressure

should be used).

T. Tatsumi / Applied Surface Science 253 (2007) 6716–6737 6733

500 nm/min (twice the rate of the conventional H2O process).

We were thus able to minimize the damage to the SiCOH film

without leaving any residue [66]. As shown in ashing condition

B in Fig. 34b, the early failure mode in the EM test, which was

caused by the formation of a Cu void inside a via, disappeared.

Consequently, the lifetime in the EM test was extended five

times. This suggests that controlling the degradation of SiOCH

films during plasma treatment is the key to enabling reliable Cu/

low-k interconnects in 90-nm nodes to be mass-produced [67].

We also used porous SiOCHs, which are more sensitive to

the ashing plasma in 65-nm and beyond devices. To reduce the

damage, a H-based ashing process was also proposed because H

radicals have less reactivity with SiOCH than those in an

Fig. 38. Degradation of SiOCH surface decreased below 3 nm under ashing

condition where O radical density was minimized (using this condition,

interconnect reliability improved (Fig. 34c)).

Page 19: Control of reactive plasmas for low-k/Cu integration

T. Tatsumi / Applied Surface Science 253 (2007) 6716–67376734

oxygen-based process (but H radicals also decreases some Si–

CH3 bonds in SiOCH film) [65,68–70]. Using the hybrid

structure, the ashing process can be eliminated because the

resist mask was etched off during the etching step of the organic

polymer for the trench pattern. This is another advantage of

using a hybrid structure in the fabrication of low-k/Cu

interconnects. Thus, SiOCH or porous SiOCH are unstable

when exposed to various plasma/wet treatments. The surface

changed gradually in each step of fabrication of dual

damascene structures (etching, ashing, wet treatment, anneal-

ing, metal formation, etc.) The final structures of the interface

between metal/metal and metal/low-k interfaces determine the

resistance and reliability of Cu interconnects. Further analysis

of the damage-formation mechanisms and methods for solving

these damage problems are needed. The plasma, including

radial, ion and UV photons [71] must be controlled more

delicately.

4.3. Damage recovery/pore sealing

In Section 4.2, we described the importance of preventing

the adsorption of H2O. Many kinds of low damage ashing

technologies have been developed. Also, to minimize the effect

of H2O desorption, new approaches called pore sealing have

been reported [72–75]. Before metallization, the surface of

Fig. 39. Suppression of H2O adsorption by forming dense CHx thin film on damaged

H2 plasma exposure; (c) after formation of CHx thin film on sample (b); (d) after

damaged SiOCH is re-modified by a wet or dry treatment.

These post-treatment processes can prevent moisture adsorp-

tion and/or recover the k-value or mechanical strings, resulting

in higher reliability of interconnects. Some processes also

recover the mechanical strength and k-values. An example of

the amount of water out-gassing from blanket porous SiCOH

films is shown in Fig. 39. A remarkable increase in H2O

degassing was observed after H2 plasma exposure. We

examined the pore sealing using CH4 plasma. When the

damaged SiOCH was covered by a thin densified CHx polymer

3 nm thick, the water out-gassing was reduced, as (c) in Fig. 39.

This is because the CHx film prevented moisture from being

adsorbed on the damaged SiOCH films. The polymer must be

densified by ion bombardment or thermal annealing. After the

formation of thin CHx films on the surface of SiOCH, the early

failures in the electro migration test improved [76]. In the next

generation, complex plasma treatment, including etching,

ashing, and surface treatment on both Cu and damaged SiOCH

surfaces will be used.

5. Requirements for next generation

In the 45 nm and beyond devices, various low-k materials

must be used while the requirement for process control

becomes more severe. Finally, we will summarize the

SiOCH surface; total amount of H2O released from (a) initial SiOCH; (b) after

formation of CHx film without ion bombardment.

Page 20: Control of reactive plasmas for low-k/Cu integration

Fig. 40. Image of plasma control (in the etching of SiO2, an optimal process can

be determined by going in one direction. However, high-density plasma is not

needed for low-k etching, but quantitative and stable control of various plasmas

is required for step-by-step etching of multi-stacked structures).

Fig. 41. Instantaneous stabilization of etching plasma (in the etching of multi-

stacked structures, the history of wall surfaces affects the etching plasma. This

is an example of etch rate variation caused by a previous etching process. The

remaining C–F polymer, used in previous SiO2 etching, increased the etch rate

during SiN etching. About 180 s was needed, longer than the actual etching

time, to obtain a stable etch rate. Instantaneous stabilization within several

second is necessary).

T. Tatsumi / Applied Surface Science 253 (2007) 6716–6737 6735

requirements of dry etching technologies for the next

generation [72,73].

5.1. Quantitative control of plasma parameters

Before we started to use low-k materials, we had improved

the etching plasmas for SiO2 etching. A higher ion current and a

lower atomic F density are necessary to improve the etching

process for high aspect ratio contact holes. Hence, the etching

plasma has been changed from low density to high density, and

a long residence time to a short residence time to obtain a higher

ion current with low dissociation of fluorocarbon molecules. A

high frequency (27–100 MHz) system with a short residence

time (created using a narrow gap and a high total flow rate of the

gases) is one of the ways to create high performance SiO2

etching. As we described in Sections 2 and 3, the process

window of etching processes for low-k materials (SiOCH and

organic material) is narrower than that for SiO2. Plasma

parameters must be adjusted to the ‘‘sweet spot’’ of each

etching step for multi-stacked structures (such as hybrid

structures with multi-layer hard masks), and ‘‘sweet spots’’

(where sufficient results can be obtained) for each material are

different from each other (Fig. 40). Small fluctuations of one of

the plasma parameters can cause problems in the etched profile

or unexpected interfaces.

Through the experience of process development for various

devices, our database of plasma processes has grown larger. To

find an optimal plasma condition for each material or each

structure, the models for controlling the surface reaction are

very important. To adjust the plasma parameters, the energy of

ions and the amount of total atom fluxes must be controlled

precisely. Unfortunately, most commercial dry etching systems

only show external parameters, such as power, pressure, and

flow rate, which do not show the correct value of the ion energy

and the absolute density of reactive species. Knowledge of the

relationship between external parameters and internal plasma

parameters (ne, Te, IEDF, etc.), as well as in situ quantitative

plasma monitoring technology is required.

5.2. Instantaneous stabilization of plasmas

To fabricate multi-stacked dual damascene structures,

several different types of plasmas (C–F plasma, N–H plasma,

etc.) were used in the same chamber. In the next generation,

critical dimensions (both width and depth) will be smaller. As a

result, the etching time for each material will be shortened to

only several seconds. However, the densities of reactive species

are very sensitive to changes of the wall conditions, as

discussed in Sections 2.1.2 and 3.1.2. As a result, during the first

several seconds of each etching step, the plasma can be affected

by wall conditions (such as polymer deposition), which depend

on the plasma used in previous steps. As a result the density of

reactive species can be changed gradually during etch step. For

example, the etch rate of SiN stopper material was changed by

the etching plasma used in the previous SiO2 etching, as shown

Page 21: Control of reactive plasmas for low-k/Cu integration

Fig. 42. Quantitative control of the reactions in several atomic layers on the etched surface (the pattern width and depth will be smaller, and the fluctuation of the

profile control must be suppressed to within several nanometers. This is comparable to ion penetration, degradation, and the thickness of surface polymers. Process

development with a microscopic point of view is necessary. The surface reaction is not unique, but gradually changes with changing depth and/or time).

T. Tatsumi / Applied Surface Science 253 (2007) 6716–67376736

in Fig. 41. In this case, a period of about 180 s was required to

stabilize the etching plasma, while the etching was finished

within 40 s (with thick polymer on the walls) [68,77].

This means a transition plasma is being used, which

gradually changes from one steady state to another steady state.

However, similar to Section 5.1, the plasma parameters must be

kept at the ‘‘sweet spot’’ for each material during etching.

Ideally, the stabilizing time of each plasma should be within 1 s

for each etching step. To improve the stability of the processes,

plasma–wall reactions must be controlled actively. After the

optimization of wall conditions, the stabilizing time can be

minimized.

5.3. Atomic layer modification

The variation of the critical dimensions must be controlled

within several nanometers. However, to optimize the etching

reaction on low-k surfaces (Sections 2.2 and 3.2) or to optimize

the surface degradation on Cu/low-k surfaces (Sections 4.1 and

4.2), the thickness of the C–F polymer, the penetration depth of

ions, and the diffusion of radicals, as well as the absorption of

VUV photons must be controlled. These parameters are also on

the order of several nanometers (Fig. 42) [78]. From a

microscopic point of view, a small change in surface layers

must be imagined, where the atomic composition is gradually

changed layer by layer at every moment. Directly monitoring

these non-static reactions on the surface layers is difficult.

Simulations for the prediction of surface reactions must be

improved.

6. Conclusion

We clarified the mechanisms of plasma and surface reactions

in processes for low-k (SiOCH and organic material)

integration. The process window for each process is quite

narrow because the requirements of process control are high

and the materials (both low-k and metal) are less stable and

more sensitive to changes in plasma parameters. To create a

higher reliability interconnect, the balance between incident

fluxes must be controlled quantitatively for each process,

including ashing or surface treatment on Cu surfaces. The

controllability and stability must be further improved.

Acknowledgements

We would like to thank to Prof. Toshiaki Makabe of Keio

University, Prof. Masaru Hori of Nagoya University, Mr.

Kazunori Nagahata, Mr. Masanaga Fukasawa, Mr. Keiji

Ohshima, and Dr. Shoji Kobayashi of Sony Corporation, Mr.

Keisuke Urata of Sony Semiconductor Kyushu Co. Ltd., Mr.

Kohich Yatsuda and Takahiro Saitoh of Tokyo Electron Inc., Dr.

Hisataka Hayashi of Toshiba Corporation, and Dr. Keizo

Kinoshita of SELETE for their useful discussions. Some part of

this work was done at ASET and was supported by NEDO.

References

[1] S. Purushothaman, et al. Tech. Digest IEDM (2001) 23.2.1.

[2] R.D. Goldblatt, et al. Tech Dig. IEDM (2000) 261.

[3] S. Ogawa, et al. Proc. of the 2002 IITC, vol. 10.2, 2002, p. 220.

[4] T. Jacobs, et al. Proc. of the 2002 IITC, vol. 11.2, 2002, p. 236.

[5] M. Ikeda, et al. Proc. of the 1998 IITC, vol. 10.3, 1998, p. 131.

[6] C. Janowiak, S. Ellingboe, I. Morey, J. Vac. Sci. Technol. A 18 (4) (2000)

1859.

[7] D. Fuard, O. Joubert, L. Vallier, M. Bonvalot, J. Vac. Sci. Technol. B 19 (2)

(2001) 447.

[8] K. Higashi, et al. Proc. of the 2002 IITC, vol. 2.3, 2002, p. 15.

[9] Y. Hayashi, Proc. of the 2002 IITC, vol. 8.1, 2002, p. 145.

[10] K.C. Yu, et al. Proc. of the 2002 IITC, vol. 2.1, 2002, p. 9.

[11] A. Kajita, et al. Proc. of the 2003 IITC, vol. 2.3, 2003, p. 9.

[12] T. Tatsumi, M. Matsui, S. Kobayashi, K. Kinoshita, M. Sekine, Proceed-

ings of the 22nd Symposium on Dry Process, Tokyo, II-03, 2000, p. 37.

[13] T. Tatsumi, S. Kobayashi, M. Matsui, M. Sekine, Proceedings of the

Second International Conference on Microelectronics and Interface, San

Jose, 2001, Pt-WeA6.

[14] H.F. Winters, J.W. Coburn, Surf. Sci. Rep. 14 (1992) 161.

[15] T. Tatsumi, Y. Hikosaka, S. Morishita, M. Matsui, M. Sekine, J. Vac. Sci.

Technol. A 17 (4) (1999) 1562.

[16] N. Ikegami, N. Ozawa, Y. Miyakawa, M. Konishi, J. Kanamori, Jpn. J.

Appl. Phys., Part 1 29 (1990) 2236.

[17] H. Hayashi, S. Morishita, T. Tatsumi, Y. Hikosaka, S. Noda, H. Nakagawa,

M. Sekine, J. Vac. Sci. Technol. A 17 (5) (1999) 2557.

Page 22: Control of reactive plasmas for low-k/Cu integration

T. Tatsumi / Applied Surface Science 253 (2007) 6716–6737 6737

[18] A. Koshiishi, M. Tomoyasu, Y. Tahara, M. Kojima, in: Proceedings of the

20th Symposium on Dry Process, Tokyo, (1998), p. 229.

[19] T. Tatsumi, H. Hayashi, S. Morishita, S. Noda, M. Okigawa, N. Itabashi, Y.

Hikosaka, M. Inoue, Jpn. J. Appl. Phys., Part 1 37 (1998) 2394.

[20] S. Morishita, H. Hayashi, T. Tatsumi, Y. Hikosaka, M. Okigawa, M.

Matsui, M. Inoue, M. Sekine, Jpn. J. Appl. Phys. 37 (12B) (1998) 6899.

[21] H. Toyoda, M. Ito, H. Sugai, Jpn. J. Appl. Phys., Part 1 36 (1997) 3730.

[22] K. Kubota, H. Matsumoto, H. Shindo, S. Shingubara, Y. Horiike, Jpn. J.

Appl. Phys., Part 1 34 (1995) 2119.

[23] Y. Hikosaka, H. Sugai, Jpn. J. Appl. Phys. 32 (1993) 3040.

[24] K. Maruyama, A. Sakaki, T. Goto, J. Phys. D 26 (1993) 199.

[25] M. Magane, N. Itabashi, N. Nishiwaki, T. Goto, C. Yamada, E. Hirota, Jpn.

J. Appl. Phys., Part 1 29 (1990) L829.

[26] K. Maruyama, K. Ohkouch, Y. Ohtsu, T. Goto, Jpn. J. Appl. Phys. 33

(1994) 4298.

[27] J.S. Janq, J. Ding, J.W. Taylor, N. Hershkowitz, Plasma Sour. Sci. Technol.

3 (1994) 154.

[28] Y. Kawai, K. Sasaki, K. Kadota, Jpn. J. Appl. Phys., Part 1 36 (1997)

L1261.

[29] J.W. Coburn, M. Chen, J. Appl. Phys. 51 (1980) 3134.

[30] K. Kinoshita, S. Noda, S. Morishita, N. Itabashi, M. Okigawa, M. Sekine,

M. Inoue, J. Vac. Sci. Technol. A 17 (4) (1999) 1520–1525.

[31] S. Noda, K. Kinoshita, H. Nakagawa, M. Okigawa, M. Inoue, M. Sekine,

Proc. GEC-51/ICRP-4 Joint Conference, Maui, BM3.04, 1998, p. 29.

[32] S. Noda, K. Kinoshita, H. Nakagawa, M. Okigawa, T. Tatsumi, M. Inoue,

M. Sekine, Proc. Symp. 20th Dry Process 98, Tokyo, Japan, 1998, p. 235.

T. Tatsumi, M. Matsui, M. Okigawa, M. Sekine, J. Vac. Sci. Technol. B 18

(4) (2000) 1897.

[33] T. Tatsumi, Ph.D. Thesis, 2000.

[34] M. Matsui, F. Uchida, T. Tokunaga, H. Enomoto, T. Umezawa, Jpn. J.

Appl. Phys., Part 1 38 (1999) 2124.

[35] M. Scheapkens, T.E.F.M. Standaert, P.G.M. Sebel, G.S. Oehrlein, J.M.

Cook, J. Vac. Sci. Technol. A 17 (1999) 26.

[36] M. Matsui, T. Tatsumi, M. Sekine, in: Proceedings of the 21st Symposium

on Dry Process, Tokyo, (1999), p. 45.

[37] T. Tatsumi, M. Matsui, M. Sekine, in: Proceedings of the Third International

Workshop on Fluorocarbon Plasmas, Grenoble, 2000, p. 2 (Abstract).

[38] T. Tatsumi, K. Urata, K. Nagahata, T. Saitoh, Y. Nogami, K. Shinohara, J.

Vac. Sci. Technol. A 23 (4) (2005) 938.

[39] T. Tatsumi, K. Nagahata, T. Saitoh, Y. Morita, Proc. of the 2003 IITC, vol.

13.1, 2003, p. 239.

[40] J.F. Ziegler, J.P. Biersack, W. Littmark, The Stopping and Ranges of Ions

in Matter, vol. 4, Pergamon, New York, 1985.

[41] T.E.F.M. Standaert, E.A. Joseph, G.S. Oehrlein, A. Jain, W.N. Gill, P.C.

Wayner Jr., J. Plawsky, J. Vac. Sci. Technol. A 18 (6) (2000) 2742.

[42] K. Char, et al. Proc. of the 2004 IITC, vol. 12.1, 2004, p. 219.

[43] Y. Hayashi, et al. Proc. of the 2004 IITC, vol. 12.3, 2004, p. 225.

[44] S. Kobayashi, T. Tatsumi, M. Matsui, M. Sekine, in: Proceedings of the

XXV International Conference on Phenomena in Ionized Gases (ICPIG),

Nagoya, (2001), p. 95.

[45] R. Kanamura, Symp. VLSI (2002) 107.

[46] T. Hasegawa, et al. IEDM Tech. Dig. (1999) 623.

[47] H. Nagai, S. Takashima, M. Hiramatsu, M. Hori, T. Goto, J. Appl. Phys. 91

(2002) 2615.

[48] K. Ishikawa, Y. Yamaoka, A. Egami, K. Kurihara, M. Nakamura, S.

Yamasaki, T. Yasuda, J. Isaya, in: Proceedings of the Second International

Symposium on Dry Process, Tokyo, Japan, (2002), p. 39;

M. Fukasawa, T. Hasegawa, S. Hirano, S. Kadomura, Proc. Symp. 20th

Dry Process, Tokyo, (1998), p. 175.

[49] Y. Morikawa, S. Yasunami, W. Chen, T. Hayashi, T. Uchida, J. Vac. Sci.

Technol. A 19 (4) (2001) 1747.

[50] A.M. Goethals, F. Van Roey, T. Sugihara, L. Van den hove, J. Vertommen,

W. Klippert, J. Vac. Sci. Technol. B 16 (6) (1998) 3322.

[51] E.J.H. Collart, J.A.G. Baggerman, R.J. Visser, J. Appl. Phys. 78 (I) (1995)

47.

[52] M. Fukasawa, T. Tatsumi, T. Hasagawa, S. Hirano, K. Miyata, S. Kado-

mura, in: Proceedings of the 21st Symposium on Dry Process, Tokyo,

(1999), p. 221.

[53] H. Nagai, S. Takashima, M. Hiramatsu, M. Hori, T. Goto, J. Appl. Phys. 91

(5) (2002) 2615.

[54] K. Ohshima, T. Tatsumi, K. Nagahata, K. Shinohara, AVS 52nd Sympo-

sium, Boston, 2005, PS-FrM2.

[55] S. Takashima, M. Hor, T. Goto, A. Kono, K. Yoneda, J. Appl. Phys. 90

(2001) 5497.

[56] K. Kurihara, A. Egami, M. Nakamura, J. Appl. Phys. 98 (2005) 084907.

[57] S. Uchida, S. Takashima, T. Tatsumi, K. Ohshima, K. Nagahata, M. Hori,

unpublished data.

[58] T Tatsumi, S. Uchida, S. Takashima, K. Ohshima, K. Nagahata, M. Hori,

AVS 52nd Symposium, Boston, 2005, PS-TuA4.

[59] E. Kawamura, V. Vahedi, M.A. Liebermann, C.K. Birdsall, Plasma Sour.

Sci. Technol. 8 (1999) R45.

[60] D. Vender, R.W. Boswell, IEEE Trans. Plasma Sci. 18 (4) (1990)

725.

[61] K. Yatsuda, et al., Advanced Metallization Conference, 2003, Asian

Session 34.

[62] T. Tatsumi, et al., Proceedings of the 26th International Symposium on

Dry Process, 2004, 3-04.

[63] P.J. Matsuo, T.E.F.M. Standaert, S.D. Allen, G.S. Oehrlein, T.J. Dalton, J.

Vac. Sci. Technol. B 17 (4) (1999) 1435.

[64] S. Tomohisa, K. Yoshikawa, K. Yonekura, S. Sakamori, N. Fujiwara, K.

Tsujimoto, K. Nishioka, H. Kobayashi, T. Oomori, J. Vac. Sci. Technol. B

23 (5) (2005) 2084.

[65] K. Yonekura, K. Goto, M. Matsuura, N. Fujiwara, K. Tsujimoto, Jpn. J.

Appl. Phys. 44 (5A) (2005) 2976.

[66] T. Tatsumi, in: Proceedings of the Fourth International Conference on

Semiconductor Technology, Shanghai, (2005), p. 309.

[67] K. Yatsuda, et al. Proc. of the 2004 IITC, 2004, p. 90.

[68] A. Matsushita, et al. Proc. of the 2003 IITC, 2003, p. 143.

[69] X. Hua, et al. J. Vac. Sci. Technol. B 24 (3) (2006) 1238.

[70] M.A. Worthley, S.F. Bent, S.M. Gate, M.C.M. Fuller, W. Volksen, M.

Steen, T. Dalton, J. Vac. Sci. Technol. B 23 (2) (2005) 395.

[71] S. Takashima, S. Uchidal, K. Ohshima, K. Nagahata, T. Tatsumi, M. Hori,

in: Proceedings of the Fifth International Symposium on Dry Process,

Cheju, (2005), p. 31.

[72] C. Guedij, et al. Proc. of the 2004 IITC, 2004, 148–150.

[73] R. Caluwaerts, et al. Proc. of the 2003 IITC, 2003, 242–244.

[74] A. Furuya, et al. Proc. of the 2004 IITC, 2004, 39–41.

[75] M. Tada, et al., 2005 Symposium on VLSI Digest of Technology Papers,

2005, pp. 18–19.

[76] K. Kohmura, et al. Mater. Res. Soc. Symp. Proc. 812 (2004) F.6.

[77] T. Tatsumi, AVS 51th Symposium, Anaheim (2004) PS + MS-TuA3.

[78] T. Tatsumi, Abstr. of Fourth International Workshop on Basic Aspects of

Nonequilibrium Plasmas Interacting with Surfaces & 4th EU-Japan Joint

Symposium on Plasma Processes, Lake Kawaguchi, 2006, p. 16.