do an chuyen ma nhi phan 4 bit sang ma gray va du 3 4196

41
Truờng ĐH SPKT Hưng Yên Khoa Điện –Điện tử Đồ án môn học BỘ GIÁO DỤC VÀ ĐÀO TẠO TRƯỜNG ĐẠI HỌC SƯ PHẠM KỸ THUẬT HƯNG YÊN KHOA ĐIỆN-ĐIỆN TỬ ĐỒ ÁN MÔN HỌC ĐỀ TÀI :THIẾT KẾ MẠCH CHUYỂN MÃ NHỊ PHÂN 4 BIT SANG MÃ GRAY VÀ DƯ 3 Giáo viên hướng dẫn : Lý Văn Đạt Sinh viên thực hiện : Vũ Minh Thành Lê Thị Thảo Nguyễn Xuân Thảnh Lớp :ĐT.K40 1

Upload: nguyen-hong-truong

Post on 29-Oct-2015

504 views

Category:

Documents


2 download

TRANSCRIPT

Page 1: Do an Chuyen Ma Nhi Phan 4 Bit Sang Ma Gray Va Du 3 4196

Truờng ĐH SPKT Hưng YênKhoa Điện –Điện tử Đồ án môn học

BỘ GIÁO DỤC VÀ ĐÀO TẠO

TRƯỜNG ĐẠI HỌC SƯ PHẠM KỸ THUẬT HƯNG YÊN

KHOA ĐIỆN-ĐIỆN TỬ

ĐỒ ÁN MÔN HỌC

ĐỀ TÀI :THIẾT KẾ MẠCH CHUYỂN MÃ NHỊ PHÂN 4 BIT SANG MÃ

GRAY VÀ DƯ 3

Giáo viên hướng dẫn : Lý Văn Đạt

Sinh viên thực hiện : Vũ Minh Thành

Lê Thị Thảo

Nguyễn Xuân Thảnh

Lớp :ĐT.K40

Hưng Yên , tháng 03 năm 2012

1

Page 2: Do an Chuyen Ma Nhi Phan 4 Bit Sang Ma Gray Va Du 3 4196

Truờng ĐH SPKT Hưng YênKhoa Điện –Điện tử Đồ án môn học

ĐỒ ÁN MÔN HỌC

Khóa học: 2010-2013

Lớp: ĐTK40

TÊN ĐỀ TÀI: Thiết kế mạch chuyển mã nhị phân 4 bit sang mã gray và dư 3

I. Dữ kiện cho trước:

+ Các linh kiện điện tử có bán trên thị trường.

+ Mạch điện một chiều 5v

+ Tài liệu tham khảo: điện tử công suất,điện tử căn bản,linh kiện điện

tử...

II. Nội dung cần hoàn thành:

Phần I. Cơ sở lý thuyết.

1. Giới thiệu về mã nhị phân ,gray,dư 3

2. mạch hạ áp 220ac về 5v dc

Phần II . Phương án thiết kế.

Thiết kế sơ đồ nguyên lý (mạch chuyển mã nhị phân 4 bit sang mã gray và

dư 3).

Yêu cầu:

+ Sản phẩm hoạt động tốt, đảm bảo kỹ thuật.

+ Thuyết minh đề tài (Phân tích yêu cầu, trình bày các giải pháp thực

hiện, cơ sở lý thuyết, quá trình thực hiện đồ án, khả năng ứng dụng của đồ

án).

+ Nộp thuyết minh và hoàn thành sản phẩm đúng thời gian quy định.

2

Page 3: Do an Chuyen Ma Nhi Phan 4 Bit Sang Ma Gray Va Du 3 4196

Truờng ĐH SPKT Hưng YênKhoa Điện –Điện tử Đồ án môn học

NHẬN XÉT CỦA GIÁO VIÊN.…………………………………………………………………………………

…………………………………………………………………………………

…………………………………………………………………………………

…………………………………………………………………………………

…………………………………………………………………………………

………………………………………………………………………………

…………………………………………………………………………………

…………………………………………………………………………………

…………………………………………………………………………………

…………………………………………………………………………………

………………………………………………………………………………….

…………………………………………………………………………………

…………………………………………………………………………………

…………………………………………………………………………………

…………………………………………………………………………………

…………………………………………………………………………………

…………………………………………………………………………………

…………………………………………………………………………………

…………………………………………………………………………………

Hưng Yên, ngày.....tháng......năm 2012

3

Page 4: Do an Chuyen Ma Nhi Phan 4 Bit Sang Ma Gray Va Du 3 4196

Truờng ĐH SPKT Hưng YênKhoa Điện –Điện tử Đồ án môn học

MỤC LỤC

NHẬN XÉT CỦA GIÁO VIÊN...........................................................................3

MỤC LỤC.........................................................................................................4

LỜI NÓI ĐẦU...................................................................................................6

CHƯƠNG I TỔNG QUAN ĐÈ TÀI..................................................................8

1.1. Mã nhị phân,gray và dư 3.....................................................................8

1.1.1. Mã nhị phân....................................................................................8

1.1.2 Mã gray..........................................................................................10

CHUƠNG 2 CÁC LINH KIỆN SỬ DỤNG TRONG MẠCH...........................13

2.1.IC 7805..................................................................................................13

2.1.1. Đặc điểm.......................................................................................13

2.1.2. Cách đọc chân của họ 78xx...........................................................13

2.1.3. Hình dạng thực tế..........................................................................14

2.2 IC 7486.................................................................................................14

2.3.IC 7408..................................................................................................15

2.4. IC 7432.................................................................................................16

2.5 IC 7404..................................................................................................17

2.6 Tụ điện...................................................................................................18

2.7. LED......................................................................................................19

2.7.1.Tính chất.........................................................................................20

CHƯƠNG 3.THIẾT KẾ VÀ THI CÔNG MẠCH.............................................21

3.1. Bảng trạng thái chân lí của mạch........................................................21

3.2. Sơ đồ nhóm các trạng thái ................................................................22

3.2.1.Sơ đồ nhóm từ hệ nhị phân sang mã gray......................................22

3.2.2 .Sơ đồ nhóm chuyển từ hệ nhị phân sang mã dư 3...................22

3.3. Kết quả đạt được tư nhóm các sơ đồ trên.............................................22

3.3.1.Gray................................................................................................22

3.3.2.Dư 3................................................................................................23

3.4. Sơ đồ nguyên lí của mạch chuyển đổi từ nhị phân sang gray và dư 3. 23

4

Page 5: Do an Chuyen Ma Nhi Phan 4 Bit Sang Ma Gray Va Du 3 4196

Truờng ĐH SPKT Hưng YênKhoa Điện –Điện tử Đồ án môn học

3.5. Sơ đồ board mạch …………………………………………...……….24

3.6.Nguyên lí làm việc của mạch................................................................25

KẾT LUẬN.....................................................................................................28

TÀI LIỆU THAM KHẢO...............................................................................29

vấn đề gặp phải khi làm đồ án ………………………………………… 29

5

Page 6: Do an Chuyen Ma Nhi Phan 4 Bit Sang Ma Gray Va Du 3 4196

Truờng ĐH SPKT Hưng YênKhoa Điện –Điện tử Đồ án môn học

LỜI NÓI ĐẦU

Từ khi ra đời cho tới nay , kĩ thuật số đã được ứng dụng rất nhiều vào

thực tế từ tivi số , máy ảnh số truyền hình số… và nhiều lĩnh vực khác

Kĩ thuật số có những ưu điểm như:dễ sử lí , lưu trữ , ít bị méo dạng tín hiệu

khi truyền ,thiết bị đơn giản do vậy nó được sử dụng rất phổ biến .

Trải qua quá trình học tập nghiên cứu nhóm chúng em đã chọn đề tài :” thiết

kế mạch mạch chuyển mã từ nhị phân sang gray và dư 3 sử dụng công tắc

điều khiển” làm đồ án.

Chúng em hi vọng sau khi hoàn thành đồ án này , nó sẽ giúp chúng em

củng cố lại kiến thức mà chúng em đã tích lũy được trong suốt thời gian học

tập và là một nền tảng kiến thức vững chắc để chúng em sau khi ra trường.

Do trình độ kỹ thuật còn có nhiều hạn chế nên đồ án không thể tránh

khỏi những sai sót, chúng em mong được sự thông cảm và chỉ bảo thêm của

thầy cô.

Chúng em xin chân thành cảm ơn sự hướng dẫn tận tình của Thầy Lý

Văn Đạt cùng sự giúp đỡ của các bạn

Xin chân thành cảm ơn!

6

Page 7: Do an Chuyen Ma Nhi Phan 4 Bit Sang Ma Gray Va Du 3 4196

Truờng ĐH SPKT Hưng YênKhoa Điện –Điện tử Đồ án môn học

KẾ HOẠCH TIẾN ĐỘ THỰC HIỆN TỪNG TUẦN

STT Công việc thực hiện Người thựchiện

1

- - Sắp xếp công việc cho từng tuần (phân chia công việc cho từng thành viên).

Cả nhóm

Tìm hiểu đề tài.+ Tìm kiếm tài liệu liên quan: Linh kiện điện tử, điện tử căn bản, điện tử công suất…

Cả nhóm

+ Tìm hiểu nguyên lý các mạch có liên quan đến đề tài. Cả nhóm+ Tham khảo ý kiến của những người có chuyên môn. Cả nhóm

2

- Sau khi đã tìm hiểu đề tài sẽ đưa ra cơ sở lý thuyết chung của đề tài.- Từ đó xây dựng được sơ đồ khối.- Đưa ra nguyên tắc hoạt động của các khối và các linh kiện sẽ sử dụng để thiết kế mạch phù hợp với yêu cầu từng khối.

Cả nhóm.

3- Thiết kế sơ đồ nguyên lý toàn mạch eagle 6.10- Tính toán thông số rồi tiến hành chạy mô phỏng proteus7.10

Cả nhóm.

4

- Ráp mạch và khảo sát trên bo mạch (nếu gặp lỗi chỉnh sửa lại).

Cả nhóm

-Đo đạc kiểm tra xem trên board chạy có đạt yêu cầu hay không?

Cả nhóm

-Tiến hành làm sản phẩm Cả nhóm

- Lắp ráp hoàn tất sản phẩm. Cả Nhóm

5- Chuẩn hóa nội dung, làm quyển thuyết minh. Cả nhóm

- Hoàn tất sản phẩm, kiểm tra lại toàn bộ nội dung. Cả nhóm

7

Page 8: Do an Chuyen Ma Nhi Phan 4 Bit Sang Ma Gray Va Du 3 4196

Truờng ĐH SPKT Hưng YênKhoa Điện –Điện tử Đồ án môn học

CHƯƠNG I TỔNG QUAN ĐÈ TÀI

1.1. Mã nhị phân,gray và dư 3.

1.1.1. Mã nhị phân.

1.1.1.1. Tổng quanMột mã nhị phân là một cách để đại diện cho văn bản hoặc hướng dẫn

xử lý máy tính bằng cách sử dụng của hệ thống số nhị phân của hai chữ số nhị

phân 0 và 1. Điều này được thực hiện bằng cách gán một chuỗi bit cho mỗi

biểu tượng cụ thể hoặc hướng dẫn. Ví dụ, một chuỗi nhị phân của tám chữ số

nhị phân ( bit ) có thể đại diện cho bất kỳ của 256 giá trị có thể và do đó có

thể tương ứng với một loạt các biểu tượng, chữ cái hoặc chỉ dẫn khác nhau.

Một mã nhị phân là một cách để đại diện cho văn bản hoặc hướng dẫn

xử lý máy tính bằng cách sử dụng của hệ thống số nhị phân của hai chữ số nhị

phân 0 và 1. Điều này được thực hiện bằng cách gán một chuỗi bit cho mỗi

biểu tượng cụ thể hoặc hướng dẫn. Ví dụ, một chuỗi nhị phân của tám chữ số

nhị phân ( bit ) có thể đại diện cho bất kỳ của 256 giá trị có thể và do đó có

thể tương ứng với một loạt các biểu tượng, chữ cái hoặc chỉ dẫn khác nhau.

Trong máy tính và viễn thông, mã nhị phân được sử dụng cho bất kỳ

một loạt các phương pháp mã hóadữ liệu, chẳng hạn như các chuỗi ký tự vào

chuỗi bit. Những phương pháp này có thể được cố định chiều rộng hoặc chiều

rộng biến . Trong một mã nhị phân có chiều rộng cố định, mỗi chữ cái, chữ số

hoặc ký tự khác, được đại diện bởi một chuỗi bit của chiều dài tương tự, rằng

chuỗi bit, giải thích như là một số nhị phân , thường được hiển thị trong bảng

mã trong bát phân , thập phân hoặc thập lục phân ký hiệu. Có rất nhiều các bộ

ký tự và nhiều bảng mã ký tự cho họ.

8

Page 9: Do an Chuyen Ma Nhi Phan 4 Bit Sang Ma Gray Va Du 3 4196

Truờng ĐH SPKT Hưng YênKhoa Điện –Điện tử Đồ án môn học

Một chuỗi bit, được hiểu là một số nhị phân, có thể được dịch thành

một số thập phân . Ví dụ, chữ thường "một" như đại diện bởi chuỗi bit

01100001, cũng có thể được đại diện là số thập phân 97.

1.1.1.2.Lịch sử của mã nhị phân

Số nhị phân được mô tả lần đầu tiên trong Chandashutram bằng văn

bản của Pingala trong 100 trước công nguyên. Mã nhị phân lần đầu tiên được

giới thiệu bởi các nhà toán học và triết học Đức Gottfried Wilhelm

Leibniz trong thế kỷ 17. Leibniz đã cố gắng để tìm thấy một hệ thống chuyển

đổi báo cáo bằng lời nói logic vào một toán học tinh khiết. Sau khi ý tưởng

của ông đã được bỏ qua, ông đi qua một văn bản cổ điển Trung Quốc gọi

là "Kinh Dịch hay Sách Thay đổi ', mà sử dụng một loại mã nhị phân. Cuốn

sách đã khẳng định lý thuyết của ông rằng sự sống có thể được đơn giản hóa,

giảm xuống đến một loạt các mệnh đề đơn giản. Ông đã tạo ra một hệ thống

bao gồm các hàng của số không và những người thân. Trong khoảng thời gian

này, Leibiniz chưa tìm thấy một sử dụng cho hệ thống này. 

Một nhà toán học và triết học bằng tên của George Boole xuất bản một

bài báo năm 1847 được gọi là "phân tích toán học của Logic mô tả một hệ

thống đại số logic, bây giờ được gọi là đại số Boolean . Hệ thống của Boole

đã được dựa trên hệ nhị phân, 1 có, không, on-off phương pháp tiếp cận đó

bao gồm các 3 hoạt động cơ bản nhất AND, OR, và NOT. [ 2 ] Hệ thống này đã

không đưa vào sử dụng cho đến khi 1 sinh viên tốt nghiệp từ Viện Công nghệ

Massachusetts theo tên Claude Shannon nhận thấy rằng các đại số Boolean

ông đã học được là tương tự như một mạch điện. Shannon đã viết luận án của

mình vào năm 1937, thực hiện phát hiện của mình. Shannon của luận án đã

trở thành một điểm khởi đầu cho việc sử dụng các mã nhị phân trong ứng

dụng thực tế như máy tính,

9

Page 10: Do an Chuyen Ma Nhi Phan 4 Bit Sang Ma Gray Va Du 3 4196

Truờng ĐH SPKT Hưng YênKhoa Điện –Điện tử Đồ án môn học

1.1.2 Mã gray

1.1.2.1. Mã nhị phân phản xạ Cũng được biết đến với tên gọi là mã Gray – đặt theo tên của Frank

Gray, là một hệ thống ký số nhị phân, trong đó hai giá trị liên tiếp chỉ khác

nhau một chữ số. Lúc đầu, mã nhị phân phản xạ được phát minh với mục

đích ngăn ngừa tín hiệu ngõ ra không chính xác của các bộ chuyển mạch cơ

điện. Ngày nay, mã Gray được sử dụng rộng rãi để sửa lỗi trong những

phương tiện liên lạc bằng số, ví dụ như truyền hình kỹ thuật số mặt đất và

một vài hệ thống truyền hình cáp

1.1.2.2.Tên gọiTên gốc “mã nhị phân phản xạ” được đưa ra dựa vào một tính chất của

bảng mã Gray : các giá trị ở nửa sau của bảng mã có sự đối xứng với các giá

trị ở nửa đầu của bảng mã theo thứ tự ngược lại, ngoại trừ bit cao nhất bị đảo

giá trị. Tính chất đối xứng này vẫn đúng cho các bit thấp hơn trong mỗi nửa

của

bảng mã, trong mỗi phần tư của bảng mã, v.v.. Cách gọi thông dụng hiện nay

-mã Gray - được đặt theo tên của nhà nghiên cứu Frank Graylàm việc

ở phòng thí nghiệm Bell. Gray đã dùng mã này trong hệ thống thông tin mã

xung của ông, trong một bằng sáng chế xin cấp vào năm 1947 (được cấp vào

năm 1953). Thực ra, Gray không phát minh ra mã này, mà trong bằng sáng

chế của mình,ông ta chỉ trích dẫn và gọi đó là “mã nhị phân phản xạ”. Lịch sử

và các ứng dụng thực tiễn

Mã nhị phân phản xạ đã được ứng dụng trong những câu đố toán học

trước khi trở nên phổ biến trong lĩnh vực kỹ thuật. Kỹ sư người Pháp Émile

Baudot đã dùng mã Gray trong hệ thống điện báo vào năm 1878. Ông ta đã

được nhận huân chương Bắc đẩu bội tinh cho công trình này. Mã Gray đôi khi

bị gán nhầm là được đặt tên theo Elisha Gray, chẳng hạn trong một cuốn sách

giáo khoa bàn về điều chế mã xung.

10

Page 11: Do an Chuyen Ma Nhi Phan 4 Bit Sang Ma Gray Va Du 3 4196

Truờng ĐH SPKT Hưng YênKhoa Điện –Điện tử Đồ án môn học

Frank Gray, nhà vật lý thuộc phòng thí nghiệm Bell, người nổi tiếng

với việc phát minh ra phương pháp tín hiệu hoá được dùng cho tivi màu tương

thích, đã phát minh một phương pháp để chuyển đổi tín hiệu tương tự sang

những nhóm mã nhị phân phản xạ bằng cách dùng thiết bị dựa trên đèn chân

không. .[3]. Phương pháp và các thiết bị này được cấp bằng sáng chế

năm 1953 và kể từ đó Gray được lấy tên để đặt cho loại mã này. Loại thiết bị

“đèn PCM” mà Gray mô tả trong bằng sáng chế của mình đã được chế tạo

thực sự bởi Raymond W. Sears của phòng thí nghiệm Bell, cùng làm việc với

Gray và William M. Goodall, là người đã gợi ý cho Gray về việc dùng mã nhị

phân phản xạ.

Trong thời kỳ đó, Gray đã hết sức thích thú với việc dùng mã này để tối

thiểu hóa sai số trong việc chuyển đổi từ tín hiệu tương tự sang tín hiệu số; và

cho đến tận bây giờ, mã mang tên ông vẫn còn được dùng với mục đích này

cùng với một số mục đích khác nữa

Để mã hóa một con số như 127, sau đó, người ta chỉ đơn giản là mã hóa

của các chữ số thập phân như trên, đưa ra (0100, 0101, 1010).

Ưu điểm chính của mã hóa XS-3 trên BCD mã hóa là một số thập phân

có thể được nines 'bổ sung (cho phép trừ) là một cách dễ dàng như là một số

nhị phân có thể là bổ sung , chỉ cần đảo ngược tất cả các bit. Ngoài ra, khi

tổng của hai XS-3 chữ số lớn hơn 9, mang theo chút của một bộ cộng bốn bit

sẽ được thiết lập cao. Điều này làm việc bởi vì, khi bổ sung thêm hai con số

lớn hơn hoặc bằng số không, một giá trị "dư thừa" của sáu kết quả tiền. Kể từ

khi bốn bit số nguyên chỉ có thể chứa giá trị 0 tới 15, vượt quá sáu cách mà

bất kỳ một khoản hơn chín tràn ý chí.

Thêm vượt quá 3 công trình trên một thuật toán khác nhau hơn so với

mã hóa BCD hoặc các số nhị phân thường xuyên. Khi bạn thêm hai XS-3 con

số với nhau, kết quả là không XS-số 3. Ví dụ, khi bạn thêm 1 và 0 trong XS-3

câu trả lời có vẻ là 4 thay vì của 1. Để khắc phục vấn đề này, khi bạn hoàn tất

11

Page 12: Do an Chuyen Ma Nhi Phan 4 Bit Sang Ma Gray Va Du 3 4196

Truờng ĐH SPKT Hưng YênKhoa Điện –Điện tử Đồ án môn học

việc thêm mỗi chữ số, bạn có trừ 3 (nhị phân 11) nếu chữ số thập phân 10 và

thêm ba nếu số lớn hơn hoặc bằng số thập phân 10.

12

Page 13: Do an Chuyen Ma Nhi Phan 4 Bit Sang Ma Gray Va Du 3 4196

Truờng ĐH SPKT Hưng YênKhoa Điện –Điện tử Đồ án môn học

CHUƠNG 2 CÁC LINH KIỆN SỬ DỤNG TRONG MẠCH

2.1.IC 7805

2.1.1. Đặc điểm

- 78XX là dùng họ điện tử dùng để biến đổi hiệu điện thế từ cao đến

thấp tùy thuộc vào đặc tín của từng loại họ 78

Ví dụ họ :7805,7808,7812…..

Trong sơ đồ nguyên lí nó được kí hiệu như hình vẽ dưới 78XX được

ứng dụng trong nhiều mạch điện tử điều khiển

- Ta thấy họ 78 có 3 chân :có hai chân vào và 1 chân ra (chung nhau dây

âm

chân ra ) khi đó tại chân sẽ cho ta hiệu điện thế mà chúng ta cần theo

từng loại chức năng của họ 78

2.1.2. Cách đọc chân của họ 78xx Ví dụ

7805 là ic ổn định điện điện áp đầu ra luôn là 5v

7812 là điện áp ở cửa ra là 12v (điện áp đưa vào >12v)

7812 đầu vào nằm trong khoảng (12v-36v) nếu các bạn cho cao thì 78 sẽ

không hoạt động lâu nên bạn cần phải lắp tản nhiệt cho nó

Đọc chân :nếu ta đặt 78 như hình vẽ dưới đây :

13

Page 14: Do an Chuyen Ma Nhi Phan 4 Bit Sang Ma Gray Va Du 3 4196

Truờng ĐH SPKT Hưng YênKhoa Điện –Điện tử Đồ án môn học

2.1.3. Hình dạng thực tế

Chân số 1 gọi là chân vào (in)

Chân số 2 gọi là chân mát (GND)

Chân số 3 gọi là chân ra ( 0UT)

Pin No-thứ tự Function-hàm số Name-tên

1 Input voltage (5V-18V) Input

2 Ground (0V) Ground

3 Regulated output; 5V (4.8V-5.2V) Output

2.2 IC 7486Ic 2 đầu vào,ic 7486 là ic tích hơp 4 hàm xor

Đầu vào là 2 chân A và B.

Đầu ra là chân Y

14

Page 15: Do an Chuyen Ma Nhi Phan 4 Bit Sang Ma Gray Va Du 3 4196

Truờng ĐH SPKT Hưng YênKhoa Điện –Điện tử Đồ án môn học

Chân 7 nối mát

Chân 14 với dương nguồn

2.3.IC 7408

Đầu vào là 2 chân A và B.

Đầu ra là chân Y

Chân 7 nối mát

Chân 14 với dương nguồn

15

Page 16: Do an Chuyen Ma Nhi Phan 4 Bit Sang Ma Gray Va Du 3 4196

Truờng ĐH SPKT Hưng YênKhoa Điện –Điện tử Đồ án môn học

2.4. IC 7432

Đầu vào là 2 chân A và B.

Đầu ra là chân Y

Chân 7 nối mát. Chân 14 với dương nguồn

16

Page 17: Do an Chuyen Ma Nhi Phan 4 Bit Sang Ma Gray Va Du 3 4196

Truờng ĐH SPKT Hưng YênKhoa Điện –Điện tử Đồ án môn học

2.5 IC 7404

Đầu vào là 2 chân A và B.

Đầu ra là chân Y

Chân 7 nối mát

Chân 14 với dương nguồn

17

Page 18: Do an Chuyen Ma Nhi Phan 4 Bit Sang Ma Gray Va Du 3 4196

Truờng ĐH SPKT Hưng YênKhoa Điện –Điện tử Đồ án môn học

2.6 Tụ điện. Tụ điện là một linh kiện thụ động và được sử dụng rộng rãi trong các

mạch điện tử, được sử dụng trong các mạch lọc nguồn, lọc nhiễu mạch truyền

tín hiệu, mạch dao động…

a) Khái niệm.

Tụ điện là linh kiện dung để cản trở và phóng nạp khi cần thiết và được

đặc trưng bởi dung kháng phụ thuộc vào tần số điện áp.

Ký hiệu của tụ điện trong sơ đồ nguyên lý là:

Tụ không phân cực là tụ có hai cực như nhau và giá trị thường nhỏ

(pF).

Tụ phân cực là tụ có hai cực tính âm và dương không thể dũng lẫn lộn

nhau được. Có giá trị lớn hơn so với tụ không phân cực.

b) Cấu tạo.

18

Page 19: Do an Chuyen Ma Nhi Phan 4 Bit Sang Ma Gray Va Du 3 4196

Truờng ĐH SPKT Hưng YênKhoa Điện –Điện tử Đồ án môn học

Hình 1.10. Cấu tạo của tụ điện

Cấu tạo của tụ điện gồm hai bản cực song song, ở giữa có một lớp cách điện

gọi là điện môi như tụ hóa, tụ gốm, tụ giấy…Hình dạng tụ trong thực tế.

Hình 1.11 Tụ gốm Hình 1.12 Tụ hóa.

2.7. LEDLED là viết tắt của Light Emitting Diode, có nghĩa là điốt phát quang)

là các diode có khả năng phát ra ánh sáng hay tia hồng ngoại , tử ngoại. Cũng

giống như điốt, LED được cấu tạo từ một khối bán dẫn loại P ghép với một

khối bán dẫn loại N

19

Page 20: Do an Chuyen Ma Nhi Phan 4 Bit Sang Ma Gray Va Du 3 4196

Truờng ĐH SPKT Hưng YênKhoa Điện –Điện tử Đồ án môn học

2.7.1.Tính chất.Tùy theo mức năng lượng giải phóng cao hay thấp mà bước sóng ánh

sáng phát ra khác nhau (tức màu sắc của LED sẽ khác nhau). Mức năng lượng

(và màu sắc của LED) hoàn toàn phụ thuộc vào cấu trúc năng lượng của các

nguyên tử chất bán dẫn.

LED thường có điện thế phân cực thuận cao hơn điốt thông thường,

trong khoảng 1,5V đến 3V. Nhưng điện thế phân cực nghịch ở LED thì không

cao. Do đó, LED rất dễ bị hư hỏng do điện thế ngược gây ra

`

20

Khối nguồn

Khối

Nhị phân

Khối

Chuyển mã

1

Khối

Chuyển mã

2

Page 21: Do an Chuyen Ma Nhi Phan 4 Bit Sang Ma Gray Va Du 3 4196

Truờng ĐH SPKT Hưng YênKhoa Điện –Điện tử Đồ án môn học

CHƯƠNG 3.THIẾT KẾ VÀ THI CÔNG MẠCH.

3.1. Bảng trạng thái chân lí của mạch

21

Khối

gray

Khối Dư 3

Page 22: Do an Chuyen Ma Nhi Phan 4 Bit Sang Ma Gray Va Du 3 4196

Truờng ĐH SPKT Hưng YênKhoa Điện –Điện tử Đồ án môn học

3.2. Sơ đồ nhóm các trạng thái .

3. 2.1.Sơ đồ nhóm từ hệ nhị phân sang mã gray 00 01 11 10 00 01 11 10

22

Hệ thập

phân

Hệ nhị phân

A1A2A3A4

gray Mã dư 3

D1 D2 D3 D4

0 0000 0000 0 0 1 1

1 0001 0001 0 1 0 0

2 0010 0011 0 1 0 1

3 0011 0010 0 1 1 0

4 0100 0110 0 1 1 1

5 0101 0111 1 0 0 0

6 0110 0101 1 0 0 1

7 0111 0100 1 0 1 0

8 1000 1100 1 0 1 1

9 1001 1101 1 1 0 0

10 1010 1111 1 1 0 1

11 1011 1110 1 1 1 0

12 1100 1010 1 1 1 1

13 1101 1011 x x x x

14 1110 1001 x x x x

15 1111 1000 x x x x

00 1 101 1 111 1 110 1 1

00 1 101 1 1

11 1 110 1 1

Page 23: Do an Chuyen Ma Nhi Phan 4 Bit Sang Ma Gray Va Du 3 4196

Truờng ĐH SPKT Hưng YênKhoa Điện –Điện tử Đồ án môn học

G1 G2

00 01 11 10 00 01 11 10

G3 G4

3.2.2 .Sơ đồ nhóm chuyển từ hệ nhị phân sang mã dư 3.

D1 00 01 11 10 D3 00 01 11 10

000111

10

D2 00 01 11 10 D4 00 01 11 10

3.3. Kết quả đạt được tư nhóm các sơ đồ trên.

3.3.1.Gray:G1= B1

G2= B1 + B2

G3= B2 + B3

G4= B3 + B4

3.3.2.Dư 3T1= B1 + B2(B3+ B4)

T2= B2 + (B3+B4)

23

0001 1 1 1 11110 1 1 1 1

00 1 101 1 111 1 110 1 1

00 1 1 1 101 X11 1 1 X 110 X X

X 11 X 11 X X1 X X

00 1 1 1 101 X11 X X10 1 1 X 1

00 1 101 1 X 111 1 X 110 1 X 1

Page 24: Do an Chuyen Ma Nhi Phan 4 Bit Sang Ma Gray Va Du 3 4196

Truờng ĐH SPKT Hưng YênKhoa Điện –Điện tử Đồ án môn học

T3= B3B4 + B3B4

T4= B4

3.4. Sơ đồ nguyên lí của mạch chuyển đổi từ nhị phân sang gray và dư 3.

24

Page 25: Do an Chuyen Ma Nhi Phan 4 Bit Sang Ma Gray Va Du 3 4196

Truờng ĐH SPKT Hưng YênKhoa Điện –Điện tử Đồ án môn học

3.5. Sơ đồ board mạch

25

Page 26: Do an Chuyen Ma Nhi Phan 4 Bit Sang Ma Gray Va Du 3 4196

Truờng ĐH SPKT Hưng YênKhoa Điện –Điện tử Đồ án môn học

3.6.Nguyên lí làm việc của mạchTH1: khởi tạo đầu vào từ mã nhị phân bằng tay 4 công tắc là 0000, tất cả

các công tắc đều nối mass

Khi đó:

Chế độ chuyển từ B_G là 0000 lúc này không đèn nào sáng

Chế độ chuyển B_D la 0011 lúc này D3,D4 sáng

TH2:khởi tạo đầu vào từ mã nhị phân bằn tay 4 công tắc là 0001 ,với

công tắc 4 lên dương nguồn các công tắc còn lại đều nối mass

Khi đó:

Chế độ chuyển từ B_G là 0001 lúc này G4 sáng

Chế độ chuyển B_D là 0100 lúc này D2 sáng

TH3:khởi tạo đầu vào từ mã nhị phân bằng tay 4 công tắc là 0010 với

công tắc 3 lên dương nguồn các công tắc còn lại đều nối mass.

Khi đó:

Chế đọ chuyển từ B_G là 0011 lúc này G3 VÀ G4 sáng.

Chế độ chuyển từ B_D là 0101 lúc này D2 và D4 sáng.

TH4: Khởi tạo đầu vào từ mã nhị phân bằng tay 4 công tắc là 0011 với

công tắc số 3 và số 4 lên dương nguồn ,còn 2 công tắc còn lại xuống

mass.

Khi đó:

Chế độ chuyển từ B_G có đầu ra là 0010 lúc này G3 sáng.

Chế độ chuyển từ B_D có đầu ra là 0110 lúc này D2 và D3 sáng.

TH5: Khởi tạo đầu vào từ mã nhị phân bằng tay 4 công tắc là 0100 với

công tắc số 2 lên dương nguồn,các công tắc còn lại đều nối mass.

Khi đó:

Chế độ chuyển từ B_G có đầu ra là 0110 lúc này G2 và G3 đều

sáng.

26

Page 27: Do an Chuyen Ma Nhi Phan 4 Bit Sang Ma Gray Va Du 3 4196

Truờng ĐH SPKT Hưng YênKhoa Điện –Điện tử Đồ án môn học

Chế độ chuyển từ B_D có đầu ra là 0111 lúc này 3 đèn D4, D3,D2,

đều sáng.

TH6: Khởi tạo đầu vào từ mã nhị phân bằng tay 4 công tắc à 0101 với

công tắc số 2 và số 4 lên dương nguồn,2 công tắc còn lại nối mass.

Khi đó:

Chế đọ chuyển từ B_G đầu ra có giá trị là 0111 lúc này G2,G3,G4

đều sáng,

Chế độ chuyển từ B_D đầu ra có giá trị là 1000 lúc này có D1 sáng.

TH7: Khởi tạo đầu vào từ mã nhị phân bằng tay 4 công tắc là 0110 với

công tắc số 2 và số 3 lên dương nguồn,1,4 xuống mass.

Khi đó:

Chế độ chuyển từ B_G đầu ra có giá trị là 0101 lúc này G2 và G4

sẽ sáng.

Chế độ chuyển từ B_D đầu ra có giá trị là 1001 lúc này có D1 và

D4 sáng.

TH8: Khởi tạo đầu vào từ mã nhị phân bằng tay 4 công tắc là 0111 với

công tắc số 2,3,4 lên dương nguồn và công tắc 1 xuống mass.

Khi đó:

Chế độ chuyển từ B_G đầu ra có giá trị là 0100 lúc này đèn G2 sẽ

sáng.

Chế độ chuyển từ B_D đầu ra có giá trị là 1010 lúc này đèn d1 và

D3 sẽ sáng.

TH9: Khởi tạo đầu vào từ mã nhị phân bằng tay 4 công tắc là 1000 với

công tắc 1 lên dương nguồn còn các công tắc khác xuống mass.

Khi đó:

Chế độ chuyển từ B_G đầu ra có giá trị là 1100 lúc này G1 va

G2 sáng.

27

Page 28: Do an Chuyen Ma Nhi Phan 4 Bit Sang Ma Gray Va Du 3 4196

Truờng ĐH SPKT Hưng YênKhoa Điện –Điện tử Đồ án môn học

Chế độ chuyển từ B_D đầu ra có giá trị là 1011 lúc này

D1,D3,D4 sẽ sáng.

TH10: Khởi tạo đầu vào từ mã nhị phân băng tay 4 công tắc là 1001

với công tắc 1 và 4 lên dương nguồn,2 công tắc còn lại xuống mass.

Khi đó:

Chế độ chuyển từ B_G đầu ra có giá trị là 1101 lúc này

G1,G2,G4 sẽ sáng.

Chế độ chuyển từ B_D đầu ra có giá trị là 1100 lúc này D1 và

D2 sẽ sáng.

(Các trạng thái tiếp theo 11,12.13,14,15 tương tự như đã phân tích trên)

28

Page 29: Do an Chuyen Ma Nhi Phan 4 Bit Sang Ma Gray Va Du 3 4196

Truờng ĐH SPKT Hưng YênKhoa Điện –Điện tử Đồ án môn học

KẾT LUẬN

Đề tài là một đề tài hết sức hấp dẫn, nó có áp dụng thực tế trong đời

sống. Được sự hướng dẫn tận tình của các thầy (cô) trong khoa Điện – điện

tử và đặc biệt là thầy Lý Văn Đạt nhóm chúng em đã hoàn thành đồ án này

đúng thời gian quy định. Do kiến thức và thời gian có hạn nên trong quá

trình thực hiện đồ án không thể tránh đuợc những hạn chế và thiếu xót rất

mong các thầy cô chỉ bảo để chúng em có thêm những hiểu biết vả kinh

nghiệm thực hiện các đồ án môn học tiếp theo.

Sau một thời gian làm đồ án chúng em đã rút ra được rất nhiều kinh

nghiệm cho bản thân, đó cũng là nhờ vào sự chỉ dạy nhiệt tình của các thầy cô

và sự góp ý của các bạn.

Sau cùng một lần nữa chúng em xin chân thành bảy tỏ lòng biết ơn đối

với thầy LÝ VĂN ĐẠT và thầy cô trong khoa đã giúp chúng em hoàn thành

đồ án này.

Chúng em xin chân thành cảm ơn!

Nhóm sinh viên thực hiện

Lê Thị Thảo

Nguyễn Xuân Thảnh

Vũ Minh Thành

29

Page 30: Do an Chuyen Ma Nhi Phan 4 Bit Sang Ma Gray Va Du 3 4196

Truờng ĐH SPKT Hưng YênKhoa Điện –Điện tử Đồ án môn học

TÀI LIỆU THAM KHẢO.

1.Kỹ thuật số - Nguyễn Quốc Chung 2012

2. Giáo trình kỹ thuật số - Phạm Ngọc Thắng

3. datasheet.com

4.google.com.vn

5. tailieu.vn

6.vnroom.com

Và một số tài liệu khác........

Vấn đề gặp phải trong quá trình làm đồ án:

Nhóm các trạng thái chưa được rút gọn.

Một số ic,led chất lượng chưa tốt nên bị cháy ,hỏng.

Test trên panel các linh kiện và dây nối hay bị lỏng,làm mạch bị chạy sai,

Board mạch trên máy khó khăn khi đi dây.

Hàn linh kiện lệch mối lối....

30