eda cloud 使用說明 - cic.org.tedacloud/edacloud_v4.pdf · 9 “session"...
TRANSCRIPT
-
1
EDAcloud
EDAcloud ................................................................................................................. 1
1 .............................................................................................................. 3
1.1 :.............................................................. 3
1.2 EDACLOUDOTP ........................................................................................... 3
1.3 .............................................................................................................. 3
1.4 EDACLOUDOTP ................................................................................... 4
1.5 OTP............................................................................................................... 6
2 EDAcloud ............................................................................... 7
2.1 .............................................................................................................. 7
2.2 NXClient ...................................................................................................... 7
3 EDAcloud ......................................................................................... 12
3.1 ............................................................................................................ 12
3.2 ............................................................................................................ 12
3.3 EDAtool ..................................................................................................... 13
3.4 ............................................................................................ 13
3.5 ............................................................................................................ 14
3.6 ............................................................................................................ 16
4 EDAFTP ..................................................................................................... 17
-
2
-
3
1
1.1 :
InternetExplorer IE
http://www.cic.org.tw
1.2 EDACLOUDOTP EDACLOUDOTP
1.3 CIC
-
4
CIC (:[email protected])
CIC
EDACLOUDOTP
1.4 EDACLOUDOTP EDACLOUDOTP
-
5
EDACLOUD EDACLOUD pa3201 CIC
Email EDACLOUD Email
EDACLOUD Email OTP
EDACLOUD
ACTIVEX
ACTIVEX
(http://www.cic.org.tw/cic_v13/edacloud/activeX_setting.pdf)
ACTIVEX
EDACLOUD
ACTIVEX
(http://www.cic.org.tw/cic_v13/edacloud/activeX_setting.pdf)
-
6
1.5 OTP OTP
-
7
2 EDAcloud
2.1 EDACloudOTP EDA
2.2 NXClient [] [] [NXClientforWindows] OpenNX
-
8
ConnectionWizard
-
9
Session Host CIC
:edac.cic.org.twProtocol SSHPort CIC
( port ):6002
ISDNNext
session desktop CDE
-
10
OTP Configure
-
11
login
RSAkey yes
-
12
3 EDAcloud EDAcloud
3.1 PDF
Terminal .eadcshrc(.cshrc)
3.2
Cellbased
/cad/CBDK/CBDK_TSMC90GUTM_Arm_v1.2/CBDK_TSMC90GUTM_Arm_v1.2.list
Fullcustom
/cad/PDK/TSMC90GUTM/PDK_TSMC90GUTM.list
-
13
3.3 EDAtool Terminal
EDAtool
DesignCompiler"Rdc_shell"runedadc_shell
GUI Rdc_shellgui
Areyousureyouwanttocontinueconnecting(yes/no)?
yes
runeda
R source .cshrc
&fg
terminal terminal Ctrl+Shift+T
Terminal
3.4 EDAcloud
EDAcloud Rkill
-
14
3.5 @verRdc_shell@ver
Availableversions:
(0)2010.03sp5
(1)2013.03sp4
cur=2013.03sp4
2013.03sp4
Rdc_shell@ver0 0 2010.03sp5 DesignCompiler
Rdc_shell@ver0gui
EDAtool
Vendor Software Command Availableversion
CellbasedEDAtools
Atrenta SpyGlass Rspyglass 5.0.0.5Cadence INCISIV Rncverilog 12.20.012Cadence EDI Rrc
Rencounter
EDI_13.13.000
EDI10.13.008
HOY Brains Rbrains 2014.01Mentor ModelSim Rvsim 10.1c
10.2c
Synopsys DesignCompiler Rdc_shellRdesign_vision
Rlc_shell
2013.03sp4
2010.03sp5
Synopsys ICCompiler Ricc_shell 2013.03sp4vD2010.03SP53
Synopsys nLint RnLint 2013.09Synopsys PrimeRail Rpr_shell 2010.06sp1
vG2012.06SP11.auth
Synopsys PrimeTime Rpt_shell 2013.06sp2Synopsys TetraMAX Rtmax 2013.03sp4
vG2012.06SP51.auth
2010.03sp5
-
15
Synopsys VCSMX Rvcs 2013.06vG2012.09SP1
Synopsys Verdi RverdiRnWave
Rfast
2013.07
Synopsys Milkyway RMilkyway vH2013.03SP1vG2012.06SP5
Synopsys Formality Rfm_shellRformality
2013.03sp4
Synopsys MVtool RmvcmpRmvdbgen
Rmvrc
2013.06
Synopsys StarRC RStarXtract 2013.06sp2Syntest TurboBISTMemory Rsrambist 2012.0964bitFullCustomEDAtools
Cadence IC RicfbRvirtuoso
IC51.41.151
IC_06.16.010
Synopsys Laker Rlaker 2013.08Mentor Calibre Rcalibre ixl_cal_2013.3_28.19()
-
16
3.6 EDAcloud
-
17
4 EDAFTP 1. EDAFTP FTP EDAFTP (
) EDAcloud FTP EDAFTP
EDAcloud EDAcloud
2. FTPCLIENT :FileZlliahttps://filezillaproject.org/download.php?type=client
3.
-
18
4. FTP : 1. 2. 12003.
5. : :edaftp.cic.org.tw OTP
FTP
-
19
6. EDACLOUD ZIPortar
7. EDACLOUD
8. FTP FTP ftpedaftpl9. FTP
getfile_name
FTP delfile_name
unzipfile.ziportarxffile.tar
PS:FTP 3:00