embedded system lab. 정범종 [email protected] a_drm: architecture-aware distributed resource...

17
Embedded System Lab. Embedded System Lab. 정정정 [email protected] A_DRM: Architecture-aware Distributed Resource Management of Virtualized Clusters H. Wang et al. VEE, 2015

Upload: darren-asher-miller

Post on 13-Dec-2015

216 views

Category:

Documents


1 download

TRANSCRIPT

Page 1: Embedded System Lab. 정범종 tuckdae@naver.com A_DRM: Architecture-aware Distributed Resource Management of Virtualized Clusters H. Wang et al. VEE, 2015

Embedded System Lab.

Embedded System Lab.

정범종[email protected]

A_DRM: Architecture-aware Distributed Resource Management of Virtualized Clusters

H. Wang et al. VEE, 2015

Page 2: Embedded System Lab. 정범종 tuckdae@naver.com A_DRM: Architecture-aware Distributed Resource Management of Virtualized Clusters H. Wang et al. VEE, 2015

정 범 종

Embedded System Lab.

Table of contents Background

Problems & Challenges

Reference paper

A-DRM A-DRM : Design A-DRM : Implementation

Evaluation

Conclusion

Reference

Page 3: Embedded System Lab. 정범종 tuckdae@naver.com A_DRM: Architecture-aware Distributed Resource Management of Virtualized Clusters H. Wang et al. VEE, 2015

정 범 종

Embedded System Lab.

Backgound Virtualized systems

Virtual machine can interact independently with other devices, applica-tions, data and users as though it were a separate physical resource

DRM(Distributed Resource Management)

Virtualized cluster

Para-Virtualization / Full-Virtualization

Page 4: Embedded System Lab. 정범종 tuckdae@naver.com A_DRM: Architecture-aware Distributed Resource Management of Virtualized Clusters H. Wang et al. VEE, 2015

정 범 종

Embedded System Lab.

Backgound Live migration

The process of moving a running virtual machine or application between different physical machines without disconnecting the client or application

High resource utilization and energy savings

Microarchitecture / Performance counters Performance Monitoring Unit

hardware performance counters To provide clear and accurate performance information to the software devel-

oper

IPC (Instruction Per Cycle)

Page 5: Embedded System Lab. 정범종 tuckdae@naver.com A_DRM: Architecture-aware Distributed Resource Management of Virtualized Clusters H. Wang et al. VEE, 2015

정 범 종

Embedded System Lab.

Problems & Challenges DRM schemes usually use operating-system-level metrics

CPU utilization, memory capacity demand and I/O utilization

DRM schemes are oblivious to microarchitecture-level resource interference

A-DRM takes into account microarchitecture-level resource interference when making migration decisions in a virtualized cluster

Page 6: Embedded System Lab. 정범종 tuckdae@naver.com A_DRM: Architecture-aware Distributed Resource Management of Virtualized Clusters H. Wang et al. VEE, 2015

정 범 종

Embedded System Lab.

Reference Paper Cuanta: Quantifying Effects of Shared On-chip Resource Interference

for Consolidated Virtual Machines

this paper focus on the performance impact of consolidated applica-tions due to shared on-chip resources such as the lastlevel cache space and memory bandwidth

An average prediction error of less than 4% is achieved across a wide variety of benchmark workload

Page 7: Embedded System Lab. 정범종 tuckdae@naver.com A_DRM: Architecture-aware Distributed Resource Management of Virtualized Clusters H. Wang et al. VEE, 2015

정 범 종

Embedded System Lab.

A-DRM : Design

Profiler monitor resource usage/demands and report them to the controller periodically

Composition CPU and Memory profiler, architectural resource profiler

Controller detect microarchitecture-level shared resource interference

leverage this information to perform VM migration.

Composition Profiling Engine, Architecture-aware Interference Detector, Architecture-aware DRM policy, Migration En -

gine

Page 8: Embedded System Lab. 정범종 tuckdae@naver.com A_DRM: Architecture-aware Distributed Resource Management of Virtualized Clusters H. Wang et al. VEE, 2015

정 범 종

Embedded System Lab.

A-DRM : Design - Controller Profiling Engine

The profiling engine stores the data collected by the profiler

Architecture-aware Interference Dectector It is invoked at each scheduling interval to detect microarchitecture-level shared re-

source interference

Architecture-aware DRM policy It is used to determine new VM-to-Host mappings to mitigate the detected interfer-

ence

computes the increase in LLC miss rates at each potential destination host, to quantify the cost and benefit / <contended host, VM, potential destination>

Migration Engine The migration engine is then invoked to achieve the new VM-to-Host mappings via

VM migration

Page 9: Embedded System Lab. 정범종 tuckdae@naver.com A_DRM: Architecture-aware Distributed Resource Management of Virtualized Clusters H. Wang et al. VEE, 2015

정 범 종

Embedded System Lab.

A-DRM : Implementation A-DRM use the Linux performance monitoring tool perf to access the

hardware performance counters

Memory Bandwidth Measurement in NUMA System

Cost-Benefit Analysis <src, vm, dst>

Cost VM Migration Performance Degradation at dst

Benefit Performance Improvement of vm Performance Improvement at src

Page 10: Embedded System Lab. 정범종 tuckdae@naver.com A_DRM: Architecture-aware Distributed Resource Management of Virtualized Clusters H. Wang et al. VEE, 2015

정 범 종

Embedded System Lab.

Evaluation Workload Characterization

there is no strong correlation between memory capacity demand and memory bandwidth (left figure)

generally, workloads that consume low memory bandwidth exhibit a high LLC hit ra-tio (right figure)

Page 11: Embedded System Lab. 정범종 tuckdae@naver.com A_DRM: Architecture-aware Distributed Resource Management of Virtualized Clusters H. Wang et al. VEE, 2015

정 범 종

Embedded System Lab.

Evaluation

A-DRM Case Study

we conclude that by migrating VMs appropriately using online mea-surement of microarchitecture-level resource usage

Page 12: Embedded System Lab. 정범종 tuckdae@naver.com A_DRM: Architecture-aware Distributed Resource Management of Virtualized Clusters H. Wang et al. VEE, 2015

정 범 종

Embedded System Lab.

Conclusion A-DRM can enhance the performance of virtual machines by up to

26.55% (average of 9.67%),

A-DRM improves the average cluster-wide memory bandwidth utiliza-tion by 17% (up to 36%)

Results show that being aware of microarchitecture-level shared re-source usage can enable A-DRM scheme to make more effective mi-gration decisions

Page 13: Embedded System Lab. 정범종 tuckdae@naver.com A_DRM: Architecture-aware Distributed Resource Management of Virtualized Clusters H. Wang et al. VEE, 2015

정 범 종

Embedded System Lab.

Q & A

Page 14: Embedded System Lab. 정범종 tuckdae@naver.com A_DRM: Architecture-aware Distributed Resource Management of Virtualized Clusters H. Wang et al. VEE, 2015

정 범 종

Embedded System Lab.

Architecture-aware Interference Detector

Architecture-aware DRM policy

Page 15: Embedded System Lab. 정범종 tuckdae@naver.com A_DRM: Architecture-aware Distributed Resource Management of Virtualized Clusters H. Wang et al. VEE, 2015

정 범 종

Embedded System Lab.

Evaluation Performance Studies for Heterogeneous Workloads

Page 16: Embedded System Lab. 정범종 tuckdae@naver.com A_DRM: Architecture-aware Distributed Resource Management of Virtualized Clusters H. Wang et al. VEE, 2015

정 범 종

Embedded System Lab.

Evaluation Sensitivity to Workload Intensity

Page 17: Embedded System Lab. 정범종 tuckdae@naver.com A_DRM: Architecture-aware Distributed Resource Management of Virtualized Clusters H. Wang et al. VEE, 2015

정 범 종

Embedded System Lab.

Evaluation Parameter Sensitivity

The performance of A-DRM can be affected by control knobs such as the MBW_Threshold, live migration timeout, and the sliding window size

evaluate the impact of these different parameters