fpga アクセラレータ カードの pcb デザイン ガイ...

38
XAPP1316 (v1.1) 2018 12 21 1 japan.xilinx.com この資料は表記のバージ ョ ンの英語版を翻訳し たもので、 内容に相違が生じ る場合には原文を優先し ます。 資料によっては英語版の更新に対応していないものがあります。 日本語版は参考用 と し てご使用の上、 最新情報につき ま し ては、 必ず最新英語版を ご参照 く だ さ い。 概要 このアプリケーション ノ ー ト では、 PCI Express Card Electromechanical Specification, Revision 3.0 [参照 1] で定義されたアク セラレータ カード フォーム ファクターの概要を説明します。 スタックアップ デザ イ ン、 誘電材料の選択、 PCB 設計プロ セスで使用する PCB 製造技術な ど、 プ リ ン ト 回路基板 (PCB) の設計上の課題を取 り 上げます。 「コンポーネン ト配置のガ イドライン」 では、 高速 メ モ リ デザイン、 高速 SerDes チャネル デザイン、 および電源供給ネッ ト ワーク ( ここでは PDN と 呼ぶ) デザインの推奨レイアウ ト を紹介します。 このアプリケーション ノートのリファレンス デザイン ファイルは、ザイリンクスのウェブサイトからダウンロードでき ます。 デザイン フ ァ イ ルの詳細は、 「リファレンス デザイン」 を参照してください。 はじめに ハイパースケール ( クラウド ) コンピューティングに加え、 ハイ パフォーマンス コンピューティング (HPC) も着実に発展 を続けてお り 、 政府機関、 石油、 金融サービ ス、 生命科学産業では HPC でデータ マイニングおよび解析を実行し、 脅威 の監視、 パターン/画像認識、 暗号化/復号化、 オプシ ョ ン評価、 資産 リ ス ク 解析、 地震モデ リ ン グ/解析、 遺伝子コード化 / マッチング、 医薬品モデリ ング/発見などに役立てています。 これらのアプ リ ケーシ ョ ンでは大量の演算処理とデータ クセスが発生するため、 コンピューティ ング性能と メモリ帯域幅の向上に対する要求は高まる一方です。 こ う した成長を 支えるため、 データセンター アーキテク ト は性能と効率を高める新しいサーバー アーキテクチャを模索しています。 こ のため、 CPU だけのシステムに代わり、 コプロセッサとしてハードウェア アクセラレータを使用することで性能を強化 したシステムが普及しはじめています。 このよ うな状況から、 グラフィ ックス プロセッシング ユニッ ト (GPU)FPGAその他のアクセラレータ技術が、 HPC ハイパースケール システムをかつてない性能レベルへと引き上げるための役割を 担 う 可能性が出て き ま し た。 データセンターで運用されるアクセラレータは、 使用される期間全体にわたってターゲッ ト ワークロード との互換性を 維持する必要があ り ます。 ただし ワーク ロードの種類は多岐にわた り、 刻々と変化する こ と を考える と、 この要件を満た すのは容易ではあり ません。 したがって、 データセンターで使用するアクセラレータはプログラマブルであるこ とが強く 求められます。 つま り、 データセンター市場ではプログラマブルな FPGA が理想的な選択肢 と な り ます。 データセンターで使用される PCB フォームファクター アクセラレータ カードのフォーム フ ァ ク タ ー要件は、PCI Express Card Electromechanical Specification, Revision 3.0 [参照 1] で定義されています。 アクセラレータ カードは、 アプ リ ケーシ ョ ン要件に応じて1 に記載した、 いずれかのフォーム ファクターで設計し ます。 アプリケーション ノート : UltraScale および UltraScale+ デバイス XAPP1316 (v1.1) 2018 12 21 FPGA アクセラレータ カードの PCB デザインガイドライン 著者: Ravindra Gali 1: アクセラレータ カードのフォーム ファクター カード タイプ 最大高 さ ( インチ) 長さ ( インチ) ロー プロファイル/ スリム PCIe® カード 2.731 4.72 または 6.59 ハーフ レングス (HL) 4.381 6.6 3/4 レングス 4.381 9.45 フル レングス (FL) 4.381 12.28

Upload: others

Post on 10-May-2020

3 views

Category:

Documents


0 download

TRANSCRIPT

XAPP1316 (v1.1) 2018 年 12 月 21 日  1japan.xilinx.com

この資料は表記のバージ ョ ンの英語版を翻訳したもので、 内容に相違が生じる場合には原文を優先します。 資料によっては英語版の更新に対応していないものがあります。 日本語版は参考用としてご使用の上、 最新情報につきましては、 必ず最新英語版をご参照ください。

概要

このアプリ ケーシ ョ ン ノートでは、 PCI Express Card Electromechanical Specification, Revision 3.0 [参照 1] で定義されたアクセラレータ カード フォーム ファ ク ターの概要を説明します。 スタ ッ クアップ デザイン、 誘電材料の選択、 PCB 設計プロセスで使用する PCB 製造技術など、 プ リ ン ト回路基板 (PCB) の設計上の課題を取り上げます。 「コンポーネン ト配置のガイ ド ラ イン」 では、 高速メモ リ デザイン、 高速 SerDes チャネル デザイン、 および電源供給ネッ ト ワーク (こ こでは PDN と呼ぶ) デザインの推奨レイアウ ト を紹介します。

このアプリ ケーシ ョ ン ノートの リ ファレンス デザイン ファ イルは、 ザイ リ ンクスのウェブサイ トからダウンロードできます。 デザイン ファ イルの詳細は、 「 リ ファレンス デザイン」 を参照して ください。

はじめに

ハイパースケール (ク ラウ ド ) コンピューティングに加え、 ハイ パフォーマンス コンピューティング (HPC) も着実に発展を続けており、 政府機関、 石油、 金融サービス、 生命科学産業では HPC でデータ マイニングおよび解析を実行し、 脅威の監視、 パターン/画像認識、 暗号化/復号化、 オプシ ョ ン評価、 資産リ スク解析、 地震モデリ ング/解析、 遺伝子コード化/マッチング、 医薬品モデリ ング/発見などに役立てています。 これらのアプリ ケーシ ョ ンでは大量の演算処理とデータ アクセスが発生するため、 コンピューティング性能と メモ リ帯域幅の向上に対する要求は高まる一方です。 こ う した成長を支えるため、 データセンター アーキテク トは性能と効率を高める新しいサーバー アーキテクチャを模索しています。 このため、 CPU だけのシステムに代わり、 コプロセッサと してハード ウェア アクセラレータを使用するこ とで性能を強化したシステムが普及しはじめています。 このよ うな状況から、 グラフ ィ ッ クス プロセッシング ユニッ ト (GPU)、 FPGA、その他のアクセラレータ技術が、 HPC ハイパースケール システムをかつてない性能レベルへと引き上げるための役割を担う可能性が出てきました。

データセンターで運用されるアクセラレータは、 使用される期間全体にわたってターゲッ ト ワークロード との互換性を維持する必要があ り ます。 ただしワークロードの種類は多岐にわたり、 刻々と変化するこ とを考える と、 この要件を満たすのは容易ではあ り ません。 したがって、 データセンターで使用するアクセラレータはプログラマブルであるこ とが強く求められます。 つま り、 データセンター市場ではプログラマブルな FPGA が理想的な選択肢とな り ます。

データセンターで使用される  PCB フォーム ファクター

アクセラレータ カードのフォーム ファ ク ター要件は、PCI Express Card Electromechanical Specification, Revision 3.0 [参照 1] で定義されています。

アクセラレータ カードは、 アプ リ ケーシ ョ ン要件に応じて表 1 に記載した、 いずれかのフォーム ファ ク ターで設計します。

アプリケーシ ョ ン ノート : UltraScale および UltraScale+ デバイス

XAPP1316 (v1.1) 2018 年 12 月 21 日

FPGA アクセラレータ  カードの PCB デザイン  ガイド ライン著者: Ravindra Gali

表 1: アクセラレータ  カードのフォーム ファクター

カード  タイプ 最大高さ  (インチ) 長さ  (インチ)

ロー プロファイル/ス リ ム PCIe® カード 2.731 4.72 または 6.59

ハーフ レングス (HL) 4.381 6.6

3/4 レングス 4.381 9.45

フル レングス (FL) 4.381 12.28

データセンターで使用される  PCB フォーム ファクター

XAPP1316 (v1.1) 2018 年 12 月 21 日  2japan.xilinx.com

どのカード も、 PCIe 仕様に準拠するには厚さ 1.57mm (0.062 インチ) ± 0.13mm (0.005 インチ) とする必要があ り ます。表 1 に記載した最大高さは、 エッジ フ ィンガー下端からカード上端までの長さです。

ホス ト サーバーには、 PCIe Gen3 x16 カードのエッジ コネクタを使用して接続します。 このインターフェイスは、 12V および 3.3V ピンを使用してカードに PCIe 信号および電源を供給します。

図 1 に、 ハーフ ハイ ト ハーフ レングス (ロー プロファイル) カードの例を示します。

図 2 に、 フル ハイ ト ハーフ レングス カードの例を示します。

X-Ref Target - Figure 1

図 1:ハーフ  ハイ ト  ハーフ  レングス、 ロー プロファイル カードの例

X19322-070517

X-Ref Target - Figure 2

図 2: フル ハイ ト、 ハーフ  レングス カードの例

X19323-070517

データセンターで使用される  PCB フォーム ファクター

XAPP1316 (v1.1) 2018 年 12 月 21 日  3japan.xilinx.com

図 3 に、 フル ハイ ト 3/4 レングス カードの例を示します。

X-Ref Target - Figure 3

図 3: フル ハイ ト、 3/4 レングス カードの例

X19324-070517

データセンターで使用される  PCB フォーム ファクター

XAPP1316 (v1.1) 2018 年 12 月 21 日  4japan.xilinx.com

アーキテクチャ

図 4 に、 一般的なアクセラレータ カードのブロッ ク図を示します。

一般的なカードの機能は次のとおりです。

• ターゲッ ト デバイス : ザイ リ ンクス Virtex® UltraScale+™ FPGA

• SDRAM: 2 ~ 4 つの 2667Mb/s x72 DDR4 インターフェイス (チップ直付け構成)

• イーサネッ ト ポート : 2 ~ 4 つの QSFP28 コネク タによる 2 x100GbE ~ 4 x100GbE

• Gen3/Gen4 PCIe x16 インターフェイス (x16 カード エッジ コネクタ経由でホス トに接続)

• FPGA コンフ ィギュレーシ ョ ン用のクワッ ド SPI フラ ッシュ メモ リ

• 温度および電力監視用の USB/JTAG/SYSMON

通常、 政府機関などの HPC ユーザーは VU9P、 VU11P、 VU13P などの大規模な Virtex FPGA を搭載したフル ハイ ト フル レングス フォーム ファ ク ター カードを選択します。 通常、 これらのカードは消費電力の制約を受けるこ とがなく、 信頼性と熱のみを考慮して設計します。 これらのカードで使用する FPGA の消費電力は約 100W ~ 200W です。

ハイパースケール ユーザーは一般に、 ロー プロファイル (ハーフ ハイ ト ハーフ レングス) カード、 フル ハイ ト ハーフ レングス カード、 フル ハイ ト 3/4 レングス カードを使用し、 アプリ ケーシ ョ ンに応じて VU3P、 VU5P、 VU7P、 または VU9P デバイスを選択します。 これらのカードは追加の電源コネクタを使用する場合があ り、 消費電力は 10W (x1)、 25W (x4、 x8) から最大で 300W まで幅があ り ます。

X-Ref Target - Figure 4

図 4:一般的なアクセラレータ  カードのブロック図

X19325-082317

スタ ックアップの定義と材料の選択

XAPP1316 (v1.1) 2018 年 12 月 21 日  5japan.xilinx.com

スタ ックアップの定義と材料の選択

PCIe 仕様に準拠したアクセラレータ カードを設計する場合、カードの厚さを 62mil ± 5mil に収めるこ とが大きな課題となり ます。 この厚さ制限を満たそう とする と、 全体の層数が大き く制限されます。 しかもシグナル/パワー インテグ リティ、機械的/熱設計の要件、 および PCB 製造の要件を考慮しながらコス ト を最小限に抑える必要もあるため、 設計は非常に困難です。

PCB スタ ッ クアップは、 銅箔と誘電材料 (絶縁材料) の層を交互に重ねた構造が一般的です。 PCB メーカーは積層板ベンダーから単体の積層板およびプリプレグ シート を購入し、 これらを必要な層数だけ交互に重ねます。 積層板とプリプレグ シートは、 PCB 製造工程で加熱加圧処理によって接着されます。 積層板/コアは、 薄い誘電材料の両面に銅のクラ ッ ド箔を貼り付けた構成となっています。 この銅のク ラ ッ ド箔が PCB の内層となり ます。 コアの誘電材料は、 硬化したガラス布材料とエポキシ樹脂で構成され、 この樹脂が銅箔と銅箔の間の絶縁層と しての役割を果たします。 ガラス布の主な目的は、 PCB に X および Y 方向の機械的強度を与えるこ とにあ り ます。 ガラス布には、 さまざまなロール幅、 スタイル (1035、 1080、 2113、 3313、 または 7628)、 厚さのものがあ り ます。

利用できる樹脂系は、 アプリ ケーシ ョ ン要件に応じていくつもの種類があ り ます。 代表的なものに、 エポキシ系 (FR4)、ポ リ イ ミ ド、 テフロン系樹脂、 ポ リ フェニレンオキサイ ド (PPO)、 ポ リ フェニレンエステル (PPE)、 シアン酸エステル (CE)、 ビスマレイ ミ ド ト リ アジン樹脂 (BT) などがあ り ます。 着目するパラ メーターと しては、 比誘電率 (Dk または r)、誘電正接 (Df)、 ガラス転移温度 (Tg)、 はんだ付けおよびリ ワークへの耐性、 吸湿性、 およびコス トがあ り ます。 樹脂を選択する際は、 ガラス布および銅箔との相性を考慮する必要があ り ます。

プ リプレグは樹脂を含浸させたシート状の誘電材料ですが、 積層板とは異なり樹脂が完全には硬化されていません。 プ リプレグはコア層ど う しの絶縁と接着の役割を果たします。 加熱加圧処理によってプリプレグを硬化する とすべての層が接着され、 PCB が完成します。 積層板ベンダーからはシグナル インテグ リティを高めるためにガラス タイプを変えたもの、ガラス布の織り、 樹脂含有率 (%)、 コア厚さ、 銅箔の重さ (1/2oz.、 1oz.、 2oz.)、 および銅箔タイプを変えたものなど、 さまざまな製法の積層板/コア シートが提供されています。

表 2 に、 PCIe 規格の厚さ要件を満たした代表的な 16 層スタ ッ クアップの仕様を示します。

表 2: PCI 規格に準拠した代表的な 16 層スタ ックアップ

層 材料タイプ 材料名 ガラス スタイル過圧後の材料の比

誘電率  r (@ 10GHz)

加圧後の材料厚さ  (mil)

1 最上位 銅 0.7

プリプレグ I-Speed IS 1067MS(1) 3.27 3.0

2 電源/グランド 銅 0.6

コア I-Speed IS 1067MS 3.27 3.0

3 信号 銅 0.6

プリプレグ I-Speed IS 1067MS 3.27 3.5

4 電源/グランド 銅 0.6

コア I-Speed IS 1067MS 3.27 3.0

5 信号 銅 0.6

プリプレグ I-Speed IS 1067MS 3.27 3.5

6 電源/グランド 銅 0.6

コア I-Speed IS 1067MS 3.27 3.0

7 信号 銅 0.6

プリプレグ I-Speed IS 1067MS 3.27 3.5

8 電源/グランド 銅 1.2

コア I-Speed IS 1067MS 3.27 3.0

9 電源/グランド 銅 1.2

スタ ックアップの定義と材料の選択

XAPP1316 (v1.1) 2018 年 12 月 21 日  6japan.xilinx.com

表 3 に、表 2 のスタ ッ クアップを使用して 39 (シングルエンド )、 50 (シングル エンド )、および 100 差動インピーダンスを達成するために必要な公称ト レース幅および間隔を示します。

全体的な基板層数を決定する上で、 誘電材料の選択は重要な要素とな り ます。 誘電材料を選択する際に考慮すべき重要なパラ メーターには、 次のものがあ り ます。

• 比誘電率 (Dk または r)

• 誘電正接 (Df)

• ガラス転移温度 (Tg)

• ガラス布の織り特性

• 絶縁破壊電圧 (DBV)

プリプレグ I-Speed IS 1067MS 3.27 3.5

10 電源/グランド 銅 1.2

コア I-Speed IS 1067MS 3.27 3.0

11 電源/グランド 銅 1.2

プリプレグ I-Speed IS 1067MS 3.27 3.5

12 信号 銅 0.6

コア I-Speed IS 1067MS 3.27 3.0

13 電源/グランド 銅 0.6

プリプレグ I-Speed IS 1067MS 3.27 3.5

14 信号 銅 0.6

コア I-Speed IS 1067MS 3.27 3.0

15 電源/グランド 銅 0.6

プリプレグ I-Speed IS 1067MS 3.27 3.0

16 最下位 銅 0.7

めっきおよびソルダー レジス ト を除くプレス厚さ 59.33 ±10%

めっきおよびソルダー レジス ト を含む全体の厚さ 62.87 ±10%

注記:1. MS = Mechanically Spread です。

表 2: PCI 規格に準拠した代表的な 16 層スタ ックアップ (続き)

層 材料タイプ 材料名 ガラス スタイル過圧後の材料の比

誘電率  r (@ 10GHz)

加圧後の材料厚さ  (mil)

表 3: PCI 規格に準拠した代表的な 16 層スタ ックアップのインピーダンス表

シングルエンド  (50  ± 10%) シングルエンド  (39  ± 10%) 差動 (100  ± 10%)

ライン幅 (mil)シミ ュレーシ ョ ンによるインピーダ

ンス ( )ライン幅

シミ ュレーシ ョ ンによるインピーダ

ンス ( )ライン幅 (mil) 間隔 (mil)

シミ ュレーシ ョ ンによるインピーダ

ンス ( )

3 ~ 50 5 ~ 39 3 10 ~ 100

3 ~ 50 5 ~ 39 3 10 ~ 100

3 ~ 50 5 ~ 39 3 10 ~ 100

3 ~ 50 5 ~ 39 3 10 ~ 100

3 ~ 50 5 ~ 39 3 10 ~ 100

スタ ックアップの定義と材料の選択

XAPP1316 (v1.1) 2018 年 12 月 21 日  7japan.xilinx.com

比誘電率

比誘電率 ( r または Dk) は、 ある絶縁体 (誘電材料) を使用した平行板キャパシタの容量と絶縁体 (誘電材料) を使用しない真空中の同じキャパシタの容量の比です。 真空の比誘電率は 1 です。 それ以外のすべての物質の比誘電率は 1 よ り大きい値です。

容量が増大するのは、 電界が存在する場所で双極子が再整列するこ とが原因です。 また、 容量が増大する と媒体中の電磁波の速度が大気中に比べ だけ減少します (式 1 参照)。

式 1

ただし

• C は自由空間における光の速度 (0.0118in./ps)

• V はこの誘電材料が存在する場合の伝搬速度

式 2

データシートに記載されている誘電材料の r は、 特定のガラス繊維と樹脂の組成に基づく実効 r 値です。 これは、 誘電材料を構成するガラスおよび樹脂材料にはそれぞれ固有の r 値があるためです。 伝送ラインのインピーダンスを計算するには、 実効 Dk または r を使用します。 Dk または r は周波数と反比例の関係にあ り、 周波数が高くなるにつれ材料の比誘電率は小さ くな り ます。

表 4 に、 PCB デザインで一般的に使用される誘電材料を示します。 こ こに示す値のほとんどは、 メーカーのデータシートに 10GHz または 12.5GHz における値と して記載されています。Tachyon 100G や Megtron 7 などの誘電材料は比較的新しく、 コス トは高いものの超高速デザインには特に適しています。

ほかの条件が同じであるなら、 r が小さい誘電材料を使用した方が、 一定のト レース ジオメ ト リに対してよ り高い トレース インピーダンスが得られるため有利です。 r の大きい誘電材料を用いて同じインピーダンスを得よ う とする と、ト レース ジオメ ト リ を非常に小さ くするか、 誘電材料の厚みを非常に大き くする必要があ り ます。

表 5、 表 6、 および表 7 に、 DDR4 QSFP+ インターフェイスの実装に必要なシングルエンド /差動インピーダンスを得るために必要な ト レース ジオメ ト リの例を示します。 これらの ト レース ジオメ ト リは、 表 2 に示したスタ ッ クアップを使用した場合のものです。

表 4: PCB デザインに使用する代表的な誘電材料

材料名 比誘電率 誘電正接データシートに記載された

最大周波数 (GHz)

一般的な FR4 4.50 0.015 N/A

Megtron 4 3.80 0.005 1

TU-872LK 3.80 0.009 10

FR408HRIS 3.37 0.0092 10

EM-888 3.80 0.008 10

EM-888K 3.20 0.006 10

Nelco N4000-13 3.70 0.008 10

Nelco N4000-13 Si 3.30 0.007 10

Megtron 6 3.63 0.004 12

Rogers 4003C 3.38 0.0027 10

Rogers 4350B 3.48 0.0037 10

Taychon 100G 3.02 0.0021 10

Megtron 7 (低 Dk ガラス) 3.35 0.002 12

I-Speed 3.63 0.0071 10

I-Speed IS 3.27 0.0064 10

r

r C V=

伝搬遅延 r C=

スタ ックアップの定義と材料の選択

XAPP1316 (v1.1) 2018 年 12 月 21 日  8japan.xilinx.com

表 5 と表 6 に示したシングルエンド インピーダンス ト レース幅の計算には、Isola の FR408HRIS を使用して基準スタ ッ クアップには図 5 を使用しました。 また、 表 7 に示した 100 差動インピーダンスの要件を満たすための ト レース幅と間隔の要件は、 図 6 を使用して求めました。 この計算結果を見る と、 一般的な FR4 基板で一定のト レース幅に対して同じインピーダンスを得よ う とする と、 内層の配線層に対する誘電材料の厚さを 1.4mil 増やす必要があるこ とがわかり ます。 この場合、 前掲のスタ ッ クアップに比べ基板の厚さが約 25% と大幅に増大し、 PCIe の厚さ要件を満たすこ とができません。また、 基板が厚くなる と標準 PCB 製造プロセスで一般的な 10:1 のビア アスペク ト比を超えてしま う可能性があ り ます。その場合は高度な PCB 製造プロセスが必要になるため、 さ らにコス トが上昇します。

表 5: 39  シングルエンド  インピーダンスを達成するために必要なスタ ックアップの例

誘電材料 rト レース厚さ

(T)W (mil)

D (H1+H2+T) (mil)

シングルエンド  ト レース インピーダンス ( )

一般的な FR4 4.50 0.6 5 8.6 ~ 39

I-Speed IS 3.27 0.6 5 7.1 ~ 39

表 6: 50  シングルエンド  インピーダンスを達成するために必要なスタ ックアップの例

誘電材料 r ト レース厚さ  (T) W (mil)D (H1+H2+T)

(mil)シングルエンド  ト レース 

インピーダンス ( )

一般的な FR4 4.50 0.6 3 8.6 ~ 50

I-Speed IS 3.27 0.6 3 7.1 ~ 50

表 7: 100  差動ト レース インピーダンスを達成するために必要なスタ ックアップの例

材料 r ト レース厚さ  (T) W (mil) 間隔 (S)D (H1+H2+T)

(mil)差動ト レース 

インピーダンス ( )

一般的な FR4 4.5 0.6 3 10 8.6 ~ 100

I-Speed IS 3.27 0.6 3 10 7.1 ~ 100

X-Ref Target - Figure 5

図 5: シングルエンド  スト リ ップライン

ƐrH1

H2

X19900-122018

スタ ックアップの定義と材料の選択

XAPP1316 (v1.1) 2018 年 12 月 21 日  9japan.xilinx.com

誘電正接 (Df)

このパラ メーターは、 積層板によって吸収される RF エネルギーの量を表します。 誘電正接の値は樹脂系およびガラス布ごとに異なり ます。 材料のデータシートに記載された誘電正接は、 これら 2 つを組み合わせたものです。 Dk 同様、 誘電正接 (tan(δ) または Df) も周波数によ り変動し、 ガラス と樹脂の組成比率によっても異なり ます。

誘電損失の一次近似は、 式 3 で求めます。

式 3

ただし

• f はナイキス トに等しい正弦波周波数 (GHz)

• Df は誘電正接

• r は比誘電率

詳細は、 「Loss in a channel: Rule of Thumb #9」 [参照 2] を参照してください。

幅広い周波数レンジでの誘電損失と導体損失の影響については、 これまで多くの業界論文で定量化されています。Dk = 4.4、 Df = 0.02 (~ 20GHz) の FR4 ベース基板では、 損失全体に占める導体損失の割合が約 13% と推定されます [参照 3]。 これに対し、 Dk = 3.7、 Df = 0.002 の低損失の誘電材料を使用した場合、 損失全体に占める導体損失の割合は約 30% にまで増大しました。 この結果から明らかなよ うに、 高速アプリ ケーシ ョ ン向けの PCB を設計する場合は、 誘電損失が支配的な損失メカニズムとなるこ とに注意が必要です。

注記: シグナル インテグ リティを最大にするには、 低 Dk かつ低 Df の誘電材料を選択するこ とを推奨します。

ガラス転移温度

ガラス繊維のガラス転移温度 (Tg) は、 樹脂の熱膨張率が低温時に比べはるかに大きい値に変化します。 PCB のはんだ温度が誘電材料の Tg を超える場合、 z 軸方向の膨張によってめっきスルーホールに過大な応力がかかり破損するこ とがあるため、 Tg は PCB の製造容易性を左右する重要なパラ メーターといえます。

ガラス布の織り特性

前述のとおり、 ガラス布の最大の目的は PCB に X および Y 方向の機械的強度を与えるこ とにあ り ます。 誘電材料におけるガラスの分布が一様でないと、 ト レースにはガラス布に起因するインピーダンスと速度のばらつきが生じます。 この結果、 差動ペアの場合は P と N の配線ペア間でスキューが生じます。 チャネルのデータ レートおよび長さによっては、 この影響による分のスキューが UI の 10 ~ 15% 以上に達するこ とがあ り、 特に 10Gb/ps を超えるデータ レートではスキューが非常に大き くな り ます。 ガラス布の織り特性がシグナル インテグ リティに与える影響の定量化とその緩和方法については、 業界で多くの研究がなされています [参照 4]。 この影響を緩和するには、 スタ ッ クアップ定義プロセスで MS (Mechanically Spread) ガラスを使用した積層板/プリプレグを使用するのが簡単かつ効果的です。 MS とは、 積層板を製造する際にガラスに対してエネルギーを印加して X/Y 方向に伸張および平坦化し、 よ り均一にする工程を追加したものです [参照 5]。 このよ うにエネルギーを印加する と、 PCB 上の伝送ライン全体で比誘電率のばらつきが少なくな り ます。

X-Ref Target - Figure 6

図 6:差動エッジ結合対称型スト リ ップライン

H1 W WS

TD

H2

Ɛr

X19516-092717

減衰 [dB/ in] 2.3 f Df r=

スタ ックアップの定義と材料の選択

XAPP1316 (v1.1) 2018 年 12 月 21 日  10japan.xilinx.com

このよ うなスタイルのガラス布の例と して、 1067MS、 1086MS、 1078MS、 3313MS などがあ り ます。 ガラス スタイル名末尾の 「MS」 が 「Mechanically Spread」 であるこ とを表しています。

注記: ガラス布の織り特性による影響を最小限に抑えるには、 3313MS などの MS スタイル ガラスを使用した積層板/プリプレグを選択して ください。

絶縁破壊電圧

積層板/プリプレグの材料を選択する際には、 Dk および Df 特性だけでなくデザインの絶縁破壊電圧 (DBV) 要件も検討する必要があ り ます。 電気通信市場で使用する製品の場合、 通常は 1500V の DBV が要求されます。 市販の積層板のほとんどは、 厚さ 1mil (25µm) あたりの DBV が約 1000V です。 したがって、 スタ ッ クアップ定義を作成する場合、 2mil 未満の積層板/プリプレグは選択できません。

銅箔に関するガイド ライン

マルチ ギガビッ ト チャネルで堅牢なシグナル インテグ リティを得るには、 これまで述べた誘電材料の特性だけでなく、銅箔の特性も慎重に評価する必要があ り ます。

銅箔は、 製造方法によって大き く 2 つに分類されます。 1 つは圧延銅箔で、 も う 1 つは電解 (ED) 銅箔です。 多層 PCB で使用する銅箔は、 電解銅箔が主流です。 PCB 製造工程中に銅箔が積層板材料からはがれないよ うに、 ピール強度に優れた銅箔を使用する必要があ り ます。 通常、 銅箔は重量 (1/2oz.、 1oz.、 2oz.) と銅箔タイプで指定します。 銅箔タイプには、標準 ED 銅箔、 Reverse-Treated Foil (RTF)、 High Temperature Elongation (HTE) シャイニー銅箔、 Double-Treated (DT) 銅箔、Very Low Profile (VLP/e、 VLP/H、 VLP) 銅箔などがあ り ます。 これらの銅箔タイプには、 それぞれ長所と短所があ り ます。標準 ED/HTE 銅箔は VLP 箔と異なり表面が粗く損失が大きいため、 マルチ ギガビッ ト レートで堅牢なインピーダンス制御とシグナル インテグ リティ性能を達成する目的には適していません。 一方、 VLP 箔はほかの銅箔に比べコス トが高く、ピール強度が低いという短所があ り ます。

導体損失の一次近似は、 式 4 で求めます。

式 4

ただし

• f はナイキス トに等しい正弦波周波数 (GHz)

• w はライン幅 (mil)

詳細は、 「Loss in a channel: Rule of Thumb #9」 [参照 2] を参照してください。

導体損失は、 表面粗さによる散乱損失と表皮効果による損失の和です (式 5)。

式 5

周波数が高くなる と、 電流分布の大半がト レース表面に押しやられます (表皮効果)。 導体の表面からどの深さまで電流が流れるかを表皮深さ と呼び、 通常は記号 で表します。 表皮深さは周波数の平方根に反比例します (式 6)。

式 6

ただし

• は角周波数

• µ は透磁率

• は銅の電気伝導率

表皮深さは 1GHz で約 2µm (80µin.) です。 したがって、 スタ ッ クアップ デザインに含まれる信号ト レースに ½oz. の銅箔 (700µin.) を選択しておけば、 電流の大部分がト レースの表面または底面を流れるため、 すべての高速リ ンクに十分に対応できます。 表皮効果の影響は、 主に周波数の平方根に比例して抵抗が増大する形で現れます。 これを導体損失と呼びます (式 7)。

式 7

減衰 [dB/ in]- 1 w[mils] f[GHz]

導体損失 表皮効果による損失 表面粗さによる散乱損失+=

2 =

Rac 幅 (W) x 表皮深さ–

スタ ックアップの定義と材料の選択

XAPP1316 (v1.1) 2018 年 12 月 21 日  11japan.xilinx.com

表皮効果による損失を最小にする方法の 1 つに、 ト レース ジオメ ト リの幅を広く して表面積を大き くする という ものがあ り ます。 ただし、 この方法は、 PCB の厚さ、 ク ロス トーク、 およびコス トが増大する という短所があ り ます。 同じインピーダンスで ト レースの幅を広くするには、 最も近い基準面に対する高さを大き くする必要があ り ます。 配線スペースの制約によ り ト レースど う しの間隔を十分に確保できない場合、 ト レースをさ らに基準面から遠ざける と ク ロス トークが大き くなる可能性もあ り ます。

1GHz を超える非常に高い周波数では、 表皮深さは銅箔の代表的な表面粗さに近い値となり ます。 このため、 銅箔の粗さによって電流の流れがさ らに妨げられ、 導体損失が増大します。

銅箔の粗さは、 銅箔の製法によって異なり ます。 銅箔の粗さを表す業界用語には、 Ra、 Rz、 RSAR など複数あ り ます (単位はいずれも µm) [参照 6]。 Ra は平均表面粗さを表し、 RSAR (Roughness Surface Area Ratio) は表面積と底面積の比を表します。 また、 Rz は十点平均粗さ と呼ばれます。 PCB で使用する代表的な銅箔の Rz 値は約 6 ~ 10µm です。 1GHz の場合、この値は表皮深さよ り もはるかに大きいため、 特に ト ランシーバー チャネルがマルチ ギガビッ ト データ レートで動作するよ うな PCB デザインでは、 銅箔の表面粗さによる導体損失を考慮する必要があ り ます。

この問題に対処するため、 積層板ベンダーは各種アプリ ケーシ ョ ン ニーズに向けて製法の異なる銅箔を高付加価値品として提供しています。 ほとんどすべての積層板ベンダーが RTF を標準仕上げと しています。 これらの銅箔は Rz、 Ra、 および RSAR 仕様値が大き く異なっているため、 導体損失の大きさ も異なり ます。 使用する銅箔を RTF から VLP タイプの箔に変更する と、 20GHz では少なく と も 1.5dB の改善可能性が実証されています。 これによ り、 全体的な導体損失が約 17% 改善します [参照 3]。

図 7 ~図 10 に、 各種誘電材料の 1 インチあたりの挿入損失プロファイルを示します。 図 7 は、 銅箔のト レース表面が滑らかで表面粗さの影響を無視した場合の 3mil 幅ト レースの挿入損失を示したものです。 図 8 は、 同じ 3mil 幅ト レースで平均表面粗さ Ra = 0.6µm の場合の挿入損失を示したものです。

X-Ref Target - Figure 7

図 7: 3mil 幅ト レースの 1 インチあたりの挿入損失 (銅箔の表面粗さなし )

X19327-070517

スタ ックアップの定義と材料の選択

XAPP1316 (v1.1) 2018 年 12 月 21 日  12japan.xilinx.com

X-Ref Target - Figure 8

図 8: 3mil 幅トレースの 1 インチあたりの挿入損失 (銅箔の表面粗さ  Ra = 0.6µm)

X19328-070517

スタ ックアップの定義と材料の選択

XAPP1316 (v1.1) 2018 年 12 月 21 日  13japan.xilinx.com

図 9 は、 銅箔のト レース表面が滑らかで表面粗さの影響を無視した場合の 4mil 幅ト レースの挿入損失を示したものです。図 10 は、 同じ 4mil 幅ト レースで平均表面粗さ Ra = 0.6µm の場合の挿入損失を示したものです。

X-Ref Target - Figure 9

図 9: 4mil 幅ト レースの 1 インチあたりの挿入損失 (銅箔の表面粗さなし )

X19329-070517

スタ ックアップの定義と材料の選択

XAPP1316 (v1.1) 2018 年 12 月 21 日  14japan.xilinx.com

表 8 と表 9 に、 主要なナイキス ト周波数における各誘電材料のト レース 1 インチあたりの平均損失をまとめます。

X-Ref Target - Figure 10

図 10: 4mil 幅トレースの 1 インチあたりの挿入損失 (銅箔の表面粗さ  Ra = 0.6µm)

X19330-070517

表 8: ト レース 1 インチあたりの周波数損失 (4mil 幅ト レース、 銅箔の表面粗さ  Ra = 0.6µm)

材料(ト レース幅 W = 4 mil)、 Ra = 0.6m

4GHz(PCIe Gen3)

7.5GHz(HMC 15G)

8GHz(PCIe Gen4)

14GHz(QSFP 28)

一般的な FR4 0.611 1.04 1.10 1.79

Megtron 4 0.500 0.838 0.884 1.40

TU-872LK 0.482 0.804 0.848 1.34

FR408HRIS 0.480 0.800 0.844 1.33

EM-888 0.464 0.770 0.811 1.27

Megtron 6 0.393 0.637 0.669 1.02

Taychon 100G 0.360 0.574 0.603 0.91

Megtron 7 (低 Dk ガラス) 0.357 0.569 0.597 0.90

PCB 製造技術

XAPP1316 (v1.1) 2018 年 12 月 21 日  15japan.xilinx.com

これらの表からわかるこ とを簡単にまとめます。

• 表面粗さが同じなら、 挿入損失は誘電材料の Dk と Df、 およびト レース幅によって大き く左右されます。

• 図 7 ~図 10 に示したよ うに、 全体的な挿入損失は Ra パラ メーターによって大き く左右されます。 PCB スタ ッ クアップに使用する銅箔について、 積層板ベンダーおよび PCB メーカーから銅箔の表面粗さに関する Rz、 Ra、 RSAR、および Rq 値を提供してもら う必要があ り ます。

• ト レース幅を広く して特性インピーダンスを達成するこ とで、 導体損失を最小化できます。 ただしその場合、 基板の厚さが増大します。 40 インチ ト レースが標準的なバッ クプレーンに比べる と FPGA アクセラレータ カードの ト レースは短いため、 FPGA アクセラレータ カードの基板厚さが多少増大しても損失を最小限に抑えられる 4mil ト レースの使用が理にかなっています。

• アクセラレータ カードのフォーム ファ ク ターの制限を考慮する と、 100G イーサネッ トの CAUI-4 仕様が約 11dB @ 14GHz であるため、 FPGA からモジュールまで 5 ~ 7 インチの 4mil 幅ト レースを使用する と Nelco N4000 -13/-13SI、 TU-872LK、 FR408HRIS、 I-Speed、 I-Speed IS、 EM-888 など Df = 0.0065 ~ 0.009 の誘電材料を選択する必要があ り ます。 同様に、 PCIe Gen4 および Gen3 規格では 15dB 以上が要求されますが、 アクセラレータ カードのト レースが短いため比較的容易に達成できます。

PCB 製造技術

PCB の厚さの要件を満たしながらアクセラレータ カードを配線するのに何層の信号層が必要かは、 材料だけでなく使用する PCB 製造技術によっても決ま り ます。 コス ト よ り も PCB 層数の最小化を優先する場合は、 ト レース幅を狭くする以外に、 マイ クロ ビア、 ブラインド ビア、 埋め込み型ビアなどの高度な製造技術を使用するこ と もできます。 このセク

表 9: ト レース 1 インチあたりの周波数損失 (3mil 幅ト レース、 銅箔の表面粗さ  Ra = 0.6µm)

材料(ト レース幅 W = 3 mil)、 Ra = 0.6m

4GHz(PCIe Gen3)

7.5GHz(HMC 15G)

8GHz(PCIe Gen4)

14GHz(QSFP 28)

一般的な FR4 0.686 1.16 1.23 1.97

Megtron 4 0.576 0.958 1.01 1.58

TU-872LK 0.558 0.923 0.973 1.52

FR408HRIS 0.558 0.921 0.970 1.51

EM-888 0.540 0.889 0.936 1.46

Megtron 6 0.467 0.753 0.791 1.20

Taychon 100G 0.439 0.698 0.732 1.10

Megtron 7 (低 Dk ガラス) 0.434 0.690 0.724 1.08

PCB 製造技術

XAPP1316 (v1.1) 2018 年 12 月 21 日  16japan.xilinx.com

シ ョ ンでは、 PCB 製造技術に関するいくつかの用語と、 量産時にこれらの技術を使用した場合に標準の PCB 製造に比べてどれだけコス トが高くなるかについて簡単に説明します。 図 11 に、 さまざまなビア タイプを示します。

ビア アスペク ト比

メ ッキ前の最小ビア ホールのド リル直径に対する PCB 板厚の比率を指します。 この値は、 PCB 設計者がド リル装置の機械的な能力の限界を超えないよ うにするためのガイ ド と して使用されます。 一般的に、 標準 PCB 製造のビア アスペク ト比は 10:1 です。 ビア アスペク ト比は、 高度な PCB 製造技術を使用するこ とで、 DFM 規則に準拠しながら 20:1 まで可能です。

バック ド リル ビア

導電性を持たないよ うにその長さの一部が穿孔されたスルーホール ビアです。 配線から不要なスタブを除去できるため、シグナル インテグ リティが向上します。 バッ ク ド リル ビアを使用した場合の一般的なコス ト増加率は、 標準 PCB 製造コス トの 5 ~ 10% 増となり ます。

ビアインパッ ド

パッ ドの下に直接穿孔されたビアです。 ビアを接続させるためのメ タル ト レース (ス ト リ ンガー ) が不要になり ます。 この方法では、 ボード製造コス トが増加しますが、 ブレークアウ ト配線に有効でシグナル インテグ リティを向上させることが可能です。 コス ト増加率は、 標準 PCB 製造コス トの 10 ~ 15% 増となり、 アスペク ト比によって異なり ます。

ブラインド  ビア/埋め込み型ビア

埋め込み型ビアは PCB 内部に完全に埋め込まれたもので、 最上位および最下位層は貫通しません。 ブラインド ビアは最上位または最下位層のいずれかから内層の信号層までのビアをいいます。 いずれのビアも、 最上位層から最下位層まで貫通するスルーホール ビアとは異なり、 ビアの上側または下側に配線スペースを確保できます。 ブラインド ビアまたは埋め込み型ビアによってどれだけコス トが増加するかは、 PCB 上に存在する各ビアの数によって異なり ます。 ブラインド /埋め込み型ビアは、 それぞれにラ ミネーシ ョ ン サイ クルが必要となるため、 さ らにコス トが増加します。 たとえば、 16 層 PCB で 3 種類のブラインド /埋め込みビア (L1 – L4、 L16 – L12、 L4 – L8) を使用する場合、 埋め込み/ブラインド ビアの種類ごとに +30% のコス ト増加が生じます。 ブラインド /埋め込みビアを使用した基板は、 ビルドアップ基板や高密度実装配線 (HDI) と呼ばれるこ と もよ く あ り ます。

ブラ インド ビアの最大の問題は、 ビア内部に十分な厚さの銅をめっきして接続先の内層に適切に接着させるのが難しいこ とにあ り ます [参照 5]。 ブラ インド ビアの深さがビア直径を超える と、 ビア アスペク ト比の制限によ りめっきの信頼性が問題とな り ます。 したがって、 めっきの信頼性を確保するためにほとんどの PCB メーカーがビア直径を深さの 1.5 倍以上とするこ とを推奨しています。 このため、 ほとんどの PCB ではブラインド ビアを使用できるのは上位 2 層の接続に限られます。 それよ り も下の層に接続するには、 ブラインド ビアをスタ ッ ク構造で重ねる必要があ り ます。 そのためには、 ブラインド ビアに銅を充填する 「ボタン」 めっき工程、 およびこれらのブラインド ビアから余分な銅が突き出た

X-Ref Target - Figure 11

図 11: ビア タイプ

Through-hole via Micro via

Micro via

Buried via

Bottom

Through-hole via with back-drilling

Blind via

TOP

X19331-092817

コンポーネン ト配置のガイドライン

XAPP1316 (v1.1) 2018 年 12 月 21 日  17japan.xilinx.com

場合に除去するサンディング工程を PCB 製造プロセスに追加する必要があ り ます。 このため PCB 製造コス トが増大します。

マイクロ  ビア 

直径が 8mil (0.2µm) 未満のビアをマイクロ ビアと呼びます。 一般的にはレーザー ド リルで穴をあけますが、 一度に貫通できるのは通常 1 ~ 2 層までです。 各ビア タイプに対して約 15% のコス ト増加が生じます。

注記: ブラインド ビアを誤ってマイ クロ ビアと呼ぶこ と もよ くあ り ます。

コンポーネン ト配置のガイド ライン

このセクシ ョ ンでは、 ク ラス最高の FPGA ベース アクセラレータ カードを設計するための部品配置のガイ ド ラ インを示します。 図 4 で示したアクセラレータ カードの代表的なブロッ ク図は、 複数の DDR4 x64/x72 インターフェイス、 複数の QSFP28 ポート、 および 1 つの PCIe x16 インターフェイスで構成されています。

電気、 熱、 および機械的要件を考慮しながらすべての高速インターフェイスの配線を成功させるには、 部品の配置を最初から慎重に検討する必要があ り ます。 特にヒート シンク アタッチメン トおよびバッ クブレースに必要なキープアウ ト エリ アと配線が干渉してシグナル インテグ リティに影響するこ とがあるため、 実際のレイアウ ト を始める前のプランニング段階でこれらの要因を検討しておく必要があ り ます。 Kintex および Virtex UltraScale/UltraScale+ デバイス アーキテクチャはいずれも高速ト ランシーバーと DDR4 インターフェイスを PCB に容易に引き出すこ とができます。 図 12 に、B2104 パッケージの VU9P デバイスのピン配置を示します。 このパッケージは、 ザイ リ ンクスのほかのパッケージ同様、高速ト ランシーバーの BGA ボールがデバイスの左/右側に配置され、 SelectIO™ の BGA ボールが上/下側に配置されてい

コンポーネン ト配置のガイドライン

XAPP1316 (v1.1) 2018 年 12 月 21 日  18japan.xilinx.com

ます。 FPGA ファブリ ッ クおよびその他のデジタル レールに電源を供給する VCCINT BGA ボールはデバイス中央に配置されています。

X-Ref Target - Figure 12

図 12: DDR4 および QSFP28、 PCIe の BGA ボール位置

GTY Transceivers for QSFP28,

PCIe

GTY Transceivers for QSFP28,

PCIe

X19333-100417

コンポーネン ト配置のガイドライン

XAPP1316 (v1.1) 2018 年 12 月 21 日  19japan.xilinx.com

図 13 は、 上記デバイスを使用した 3/4 レングスの FPGA アクセラレータ カードの実際のレイアウ ト を上から見たものです。 図 14 は、 このアクセラレータ カードの FPGA デバイス部分を拡大したもので、 各種高速インターフェイスの位置を図に示しています。 この PCB には 2400Mb/s の x72 DDR4 チャネルが 4 つと、 100G イーサネッ ト ポートが 2 つあり ます。ホス ト とは x16 PCIe インターフェイスで接続します。

X-Ref Target - Figure 13

図 13: 3/4 レングスのアクセラレータ  カードの PCB レイアウト例 (上面図)

X19334-070517

コンポーネン ト配置のガイドライン

XAPP1316 (v1.1) 2018 年 12 月 21 日  20japan.xilinx.com

このボードでは、 GTY ト ランシーバーの BGA ボールが上/下方向、 I/O の BGA ボールが左/右方向となるよ うに FPGA デバイスを実装しています (BGA ボール A1 が左下隅)。 FPGA の各種高速インターフェイス用の BGA ボールを色分けして示しています。

式 8 を使用する と、FPGA から I/O と ト ランシーバーの BGA ボールをすべて引き出すのに必要な配線層数を簡単に見積もるこ とができます。 UltraScale+ FPGA の場合、 BGA ボール全体の約 40 ~ 45% を信号ピンに使用し、 残りの BGA ボールを電源とグランドに使用するものと考えます。

式 8

配線チャネル数は、 FPGA から配線できる合計配線チャネル数です。 つま り、 ((1 辺の BGA ボール数 - 1) x 4 辺) です。 各チャネルの配線数 は、 1 または 2 であ り、 最上位/最下位層の BGA パッ ド間で配線可能な ト レース数によって異なり ます。 内層の各チャネルの配線数は、 drill-to-copper の特性を考慮し、 ビアど う しの間隔によって異なり ます。 この式で計算する と、 このデバイスで各種 I/O と ト ランシーバーの BGA ボールをすべてブレークアウ トするには少なく と も 6 つの配線層が必要なこ とがわかり ます。 14 層または 16 層のカードならこの信号層の要件を十分に満たすこ とができ、 なおかつ全体の PCB 厚さを規格の 1.57mm (0.062in.) ± 0.13mm (0.005 in.) に収めるこ とができます。

X-Ref Target - Figure 14

図 14: FPGA の BGA ボール位置 (拡大図)

X19335-070517

層数 信号ピン数 (I/O、MGT) 配線チャネル数 各チャネルの配線数=

DDR4 のレイアウト  ガイド ライン

XAPP1316 (v1.1) 2018 年 12 月 21 日  21japan.xilinx.com

次のセクシ ョ ンでは、 DDR4 QSFP インターフェイスのレイアウ トおよび電源供給ネッ ト ワーク (PDN) デザインの最適化に関する推奨事項を説明します。

DDR4 のレイアウト  ガイド ライン

FPGA アクセラレータ カードの配線で最も多くの面積を占めるのは DDR4 インターフェイスの配線です。DDR4 メモ リ を FPGA に接続する場合、ディ スク リート SDRAM チップを使用する方法と DIMM モジュールを使用する方法のいずれかをアプリ ケーシ ョ ン要件に応じて選択します。 どちらの トポロジの場合も、 DDR4 インターフェイスを最大データ レートで正常に動作させるには、 堅牢なシグナル/パワー インテグ リ ティを確保する必要があ り ます。 具体的には、 クロス トーク、 インピーダンスの不連続による信号反射やパッケージのフライ ト タイムの違いによるスキューを最小化し、 VTT および VDDQ レールに対するデカップリ ング キャパシタの配置を最適化するこ とが必要です。 ザイ リ ンクスは実験計画法のアプローチを使用して、 プロセス、 電圧、 および温度 (PVT) コーナーで読み出し と書き込みのアイ開口が最大となるように、 ド ライバー スルー レート、 ド ラ イバー インピーダンス、 ト レース幅、 ト レース長、 間隔、 負荷容量、 フライバイ終端抵抗値など、 アイ開口に影響する重要なパラ メーターをスイープして包括的なシグナル インテグ リティ解析を実行しています。 『UltraScale アーキテクチャ PCB デザイン ユーザー ガイ ド』 (UG583) [参照 7] では、 チップを直付けする場合と DIMM を使用する場合の両方についてメモ リ ガイ ド ラ インを詳し く説明しています。 このメモ リ レイアウ ト ガイ ド ラインでは、 メモ リ インターフェイスを構成する各種信号グループについて配線の要件、 最大ビア数の要件、 およびトレース長と間隔の要件など、 PCB レイアウ トに関する重要な要素について説明しています。 このガイ ド ラインでは、 DQ ラ インには最長 6 インチの ト レース、 アドレスおよびコマンド (ADDR/CMD) 信号には最長 13 インチの ト レースを使用して、 ク ロス トークが最小となるよ うに信号ど う しの間隔を確保しながら DDR4 インターフェイスを配線する方法を示しています。

代表的なアクセラレータ カードでは、 FPGA のすぐ近くに DRAM コンポーネン トが配置されるため、 こ こに示すガイ ドラ インの一部は完全には適用できないこ とがあ り ます。 アクセラレータ カードの場合、 DDR4 インターフェイスの配線に必要な ト レース長は DQ ラインで 3 インチを超えるこ とはほとんどあ り ません。 ただし、 アクセラレータ カードに特有の課題もあ り ます。

• 信号の引き出し部が小さ く、 ト レース間の信号カップリ ングの可能性が増大します。

• レイアウ トが多層にまたがるため、 ビア カップリ ングの範囲が拡大します。

• FPGA/DRAM 領域のネッ ク ダウン ト レースによ り、 インピーダンス整合が難し くな り ます。

DDR4 のレイアウト  ガイド ライン

XAPP1316 (v1.1) 2018 年 12 月 21 日  22japan.xilinx.com

参考と して、 16 層アクセラレータ カードの内層の 1 つを図 15 に示します。

X-Ref Target - Figure 15

図 15:代表的な 16 層アクセラレータ  カードの内層配線

X19336-070517

DDR4 のレイアウト  ガイド ライン

XAPP1316 (v1.1) 2018 年 12 月 21 日  23japan.xilinx.com

上記のシナリオにおける DDR4 レイアウ ト をサポートするため、 ザイ リ ンクスは各種 EDA ツールで簡単に使用できるシミ ュレーシ ョ ン メ ソ ド ロジを開発しました。 UltraScale および UltraScale+ ファ ミ リ用に、次の 2 つのビルト イン ト ポロジの ADS ワークスペースを提供しています。

• CK 信号 (書き込み) に関する ADDR 信号グループをシ ミ ュレーシ ョ ンするためのシ ミ ュレーシ ョ ン ワークスペース(図 16参照)。

• DQS ス ト ローブ (書き込み/読み出し ) に関する DQ バイ ト グループをシ ミ ュレーシ ョ ンするためのシ ミ ュレーシ ョ ン ワークスペース(図 17参照)。

X-Ref Target - Figure 16

図 16: コマンド  アドレス信号に対するシミ ュレーシ ョ ン  ワークスペース

X19337-070517

DDR4 のレイアウト  ガイド ライン

XAPP1316 (v1.1) 2018 年 12 月 21 日  24japan.xilinx.com

これらのワークスペースは、 特定の PCB レイアウ ト を 『UltraScale アーキテクチャ PCB デザイン ユーザー ガイ ド』 (UG583) [参照 7] に示した配線ガイ ド ラインに照らし合わせて評価する際の基準と して提供しています。 シ ミ ュレーシ ョン方法は非常に簡単で、 まずリ ファレンス シ ミ ュレーシ ョ ンをそのまま実行し、 ワークスペースに付属のバッファー設定、 PCB モデル、 データ パターンをデフォルトのまま使用して基準アイ ダイアグラムを生成します。 次に、 デフォルトの PCB モデルを目的のバイ ト グループおよび ADDR 信号を含む実際の PCB レイアウ トから抽出した S パラ メーター モデルで置き換えた後、 も う一度解析を実行してアイ開口を基準アイ ダイアグラムと比較します。 このアイ開口が基準アイ ダイアグラムと同等以上であれば、 レイアウ トは良好です。 DQ 用と ADDR 用の ADS ワークスペースはどちらも FPGA と DRAM の S パラ メーター パッケージ モデル、およびクロス トークを考慮するための PCB モデルを前提と しており、 PVT コーナーをスイープするよ うにセッ ト アップしています。 このワークスペースは理想的な電源を前提にしており、 アイ マスク要件の一部と して同時スイ ッチング出力 (SSO) または同時スイ ッチング ノ イズ (SSN) による電源供給ネッ ト ワーク (PDN) ノ イズを考慮しています。

図 18 と図 19 に、 ADDR ワークスペースおよび DQ (書き込み) ワークスペースそれぞれの基準アイ開口を示します。

X-Ref Target - Figure 17

図 17:データ  (DQ) 信号に対するシミ ュレーシ ョ ン  ワークスペース 

X19338-070517

DDR4 のレイアウト  ガイド ライン

XAPP1316 (v1.1) 2018 年 12 月 21 日  25japan.xilinx.com

X-Ref Target - Figure 18

図 18: コマンド /制御/アドレス信号の基準アイ開口

X19339-070517

DDR4 のレイアウト  ガイド ライン

XAPP1316 (v1.1) 2018 年 12 月 21 日  26japan.xilinx.com

これらのワークスペースは、 次のラウンジからダウンロードできます。

• UltraScale シグナル/パワー インテグ リティ ラウンジ

• UltraScale+ シグナル/パワー インテグ リティ ラウンジ

Keysight 社の ADS シ ミ ュレーシ ョ ン ワークスペース以外にも、 ザイ リ ンクスは UltraScale+ ファ ミ リの DDR4 インターフェイスに関する HyperLynx DDRx ウ ィザード タイ ミ ング モデルも開発しており、 リ クエス トに応じて提供しています。Mentor Graphics 社の LineSim/BoardSim による PCB シグナル インテグ リティ解析でこれらのパラ メーターを使用する と、実際の DDR4 インターフェイスにおける全体的なマージンを判定できます。

このほか、 ザイ リ ンクスは UltraScale および UltraScale+ ファ ミ リ向けにハード ウェア相関済みの消費電力を考慮した Input/Output Buffer Information Specification (IBIS) 5.0 SelectIO インターフェイス バッファー モデルも提供しており、これを使用する と消費電力を考慮した IBIS 5.0 モデルをサポートする各種 EDA ツールでシグナル インテグ リティ解析を容易に実行できます。 これらのモデルは UltraScale+ SelectIO IBIS モデル ラウンジから リ クエス トできます。

次に、 シグナル/パワー インテグ リティの観点から堅牢な DDR4 レイアウ ト とするための主な推奨事項を示します。

• ビア カップリ ングによるクロス トークを最小化するために、 DQ 信号はなるべく上位層に配線してください。

° ザイ リ ンクスの解析では、 DQ ネッ ト を深い信号層 (有効ビア長 = 約 60mil) に配線する と、 上位層に配線した場合に比べ遠端クロス トーク (FEXT) によってチャネル タイ ミ ングが少なく と も 30ps 低下するこ とがわかっています [参照 8]。

° ADDR 信号はデータ レー ト が DQ ネッ ト の半分のため、 ADDR 信号よ り もデータ信号 (DQ) を優先して配線します。

• スキューを最小限に抑えるため、 同じバイ ト グループは対応するス ト ローブ信号を含めすべて同じ層に配線します。

• FPGA 直下のビア フ ィールド領域でカップリ ングを最小にするために引き出し線の長さを約 1.5 インチまで長くする場合、 BGA パッ ド間で DQ 信号を 2 つではなく 1 つだけ引き出すよ うにします。

• アンチパッ ドを大き くする と、 FPGA および DRAM デバイス直下の電源/グランド プレーンがスロッ ト状になり ます。

推奨: ク ロス トークの問題を防ぐため、 グランド プレーンのスロ ッ トには信号を配線しないでください (図 20)。この問題を回避するには、 図 20 のよ うにアンチパッ ドのサイズを小さ くする必要があ り ます。

X-Ref Target - Figure 19

図 19:データ信号 (書き込み) の基準アイ開口

X19340-070517

X-Ref Target - Figure 20

図 20: スロッ ト状のグランド  プレーンへの配線

X19341-070517

DDR4 のレイアウト  ガイド ライン

XAPP1316 (v1.1) 2018 年 12 月 21 日  27japan.xilinx.com

• DRAM デバイスのアドレス ピンの近くなど、 特にグランド ピンの数が少ない場所では、 クロス トークを最小にするために適切なグランド リ ターン ビアを確保して ください。 図 21 に悪い配線例を示します。 図 22 に良い配線例を示します。

• アドレス /コマンド /制御信号の VTT 終端に関しては、 終端抵抗 4 個と 0.1μF キャパシタ 1 個を物理的に交互に配置します (図 23)。

X-Ref Target - Figure 21

図 21:悪い配線例

X-Ref Target - Figure 22

図 22:良い配線例

X19736-122018

X19737-122018

X-Ref Target - Figure 23

図 23: VTT 終端の推奨トポロジ

X19342-070517

SerDes チャネルのガイドライン

XAPP1316 (v1.1) 2018 年 12 月 21 日  28japan.xilinx.com

SerDes チャネルのガイド ライン

こ こでは、 高速差動チャネルに関してシグナル/パワー インテグ リティの観点から堅牢なレイアウ ト とするための主な推奨事項を示します。

• QSFP、 PCIe インターフェイスのレイアウ トでは、 差動ペアの P と N を等長に配線します。

• QSFP、 PCIe インターフェイス用に選択したス ト リ ップライン層の両側をグランド プレーンで囲み、 カップリ ングを最小化するこ とを推奨します。

• RX と TX は別々のス ト リ ップライン層に配線します。 TX チャネルよ り も RX チャネルを優先して層を割り当てます。

° FPGA が最上位層にある場合、 RX 差動ペアは上位の信号層に配線します。 RX チャネルで AC カップリ ング キャパシタが必要な場合は、 最上位層に配置するこ とを推奨します。 こ うする と、 AC カップリ ング キャパシタから RX チャネルまでのビア配線が短くなるため、 RX チャネルのインピーダンス不連続箇所が最小限に抑えられ、 リ ターン ロスが改善します。 この場合、 最下層からビアに対してバッ ク ド リルを施すこ と も推奨します。FPGA を最下層に配置した場合は、 上下を逆にして同じ手法を適用してください。

• 高速差動チャネルは、 図 24 に示すよ うに完全な差動方式で配線します。 このと き、 FPGA からのブレークアウ ト領域では、 バッ ク ジ ョ グ付きネッ ク ダウン ト レースを使用してスキューを補償します。 28Gb/s で動作する高速 QSFP チャネルの場合、 FPGA からのブレークアウ トにビア イン パッ ド技術を使用するこ と も推奨します。 ビア イン パッド技術を使用する と、 FPGA 直下に高速チャネルのブレークアウ ト スペースをよ り多く確保できます。

• RX チャネルのリ ターン ロスを最小にするため、 AC カップリ ング キャパシタはなるべく小型のもの (0402 キャパシタよ り も 0201 キャパシタなど) を推奨します。 小型キャパシタの方が必要なパッ ドが小さいため、 パッ ド容量を最小にでき、 大型キャパシタを使用した場合に比べト レースに対するインピーダンスの不連続を小さ くできます。 AC カップリ ング キャパシタへのビア配線をシングルエンド方式ではなく P および N ト レースを使用した完全な差動方式とするこ と も推奨します。

• ビア容量を最小にするため、 信号ビアとグランド ビアのいずれに関しても機能していないビア パッ ドはすべて除去するこ とを推奨します。 バッ ク ド リルによってビア スタブを最小にする と、 ビアのインダクタンスを減らすこ とができます。 すべての高速チャネルで信号ビアにバッ ク ド リルを施すこ とを推奨します。 3D シ ミ ュレーシ ョ ン解析によ り ビア アンチパッ ドのサイズを調整する と共に、 グランド ビアを信号ビアの近くに配置して リ ターン パスを改善する と、 ビア インピーダンスをさ らに最適化できます。 ビア容量を小さ く してビア インピーダンスが ト レース インピーダンスに近くなるよ うに最適化するこ とによ り、 幅広い周波数の範囲で挿入損失およびリ ターン ロスの性能が大幅に改善します。

X-Ref Target - Figure 24

図 24:差動チャネルの推奨ブレークアウト配線

X19343-070517

電源供給ネッ トワーク  (PDN) のデザイン  ガイドライン

XAPP1316 (v1.1) 2018 年 12 月 21 日  29japan.xilinx.com

SelectIO インターフェイス ピン同様、 IBIS AMI モデルをサポート した各種 EDA ツールで高速チャネル デザインを容易に実行できるよ うにするため、 ザイ リ ンクスは UltraScale/UltraScale+ ファ ミ リ用にハード ウェアとの相関済み GTH/GTY IBIS AMI (Algorithmic Modeling Interface) バッファー モデルも提供しています。 これらのモデルは、 UltraScale+ IBIS AMI ラウンジ (アクセス制限あ り ) から リ クエス トできます。

電源供給ネッ トワーク  (PDN) のデザイン  ガイド ライン

ボード デザインを成功させるには、 良好なシグナル インテグ リティを確保するだけでなく、 堅牢なパワー インテグ リティを確保するこ と も同じ く らい重要です。 スタ ッ クアップ デザインに何層の電源/グランド層を含めるかは、 次の要素を考慮して決定します。

• FPGA 上でデカップ リ ングが必要な電源レールの数 (重複は除く )

• 各レールに対する DC IR ド ロ ップの検討

• リ ップル ノ イズの要件

図 25 [参照 9] に、 PCB 上の代表的な電源供給ネッ ト ワーク (PDN) を構成する 4 つの主要コンポーネン ト を示します。

• 電圧レギュレータ モジュール (VRM)

• PCB

• パッケージ

• FPGA (シ リ コン)X-Ref Target - Figure 25

図 25:代表的な PDN システムを構成するコンポーネン ト

X19344-092717

電源供給ネッ トワーク  (PDN) のデザイン  ガイドライン

XAPP1316 (v1.1) 2018 年 12 月 21 日  30japan.xilinx.com

FPGA のロジッ ク回路がスイ ッチングする場合、 図 25 に示したすべての PDN コンポーネン ト を通過する、 外部電源からの過渡電流が生じます。 PDN の各コンポーネン トには、 特定のインピーダンス (0 以外) があ り ます。 このインピーダンスによ り、 過渡電流が電源素子を流れる と電圧の変動 (電圧ノ イズ) が生じます。 図 26 に示すよ うに、 PDN は PDN の各コンポーネン トのインピーダンスに対応した等価集中 RLC 回路のチェーンと して表現できます。

X-Ref Target - Figure 26

図 26: PDN の等価回路

X19345-092717

電源供給ネッ トワーク  (PDN) のデザイン  ガイドライン

XAPP1316 (v1.1) 2018 年 12 月 21 日  31japan.xilinx.com

図 27 に示すよ うに、 PDN の各コンポーネン トがオンチップ電圧ノ イズに与える影響は周波数バンドによって異なり ます。 FPGA から見た、 PDN の各種コンポーネン ト ど う しの相互作用による電源レールの代表的な Z(f) インピーダンス プロファイルは次のとおりです。

• 第 1 ピーク - オンダイ容量とパッケージ インダクタンスの共振

• 第 2 ピーク - オン パッケージ デカップリ ング キャパシタ と PCB インダクタンスの共振

• 第 3 ピーク - PCB キャパシタおよびボードの PDN コンポーネン ト と VRM の合計インダクタンス

PDN 共振周波数の周辺で電圧ノ イズが大幅に増幅されるのを防ぐため、 インピーダンス プロファイルはなるべく平坦で、なおかつ幅広い周波数全域で目標インピーダンスを下回るのが理想です。 第 1 ピークの振幅と周波数は FPGA のパッケージ デザインとオンダイ寄生素子 (Rdie、Cdie) に支配されるため、平坦なインピーダンス プロファイルを維持するには第 2 および第 3 ピークの振幅を最小に抑えるこ とが PCB の設計目標とな り ます。第 2 および第 3 ピークの共振周波数と振幅は PCB 設計の影響を大き く受けますが、 第 1 ピークはほとんど変わり ません。

X-Ref Target - Figure 27

図 27: PDN インピーダンス プロファイルの例

X19346-092717

電源供給ネッ トワーク  (PDN) のデザイン  ガイドライン

XAPP1316 (v1.1) 2018 年 12 月 21 日  32japan.xilinx.com

図 28 に、 PCB 上の PDN を設計する際に注意すべき主要なパラ メーターを示します。

各種パラ メーターの最適化には、 スタ ッ クアップ デザインおよび電源/グランド層の割り当てが重要な役割を果たします。デカップリ ング キャパシタから見たインダクタンスは、 次の要素に分けるこ とができます。

• キャパシタ実装インダクタンス

• プレーン拡散インダクタンス

• FPGA 直下にある BGA のビア インダクタンス (各レールの電源/グランド BGA ボールによる )

デカップリ ング キャパシタには、 キャパシタのデータシートに記載されたそれ自体の等価直列インダクタンス (ESL) 以外に、 図 29 に示すよ うな PCB への実装方法によって生じるインダクタンスもあ り ます。

X-Ref Target - Figure 28

図 28: PDN 設計に関する主要なデザイン  パラメーター

X-Ref Target - Figure 29

図 29: PCB へのキャパシタ実装に関する注意事項

PWR

GND

Bottom

C1

Cap mounting inductance

Spreading inductance to C1

Cap mounting inductance

Spreadinginductanceto cap C2

FPGA

BGA via inductance

h

C2

Power via

Top

Ground via

X19347-092817

X19901-122018

電源供給ネッ トワーク  (PDN) のデザイン  ガイドライン

XAPP1316 (v1.1) 2018 年 12 月 21 日  33japan.xilinx.com

接続ト レースの長さはキャパシタ実装インダク タンスに特に大きな影響を与えるため、 使用する場合はできるだけ太く短く します。 可能な限り接続ト レースの使用は避け、 ランド パッ ドにビアが直接接するよ うにして ください。 キャパシタのランド側面にビアを配置するか、 ビアの数を 2 倍にする とキャパシタ実装インダク タンスをさ らに抑えるこ とができます。

インダクタンス成分と して次に大きいのが、 PCB 電源/グランド プレーンに関係するプレーン拡散インダクタンスです。電源プレーンとグランド プレーンはペアで作用し、 それぞれのインダクタンスが一体化して存在します。 このペアの拡散インダクタンスは、 電源プレーンとグランド プレーンの間隔によって決ま り ます。 間隔が近いほど誘電体が薄くな り、拡散インダクタンスは小さ くな り ます。 拡散インダクタンスは、 単位 pH/square で表します。 square は無次元量です。 電源/グランド プレーンの形状が正方形で、 長さ と幅の比が常に 1 の場合、 正方形の 1 辺の長さにかかわらず拡散インダクタンスは常に同じ値とな り ます。 インダクタンス量はプレーンのサイズではなく形状で決ま り ます。 表 10 に、 誘電体の厚さによる拡散インダクタンスの変化を概算値で示します。

プレーン間の容量は高周波のデカップリ ングに役立ちます。 この容量は、 電源/グランド プレーン間の誘電体の厚さに反比例します。

全体的なループ インダク タンスに占める割合が 3 番目に大きいのが、 BGA のビア インダクタンスです。 これは FPGA フッ トプ リ ン ト直下にあるすべての平行ビアからの実効インダクタンスで、電源/グランド レールに割り当てられた BGA ボールの数によって変化します。 これは、 PCB 上のめっきスルーホール (PTH) が FPGA ピン位置にマップするためです。また、 ビアの高さが PCB の全体的な厚さ と各電源レールに対する層の割り当てによって決まるため、 BGA のビア インダクタンスはこれらの要因にも影響されます。

図 30 に、 さまざまな PDN デザインにおけるキャパシタ実装インダクタンス と BGA のビア インダクタンスを示します。

表 10:電源/グランド  プレーン間の FR4 誘電体の厚さによる容量と拡散インダクタンスの変化

誘電体の厚さ インダクタンス容量

 

(µm) (mil) (pH/square) (pF/in2) (pF/cm2)

102 4 130 225 35

51 2 65 450 70

25 1 32 900 140

電源供給ネッ トワーク  (PDN) のデザイン  ガイドライン

XAPP1316 (v1.1) 2018 年 12 月 21 日  34japan.xilinx.com

Kintex および Virtex UltraScale/UltraScale+ FPGA の PDN 層優先度に関するガイドライン

• 層の割り当てに関しては、 影響を受けやすいト ランシーバー レールを最優先し、 FPGA の近くに配置します。 また、ループ インダク タンスを最小にするためにデカップリ ング キャパシタを FPGA と同じ表層 (FPGA が最上位層なら最上位層) に配置します。 ノ イズの結合を防ぐため、 ト ランシーバーの電源レールと ト ランシーバー以外のデジタル レールを同じ層に配線しないこ とを推奨します。 ト ランシーバーの電源レールにノ イズが結合する と、 ト ランシーバーのジッター性能に直接影響するためです。 MGTAVCC や MGTAVTT などの重要な MGT レールには多数のオン パッケージ デカップリ ング (OPD) キャパシタがあるため、 PCB にはそれほど多くのキャパシタは必要あ り ません。

• DDR4 インターフェイス用の I/O バンクの電源レール (VCCO)、 および関連する電源レール (フライバイ終端用の VTT レールなど) を 2 番目に優先して層を割り当てます。 -2LE、 -1LI デバイスを使用する場合、 VCCINT の動作を Vnom (0.85V) と Vlow (0.72V) で選択できます。 このと き、 VCCINT_IO レールの電源が VCCINT レールと異なる場合、 このレールも VCCO レールと同等に扱います。

• 通常、 消費電力の最も大きい VCCINT 電源レールは、 ト ランシーバー レール (MGTAVCC、 MGTAVTT) および DDR4 インターフェイスの VCCO よ り も低い優先度でかまいません。 これには、 いくつかの理由があ り ます。

° 通常、 UltraScale および UltraScale+ デバイスの VCCINT レールには電流分配用に多数の BGA ボールが割り当てられています。 たとえば VU9P_B2104 パッケージの VCCINT レールには 78 とかな り多い BGA ボール ペアが割り当てられています。 PCB へのプレーン配置にかかわらず、 FPGA 直下のすべての平行な PTH ビア ペアによ り BGA のビア インダク タンスは非常に低くなり ます。 特に大電流のデザインでは、 この低インダクタンスを利用して FPGA フッ トプ リ ン ト直下にこのレール用のデカップリ ング キャパシタを配置し、 プレーン拡散インダクタンスを防ぐこ とを推奨します。 FPGA ブレークアウ ト領域では電源/グランド ビアを共有しないこ とを推奨します。バルク キャパシタは、 プレーンの位置に応じて最上位/最下位層の FPGA フッ トプ リ ン ト以外の場所に配置できます。 ほとんどの場合、 熱設計の観点から ヒート シンク裏には PCB バッ クブレースが推奨されますが、 これが FPGA 直下に配置するデカップ リ ング キャパシタの邪魔にならないよ うに注意が必要です。 ただし、 この条件は VCCINT レール用の PDN ソ リ ューシ ョ ンを設計する際にも考慮する必要があ り ます。

° UltraScale および UltraScale+ デバイスの VCCINT レールには多数のオン パッケージ キャパシタがあ り、 FPGA にも適量のダイ容量があるため、 特に中/低周波数のデカップリ ングに注意が必要です。

X-Ref Target - Figure 30

図 30: さまざまなキャパシタ実装方法

PWR Plane 1

PWR Plane 2

Ground Plane

Ground Plane

High CapMounting

Inductance

CAP CAP

Low CapMounting

Inductance

Low BGA ViaInductance

PCB

Xilinx FPGAPackage

CAP

High BGA ViaInductance

X19348-092817X19348-082317

熱および機械的設計に関するガイドライン

XAPP1316 (v1.1) 2018 年 12 月 21 日  35japan.xilinx.com

• VCCAUX、 VCCAUX_IO を含むその他の電源レールは任意の場所に配置できますが、 『UltraScale アーキテクチャ PCB デザイン ユーザー ガイ ド』 (UG583) [参照 7] に記載のデカップリ ング ガイ ド ラインに従って適切にデカップルする必要があ り ます。 VCCINT と VCCO、 およびその他のレールに関するザイ リ ンクスの PCB キャパシタ要件は、 『UltraScale アーキテクチャ PCB デザイン ユーザー ガイ ド』 (UG583) に記載しています。 GTH と GTY の要件は、 それぞれ『UltraScale アーキテクチャ GTH ト ランシーバー ユーザー ガイ ド』 (UG576) [参照 10] と 『UltraScale アーキテクチャ GTY ト ランシーバー ユーザー ガイ ド』 (UG578) [参照 11] に記載しています。

図 31 に、 Kintex および Virtex UltraScale/UltraScale+ デバイス ファ ミ リで推奨される層の優先度を示します。

熱および機械的設計に関するガイド ライン

熱管理および全体の機械的設計も重要な検討事項であ り、 場合によってはカード設計のト レードオフが必要となるこ と もあ り ます。 FPGA ジャンクシ ョ ン温度は、 データシートに記載された絶対最大定格 (通常 100℃) を決して超えないよ うにする必要があ り ます。 このため、 ヒート シンク とマウン ト /アタ ッチメン ト、 およびエアフローを十分に検討し、 システムの許容範囲内で最大の電力を消費した場合に適切な放熱がなされるよ うにする必要があ り ます。

個々の熱設計はシステム要件、 消費電力、 使用するデバイス、 およびカードの寸法とレイアウ トによって異なるため、 このアプリ ケーシ ョ ン ノートでは特定の熱設計について説明するのではなく、 熱および機械的設計に関するベス ト プラ クティ スの紹介にとどめます。

カードの熱特性を理解するには、 まずカード上のデバイスの最大消費電力を見積もる必要があ り ます。 ザイ リ ンクスは、ユーザーが指定した リ ソースおよび性能メ ト リ クスに基づいて初期段階でデバイスの消費電力を見積もるためのツールとして Xilinx Power Estimator (XPE) を提供しています。 XPE ツールと関連資料は、 japan.xilinx.com/power からダウンロードできます。

ほとんどの場合、 ヒート シンク全体に対して強制対流を使用します。 エアフローはサーバーまたはローカル ファンから供給します。 まず、 エアフローの向き と速度に基づき、 ヒート シンク と空気の接触が最大となるよ う なヒート シンク ソリ ューシ ョ ンを作成します。 ヒート シンクをカードにマウン トするための穴、 ねじ、 ブラケッ トにはキープアウ ト エリ

X-Ref Target - Figure 31

図 31: Kintex および Virtex UltraScale/UltraScale+ FPGA で推奨される層の優先度

XCVR Power Place

VCCINT Power

Ground Plane

Ground Plane

CAP

PCB

Xilinx FPGAPackage

Ground Plane

VCCO, VTT Rail for DDR4 Interface

CAP

High number of parallel VCCINT Power BGA vias yields low effective inductance

X19349-092817

リファレンス デザイン

XAPP1316 (v1.1) 2018 年 12 月 21 日  36japan.xilinx.com

アが必要なこ とが多いため、 ヒート シンクのマウン ト方法も早い段階から十分に検討する必要があ り ます。 図 32 に示すよ うに、 バッ ク ブレースのマウン ト ブラケッ トに接続されたスプリ ング付きプッシュ ピンなどの動的アタ ッチメン ト を使用して、 少な く と も 4 点支持で取り付けるこ とを推奨します。 こ うする と力がパッケージ全体に均等に分散され、 ヒート シンク アタッチメン トによる基板の湾曲やたわみを最小限に抑えるこ とができます。 また、 熱抵抗の小さい良質な熱伝導材料 (TIM) をパッケージ表面全体に均一に塗布し、 20 ~ 40psi の力をかけてデバイス と ヒート シンク間に良好な熱接触を確保するこ と も推奨します。

最初の熱設計が完成したら、 計算で求めたエアフロー、 デバイス消費電力、 デバイス配置、 ヒート シンク特性、 ほかのデバイスによる局所的な加熱など多くの要因に基づいて FPGA の最大ジャンクシ ョ ン温度を理解および推定するために、 システムのモデルを作成して数値流体力学 (CFD) シ ミ ュレーシ ョ ンを実行するこ とを強く推奨します。 ザイ リ ンクスは、ANSYS Icepak および Mentor FloTHERM 用にコンパイル済みの DELPHI 多抵抗熱モデルを japan.xilinx.com/power で提供しています。 これ以外の熱設計ツールを使用する場合は、 モデルに付属の資料でこれらツールでの多抵抗モデル作成方法を説明しています。 通常、 システムの制約の範囲内で熱マージンを最大限に確保するには、 配置やヒート シンク特性などの要因を変えながら熱シ ミ ュレーシ ョ ンを何度も繰り返し実行する必要があ り ます。

リファレンス デザイン

このアプリ ケーシ ョ ン ノートの リ ファレンス デザイン ファ イルは、 次に示すザイ リ ンクスのウェブサイ トからダウンロードできます。

• UltraScale シグナル/パワー インテグ リティ ラウンジ

• UltraScale+ シグナル/パワー インテグ リティ ラウンジ

注記: これらのラウンジにアクセスするには、 秘密保持契約 (NDA) への同意が必要です。

表 11 に、 リ ファレンス デザインの詳細を示します。

X-Ref Target - Figure 32

図 32:代表的なヒートシンク装着ガイドライン

X19351-122018

参考資料

XAPP1316 (v1.1) 2018 年 12 月 21 日  37japan.xilinx.com

参考資料

注記:日本語版のバージ ョ ンは、 英語版よ り古い場合があ り ます。

1. PCI Express Card Electromechanical Specification, Revision 3.0 (pcisig.com)

2. Bogatin, Eric. 「Loss in a channel: Rule of Thumb #9」 EDN Network. (2014 年 4 月 4 日) www.edn.com/electronics-blogs/all-aboard-/4429778/Loss-in-a-channel--Rule-of-Thumb--9 から取得

3. Liew, Elaine.Alam, Shah.Okubo, Taka-aki.Sudo, Toshio.Hosoi, Toshihiro.Tsuyoshi, Hiroaki.Kuwaki, Fujio.(初出: IPC APEX EXPO Conference Proceedings) 『Signal Transmission Loss due to Copper Surface Roughness in High Frequency Region』www.circuitinsight.com/pdf/signal_transmission_loss_copper_surface_roughness_ipc.pdf から取得

4. McMorrow, Scott et al, DesignCon 2005. 『The Impact of PCB Laminate Weave on the Electrical Performance of Differential Signaling at Multi-gigabit Rates』

5. Ritcheye, Lee W. 『Materials Manufacturing, PCB Fabrication, PCB Stackup Design』 DesignCon 2017

6. Marshall, John A. 『Measuring Copper Surface Roughness for High Speed Applications』www.circuitinsight.com/pdf/measuring_copper_surface_roughness_ipc.pdf から取得

7. 『UltraScale アーキテクチャ PCB デザイン ユーザー ガイ ド』 (UG583: 英語版、 日本語版)

表 11: リファレンス デザインの詳細

パラメーター 説明

全般

開発者 Ravindra Gali

ターゲッ ト デバイス Virtex および Kintex UltraScale および UltraScale+ FPGA、Zynq UltraScale+ MPSoC

ソース コードの提供 あ り

ソース コードの形式 IBIS モデル、 シ ミ ュレーシ ョ ン ワークスペース

既存のザイ リ ンクス アプリ ケーシ ョ ン ノート / リ ファレンス デザイン、 またはサードパーティからデザインへのコード /IP の使用

なし

シ ミ ュレーシ ョ ン

論理シ ミ ュレーシ ョ ンの実施 なし

タイ ミ ング シ ミ ュレーシ ョ ンの実施 あ り

論理シ ミ ュレーシ ョ ンおよびタイ ミ ング シ ミ ュレーシ ョンでのテス トベンチの利用

N/A

テス トベンチの形式 IBIS モデル、 S パラ メーター ファ イル

使用したシ ミ ュレータ /バージ ョ ン 任意の EDA シ ミ ュレータ

SPICE/IBIS シ ミ ュレーシ ョ ンの実施 あ り

インプリ メンテーシ ョ ン

使用した合成ツール/バージ ョ ン N/A

使用したインプリ メンテーシ ョ ン ツール/バージ ョ ン N/A

スタティ ッ ク タイ ミ ング解析の実施 N/A

ハードウェア検証

ハードウェア検証の実施 N/A

使用したハード ウェア プラ ッ ト フォーム N/A

改訂履歴

XAPP1316 (v1.1) 2018 年 12 月 21 日  38japan.xilinx.com

8. Wang, Yong et al.DesignCon 2015. 『UltraScale FPGA DDR4 2400Mbps システム レベル デザイン最適化とバリデーシ ョン』 japan.xilinx.com/publications/events/designcon/2015/we2paper-ultrascale-ddr4.pdf から取得

9. Klokotov, Dmitry.Shi, Jin.Wang, Yong.DesignCon 2014. 『オンチップ/システム レベル PDN とジッ ターへの影響に関する分散モデリ ングおよび特性評価』japan.xilinx.com/publications/events/designcon/2014/1_WE5Paper_DistributedModelingandCharacterizationofOnChipSystem.pdf から取得

10. 『UltraScale アーキテクチャ GTH ト ランシーバー ユーザー ガイ ド』 (UG576: 英語版、 日本語版)

11. 『UltraScale アーキテクチャ GTY ト ランシーバー ユーザー ガイ ド』 (UG578: 英語版、 日本語版)

12. Mirshafiei, Sean.Isola.Enos, Dan.Northrop Grumman, Springfield, MO. 『Signal Integrity Analysis Techniques Use to Characterize PCB Substrates』www.isola-group.com/wp-content/uploads/Improved-High-Speed-Low-Loss-Materials-for-Lead-Free-Assembly-Compatibility.pdf から取得

改訂履歴

次の表に、 この文書の改訂履歴を示します。

お読みください: 重要な法的通知本通知に基づいて貴殿または貴社 (本通知の被通知者が個人の場合には 「貴殿」、 法人その他の団体の場合には 「貴社」。 以下同じ ) に開

示される情報 (以下 「本情報」 といいます) は、 ザイ リ ンクスの製品を選択および使用するこ とのためにのみ提供されます。 適用される

法律が許容する最大限の範囲で、 (1) 本情報は 「現状有姿」、 およびすべて受領者の責任で (with all faults) とい う状態で提供され、 ザイ リ

ンクスは、 本通知をもって、 明示、 黙示、 法定を問わず (商品性、 非侵害、 特定目的適合性の保証を含みますがこれらに限られません)、すべての保証および条件を負わない (否認する ) ものと します。 また、 (2) ザイ リ ンクスは、 本情報 (貴殿または貴社による本情報の使用

を含む) に関係し、 起因し、 関連する、 いかなる種類 ・ 性質の損失または損害についても、 責任を負わない (契約上、 不法行為上 (過失の

場合を含む)、 その他のいかなる責任の法理によるかを問わない) ものと し、 当該損失または損害には、 直接、 間接、 特別、 付随的、 結

果的な損失または損害 (第三者が起こした行為の結果被った、 データ、 利益、 業務上の信用の損失、 その他あらゆる種類の損失や損害を

含みます) が含まれるものと し、 それは、 たとえ当該損害や損失が合理的に予見可能であったり、 ザイ リ ンクスがそれらの可能性につい

て助言を受けていた場合であったと しても同様です。 ザイ リ ンクスは、 本情報に含まれるいかなる誤り も訂正する義務を負わず、 本情

報または製品仕様のアップデート を貴殿または貴社に知らせる義務も負いません。 事前の書面による同意のない限り、 貴殿または貴社

は本情報を再生産、 変更、 頒布、 または公に展示してはなり ません。 一定の製品は、 ザイ リ ンクスの限定的保証の諸条件に従う こ と と

なるので、 https://japan.xilinx.com/legal.htm#tos で見られるザイ リ ンクスの販売条件を参照して ください。 IP コアは、 ザイ リ ンクスが貴殿

または貴社に付与したライセンスに含まれる保証と補助的条件に従う こ とにな り ます。 ザイ リ ンクスの製品は、 フェイルセーフと して、

または、 フェイルセーフの動作を要求するアプリ ケーシ ョ ンに使用するために、 設計されたり意図されたり していません。 そのよ うな

重大なアプリ ケーシ ョ ンにザイ リ ンクスの製品を使用する場合のリ スク と責任は、 貴殿または貴社が単独で負う ものです。

https://japan.xilinx.com/legal.htm#tos で見られるザイ リ ンクスの販売条件を参照してください。

自動車用のアプリケーシ ョ ンの免責条項

オートモーティブ製品 (製品番号に 「XA」 が含まれる ) は、 ISO 26262 自動車用機能安全規格に従った安全コンセプ ト または余剰性の機

能 ( 「セーフティ設計」 ) がない限り、 エアバッグの展開における使用または車両の制御に影響するアプリ ケーシ ョ ン ( 「セーフティ アプリ ケーシ ョ ン」 ) における使用は保証されていません。 顧客は、 製品を組み込むすべてのシステムについて、 その使用前または提供前

に安全を目的と して十分なテス ト を行う ものと します。 セーフティ設計なしにセーフティ アプリ ケーシ ョ ンで製品を使用する リ スクは

すべて顧客が負い、 製品の責任の制限を規定する適用法令および規則にのみ従う ものと します。

© Copyright 2017-2018 Xilinx, Inc. Xilinx、 Xilinx のロゴ、 Artix、 ISE、 Kintex、 Spartan、 Virtex、 Vivado、 Zynq、 およびこの文書に含まれ

るその他の指定されたブランドは、 米国およびその他各国のザイ リ ンクス社の商標です。 PCI、 PCIe、 および PCI Express は PCI-SIG の商標であ り、 ライセンスに基づいて使用されています。 すべてのその他の商標は、 それぞれの保有者に帰属します。

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] まで、 または各ページの右下

にある [フ ィードバッ ク送信] ボタンをク リ ッ クする と表示されるフォームからお知らせください。 フ ィードバッ クは日本語で入力可能

です。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受け付けており

ません。 あらかじめご了承ください。

日付 バージョ ン 内容

2017 年 10 月 5 日 1.0 初版

2018 年 12 月 21 日 1.1 「ブラインド ビア/埋め込み型ビア」 の第 2 段落を更新。 図 27 の見出しを更新。