giao tiep may tinh voi kit 8085.doc

147
Tröôøng ÑH SPKT Luaän vaên toát nghieäp BOÄ GIAÙO DUÏC VAØ ÑAØO TAÏO ÑAÏI HOÏC QUOÁC GIA TP. HOÀ CHÍ MINH TRÖÔØNG ÑAÏI HOÏC SÖ PHAÏM KYÕ THUAÄT KHOA : ÑIEÄN _ ÑIEÄN TÖÛ BOÄ MOÂN : ÑIEÄN TÖÛ LUAÄN VAÊN TOÁT NGHIEÄP Ñeà taøi: GIAO TIEÁP MAÙY TÍNH VÔÙI KIT VI XÖÛ LY Ù8085 Sinh vieân thöïc hieän : NGUYEÃN TRUNG DUÕNG Lôùp : 95KÑÑ Giaùo Vieân höôùng daãn : NGUYEÃN ÑÌNH PHUÙ GVHD: Nguyeãn Ñình Phuù SVTH: Nguyeãn Trung Duõng

Upload: vu-manh-ha

Post on 11-Sep-2015

241 views

Category:

Documents


8 download

TRANSCRIPT

8085

Trng H SPKT Luan van tot nghiep

Bo giao duc va ao tao

ai hoc quoc gia tp. ho ch minh

TRNG AI HOC S PHAM KY THUAT

KHOA : IEN _ IEN T

BO MON : IEN T

Luan van tot nghiep

e tai:GIAO TIEP MAY TNH VI KIT VI X LY 8085

Sinh vien thc hien : NGUYEN TRUNG DUNG

Lp : 95K

Giao Vien hng dan : NGUYEN NH PHUTP. HO CH MINH 03-2000

Ho va ten: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

Lp: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

Nghanh: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

Khoa: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

1- au e luan van:

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

2- C s ban au:

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

3- Noi dung cac phan thuyet minh:

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

4- Cac ban ve o th:

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

5- Can bo hng dan:

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

6- Ngay giao nhiem vu: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

7- Ngay hoan thanh nhiem vu: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

Thong qua bo mon

Ngay . . . . thang . . . . nam 2000

Can bo hng dan Chu nhiem bo mon

BO GIAO DUC VA AO TAO

TRNG AI HOC QUOC GIA TP.HCM

TRNG AI HOC S PHAM KY THUAT

KHOA : IEN_IEN T

BO MON IEN T

NHAN XET LUAN VAN TOT NGHIEP CUA GIAO VIEN HNG DAN

Ho va ten sinh vien: NGUYEN TRUNG DUNG

Nganh: IEN TKhoa : 1995 2000

Lp : 95K

GIAO VIEN HNG DAN: NGUYEN NH PHU

Nhan Xet Cua Giao Vien Hng Dan :

Ngay thang nam 2000

Giao vien hng dan

BO GIAO DUC VA AO TAO

TRNG AI HOC QUOC GIA TP.HCM

TRNG AI HOC S PHAM KY THUAT

KHOA : IEN_ IEN T

NHAN XET LUAN VAN TOT NGHIEP CUA GIAO VIEN DUYET

HO VA TEN SINH VIEN : NGYEN TRUNG DUNGNGANH : IEN TKHOA : 1995 2000

LP : 95K

GIAO VIEN DUYET LUAN VAN :Nhan Xet cua Giao Vien duyet :

Ngay thang nam 2000

GIAO VIEN DUYET

Li cam ta

Cuon luan van nay c hoan thanh tot ep theo ung thi gian quy nh cua nha trng cung nh cua khoa.Viec at c ket qua nh tren khong ch la s no lc cua em ma con s giup , ch bao cua thay hng dan, cua quy thay co va cac ban sinh vien.

Em xin chan thanh cam n:

S day do, ch bao va gop y cua quy thay co trong khoa, ac biet la cac thay co cac xng thc tap a tao moi ieu kien thuan li cho chung em hoan thanh luan van.

S giup va ch bao tan tnh cua Thay hng dan Nguyen nh Phu trong qua trnh lam luan van.

Xin cam n cac ban sinh vien trong khoa a giup chung toi rat nhieu mat: nh phng tien, sach v, y kien

Sinh vien thc hien

Nguyen Trung Dung

Chng:

C s ly luanI. The thc nghien cu:

1. Thi gian nghien cu:

Qua trnh nghien cu e tai c xem la mot qui trnh cong nghe han hoi v oi hoi phai tien hanh theo cac khau ke tiep nhau bao gom viec chon e tai, bien soan e cng, thu thap d kien, x ly d kien, viet cong trnh nghien cu.

Luan van tot nghiep c tien hanh thc hien trong khoang thi gian la 6 tuan:

Tuan 1 : Chon e tai, chnh xac hoa e tai, soan e cng, thu thap kien va tai lieu lien he.

Tuan 2 : Bien soan noi dung phan ly thuyet.

Tuan 3 : Thiet ke mach tren giay va tien hanh thi cong, thu mach.

Tuan 4 : Thiet ke ban thc tap.

Tuan 5 : Soan bai thc tap cho mo hnh a thiet ke.

Tuan 6 : Hoan chnh mo hnh,can chnh phan ly thuyet e in an va nop luan van.

2. Phng phap thu thap d kien:

ay la giai oan quan trong, s dung cac phng phap va phng tien nghien cu e thu thap cac d kien ve e tai a xac nh. D kien a thu thap c se la chat lieu e hnh thanh cong trnh thc hien e tai. Van e la lam sao thu thap c d kien ay u, chnh xac, va phu hp vi noi dung nghien cu.

Trong pham vi luan van nay ngi nghien cu s dung phng phap tham khao tai lieu e thu thap d kien giai quyet e tai. Viec tham khao tai lieu giup ngi thc hien bo sung them kien thc, ly luan cung nh phng phap ma nhng cong trnh nghien cu trc o a xay dng. Nh o ngi nghien cu tap trung giai quyet van e con ton tai. Tuy nhien viec nghien cu tham khao tai lieu luon bao am tnh ke tha va phat trien co chon loc.

3. X ly d kien:

Cac d kien sau khi c thu thap cha the s dung c ngay ma phai qua qua trnh sang loc, sa cha, phan tch khai quat thanh ly luan . Tai lieu c s dung la nhng tai lieu co chat lng cao chu yeu la tai lieu goc nen bao am chnh xac ve noi dung e cap.

4. Trnh bay o an:

e tai tot nghiep c trnh bay theo cau truc mot tap o an tot nghiep e phu hp vi noi dung va thi gian nghien cu ong thi ap ng ung yeu cau ve chng trnh ao tao cua trng.

Trnh bay thanh van cong trnh nghien cu khoa hoc la giai oan hoan thanh nghien cu, do o khong c xem o la qua trnh ky thuat ma la mot qua trnh sang tao sau sac. Chnh viec nam vng but phap trong nghien cu khoa hoc giup ngi nghien cu lam sang to them nhng ket qua at c, phat trien chung va co them nhng kien thc mi.

II. C s ly luan:o an tot nghiep thc chat la mot qua trnh nghien cu khoa hoc - qua trnh nhan thc va hanh ong. Qua trnh nay oi hoi phai co thi gian nhat nh tng xng vi noi dung cua oi tng nghien cu va tnh chat phc tap cua van e nghien cu.

Viec nghien cu khoa hoc giup ta tm ra cai mi. Cai mi ay khong nhng mang tnh chu quan cua ngi nghien cu ma con mang tnh khach quan oi vi xa hoi. Nghien cu khoa hoc phai nham muc ch phuc vu xa hoi, ap ng yeu cau thc tien.

Hoat ong ngien cu khoa hoc muon at ket qua tot phai hoi u cac yeu to:

Phng tien, phng phap, c s vat chat, may moc thiet b, hnh thc to chc. Cac yeu to nay co moi quan he hu c va phu hp vi oi tng nghien cu.

CAC C S CHO VIEC NGHIEN CU E TAI

1. Kien thc va nang lc ngi nghien cu:Trong qua trnh nghien cu va thc hien e tai ngi nghien cu can phai can nhac ky o kho va o phc tap cua e tai sao cho phu hp vi kha nang, kien thc va nang lc cua ngi nghien cu.

o phc tap cua e tai the hien cac mat: lnh vc nghien cu rong hay hep, mot nganh hay lien nganh, oi tng nghien cu la ong nhat hay khong ong nhat. Tuy nhien can lu y rang gia tr cua e tai khong phu thuoc vao o phc tap cua no. e tai hep cha han la e tai kem gia tr. Moi e tai nghien cu khoa hoc co mot pham vi nhat nh, pham vi nay cang hep th s nghien cu cang sau. o kho cua e tai noi len tnh va sc oi vi ngi nghien cu. Do o o phc tap cua e tai thng co moi lien he tng ho vi o kho cua no.

Kien thc cua ngi nghien cu (ay la ieu kien chu quan ngi nghien cu). Trc het o la von lieng, kinh nghiem cua ngi nghien cu.

Giao s Ha Van Tan a nhan xet: Trnh o hoc sinh, sinh vien hien nay khong cho phep ho ngay t au chon c e tai nghien cu. V vay phai co s gi y cua thay co giao. Moi e tai nghien cu khoa hoc co nhng yeu cau nhat nh cua no. Ngi nghien cu can nam vng noi dung, phng phap nghien cu phu hp vi e tai, noi khac i e tai nghien cu phai mang tnh va sc.

Ngi nghien cu phai the hien nang lc nghien cu khoa hoc bao gom viec nam vng ly thuyet c ban cua khoa hoc trong lnh vc nghien cu cua mnh, nam c mc o nhat nh ve s phat trien va tien bo thuoc lnh vc nghien cu. Co nh the mi chon c e tai co gia tr. Trong tnh hnh tien bo cua khoa hoc ky thuat hien nay tren the gii, khoi lng thong tin khoa hoc gia tang vi qui mo ln va nhp o nhanh oi hoi ngi nghien cu phai tham khao tai lieu nc ngoai. e thc hien c van e nay ngi nghien cu ngi nghien cu khoa hoc can co so von ngoai ng nhat nh.

The hien long ham me khoa hoc va quyet tam nghien cu tm toi chan ly.

2-Van e thc tien:

Ngi nghien cu phai coi thc tien lam c s, la ong lc cua nhan thc. Ang - ghen viet: Khi xa hoi co nhng yeu cau ky thuat th xa hoi thuc ay khoa hoc hn mi trng ai hoc. Mat khac thc tien cung la tieu chuan e kiem tra nhan thc .

Thc te la nhng s viec co that, nhng tnh hnh cu the, nhng van e a hoac cha c giai quyet trong cuoc song. Ngi nghien cu vi kinh nghiem ban than trong cong tac hang ngay thng thay c cac mat cua van e, cac moi quan he phc tap, cac dien bien, phng hng phat trien cua s vat t o co nh hng thch hp giai quyet e tai.

Chnh thc tien giup ngi nghien cu tm thay van e mot cach cu the. Ngi nghien cu phai xem thc tien cao hn nhan thc (ly luan) v no co u iem khong nhng co tnh pho bien ma con co tnh hien thc trc tiep. Ho Chu Tch cung a day: Hoc tap th theo nguyen tac: kinh nghiem va thc tien phai i cung nhau.

e tai thc hien mang tnh thc tien, noi dung cua e tai la co that, phat trien t thc te khach quan.

Co the noi hau nh moi cong trnh nghien cu ieu co gia tr thc te cua no, ch khac nhau mc o t nhieu, phuc vu trc mat hay lau dai, gian tiep hay trc tiep.

3. Tac ong cua ieu kien khach quan en viec thc hien e tai:

Trong qua trnh nghien cu thc hien e tai ngi nghien cu la yeu to chu quan gop phan quan trong en ket qua con oi tng nghien cu, phng phap nghien cu ke ca phng tien ngien cu, thi gian nghien cu cung nhng ngi cong tac nghien cu va ngi hng dan nghien cu la nhng ieu kien khach quan anh hng trc tiep en viec nghien cu va ket qua nghien cu. Ngi nghien cu cang nam chac cac yeu to khach quan o bao nhieu th ket qua nghien cu cang c khang nh bay nhieu.

Chng I: CAU TRUC VI X LY 8085

I. CAU TAO BEN TRONG VI X LY 8085

1. Cau truc cua mot vi x ly c ban :Mot vi x ly ve c ban gom co 3 khoi chc nang: n v thc thi (Execution), bo ieu khien tuan t (Sequencer) va bus giao tiep.

- n v thc thi : X ly cac lenh so hoc va logic. Cac toan hang lien quan co mat cac thanh ghi d lieu hoac a ch hoac t bus noi.

- Bo ieu khien tuan t : Bao gom bo giai ma lenh (Intrustruction Decoder) va bo em chng trnh (Program Counter).

+ Bo em chng trnh goi cac lenh chng trnh tuan t.

+ Bo giai ma lenh th khi ong cac bc can thiet e thc hien lenh.

Bo ieu khien tuan t tao thanh mot he thong logic tuan t ma cach thc hoat ong cua no c cha trong ROM. Noi dung cha trong ROM c goi la vi chng trnh. Cac lenh ben ngoai trong trng hp nay xac nh cac a ch vao vi chng trnh.

Khi chng trnh bat au thc hien th bo em chng trnh PC c at a ch bat au, thng la a ch 0000H (vi xi x ly 8 bit). a ch nay c chuyen en bo nh thong qua bus a ch (Address Bus). Khi tn hieu Read c a vao bus a ch noi dung cua bo nh lien quan xuat hien tren bus d lieu (data bus) va se c cha bo giai ma lenh (Instruction Decoder). Sau khi khi ong mot so bc can thiet e thc thi lenh nh mot so chu ky may va khi lenh a thc thi, th bo giai ma lenh lam cho bo em chng trnh ch en o a ch cua lenh ke.

Trong e tai nay, s dung vi x ly 8085 la mot vi x ly 8 bit nen di ay xin trnh bay cau truc cua vi x ly 8085.

Hnh 1.1 : S o khoi cua mot vi x ly 8 bit.

Hnh 1.2 : S o cau truc cua mot vi x ly.Qua s o tren, ta thay vi x ly bao gom cac khoi chnh sau: khoi n v so hoc/logic ALU (Arithmatic Logic Unit), cac thanh ghi va khoi Control Logic. Cac khoi nay lien he vi nhau qua cac ng day truyen tn hieu.

2. Chc nang va nhiem vu cua cac khoi trong vi x ly:a. Khoi ALU (Arithmatic Logic Unit).Khoi n v so hoc/ logic la khoi quan trong nhat ben trong vi x ly, khoi ALU cha cac mach ien logic co chc nang chnh la thc hien cac phep toan, cac phep thay oi so lieu. C s chnh cua khoi ALU la mach cong, nen no co kha nang thc hien cac phep x ly so nh phan.

Khoi ALU co hai ngo vao va mot ngo ra, cac ngo vao co chc nang chuyen tn hieu ngo vao vao khoi ALU e tnh toan, sau o d lieu c chuyen en ngo ra e chuyen ra ngoai.

D lieu trc khi vao khoi ALU c cha thanh ghi TEMP 1 va TEMP 2 la cac thanh ghi em. Trong vi x ly co mot thanh ghi ac biet co ten la thanh ghi A, hau het moi hoat ong cua ALU eu thong qua thanh ghi nay. Thong thng khoi ALU nhan d lieu t thanh ghi A roi x ly d lieu, sau khi x ly xong th lai gi d lieu ra thanh ghi A.

b. Cac thanh ghi ben trong vi x ly.Cac thanh ghi ben trong cua vi x ly co chc nang lu tr tam thi cac d lieu khi x ly. Trong so o co mot vai thanh ghi ac biet khi thc hien lenh, con cac thanh ghi con lai la cac thanh ghi thong dung. Cac thanh ghi nay giup cho ngi lap trnh thc hien chng trnh de dang hn, so lng thanh ghi phu thuoc vao tng loai vi x ly.

Cac thanh ghi trong mot vi x ly gom co: thanh ghi tch luy (Accumulator Register), thanh ghi PC (Program Counter Register), thanh ghi con tro ngan xep (Stack Pointer Register), thanh ghi trang thai (Status Register), cac thanh ghi thong dung, thanh ghi a ch va thanh ghi lenh (Instruction Register).

* Thanh ghi tch luy (thanh ghi A Accumulator Register) :

Thanh ghi A la mot thanh ghi quan trong cua vi x ly co chc nang lu tr d lieu khi tnh toan. Hau het cac phep toan so hoc va phep toan logic eu xay ra gia ALU va thanh ghi A, nhng can lu y rang hat het sau khi thc hien phep tnh, ALU thng gi d lieu vao thanh ghi A lam d lieu trong thanh ghi A trc o b mat.

Thanh ghi A thng lam trung gian e trao d lieu cua vi x ly vi cac thiet b ngoai vi.

Vi vi x ly 8085A, thanh ghi A co o dai 8 bit.

* Thanh ghi PC (Program Counter Register) :

Thanh ghi PC la thanh ghi quan trong nhat cua vi x ly. Khi thc hien mot chng trnh, cac lenh cua chng trnh se c quan ly bang thanh ghi PC.

Thanh ghi PC se cha a ch cua cac lenh ang thc hien cua mot chng trnh. Chnh v vay, trc khi vi x ly thc hien mot chng trnh th thanh ghi PC phai c nap mot d lieu, o chnh la a ch au tien cua chng trnh. Trong qua trnh thc hien chng trnh, vi x ly t ong tang noi dung thanh ghi PC e chuan b on cac lenh tiep theo, tuy nhien trong chng trnh co lenh co kha nang lam thay oi noi dung thanh ghi PC.

Vi vi x ly 8085, thanh ghi PC co o dai 16 bit va khi vi x ly c reset lai, thanh ghi PC se t ong nap cho mnh gia tr 0000H.

* Thanh ghi trang thai (Status Register):

Thanh ghi trang thai con goi la thanh ghi c (Flag Register thanh ghi F) dung e lu tr ket qua cua mot so lenh kiem tra. Viec lu tr ket qua cua cac lenh kiem tra giup cho ngi lap trnh co kha nang ieu khien chng trnh theo cac nhanh khac nhau.

Vi vi x ly 8085 cau truc cua thanh ghi trang thai nh sau:

76543210

SZxACxPxCY

Trong o:

S (signal): bit dau : S = 1 khi ket qua am, S = 0 khi ket qua dng.

Z (Zero): bit Zero: Z = 1 khi ket qua bang 0, Z = 0 khi ket qua khac 0.

AC (Auxiliary carry): bit tran: AC = 1 : phep tnh b tran tren bit 4.

AC = 0 : phep tnh khong b tran tren bit 4.

P (Parity): bit chan, le: P = 1 khi ket qua la so chan.

P = 0 khi ket qua la so le.

CY (Carry): bit nh:

CY = 1 khi ket qua co so nh.

CY = 0 khi ket qua khong co so nh.

Cac bit x la cac bit khong c s dung thong dung nen khong gii thieu trong e tai nay.

* Thanh ghi con tro ngan xep (Stack Pointer Register thanh ghi SP):

Thanh ghi con tro ngan xep la thanh ghi quan trong cua vi x ly, o dai d lieu cua thanh ghi SP bang vi o dai d lieu cua thanh ghi PC. Chc nang cua thanh ghi SP la dung e quan ly bo nh ngan xep khi muon lu tr tam thi d lieu vao ngan xep, cung giong nh thanh ghi PC, thanh ghi SP cung t ong ch ti o nh ke.

Trong cac vi x ly, v tr con tro ngan xep luon t ong giam e ch ti o nh ke tiep, v vay khi thiet lap gia tr cho thanh ghi con tro ngan xep phai la a ch cuoi cung cua chng trnh va no phai nam trong vung nh RAM. Neu khong khi tao a ch con tro ngan xep, no se ch en mot a ch ngau nhien, do o d lieu cat vao ngan xep co the ghi chong len phan chng trnh lam chng trnh chay sai hoac SP khong nam trong vung nh RAM lam no khong thc hien ung chc nang cua mnh.

* Thanh ghi a ch bo nh:

Thanh ghi a ch o nh co chc nang tao ung a ch o nh ma vi x ly muon truy xuat d lieu. Thanh ghi a ch luon bang vi thanh ghi PC.

* Thanh ghi lenh :

Thanh ghi nay co chc nang cha lenh ma vi x ly ang thc hien. au tien, lenh c on t bo nh en cha trong thanh ghi lenh, tiep theo lenh se c thc hien, trong khi thc hien lenh, bo giai ma lenh se oc d lieu trong thanh ghi lenh e x ly va bao chnh xac cho vi x ly biet yeu cau cua lenh. Trong suot qua trnh nay thanh ghi lenh khong oi, no ch thay oi khi thc hien lenh ke tiep.

Vi vi x ly 8085 thanh ghi lenh co o dai d lieu la 8 bit.

Ngi lap trnh khong co kha nang tac ong vao thanh ghi nay.

* Thanh ghi cha d lieu tam thi (Temporary Data Register):

Thanh ghi cha d lieu tam thi dung e tr giup khoi ALU trong qua trnh thc hien cac phep toan. Trong moi loai vi x ly, so lng cac thanh ghi tam thi khac nhau.

c. Khoi ieu khien logic (Logic Control):Khoi ieu khien logic thc chat la cac mach ieu khien logic, co chc nang nhan lenh hay tn hieu ieu khien t bo giai ma lenh va thc hien viec ieu khien theo ung yeu cau cua lenh. Khoi ieu khien logic c xem nh la bo x ly cua vi x ly.

Cac tn hieu ieu khien cua khoi ieu khien logic la cac tn hieu ieu khien cac thiet b ben ngoai giao tiep vi vi x ly va cac ng ieu khien vi x ly t cac thiet b ben ngoai.

Ngo vao tn hieu quan trong nhat trong khoi ieu khien logic la ngo vao xung clock, phai co tn hieu nay th khoi ieu khien mi hoat ong c. ong thi giup he thong hoat ong c ong bo.

d. Cac thanh phan khac ben trong vi x ly.Khoi giai ma lenh (Instruction Decoder):

Chc nang cua khoi giai ma lenh la nhan lenh t thanh ghi lenh, sau o tien hanh giai ma lenh roi a tn hieu ieu khien en khoi ieu khien logic.

Cac ng day dan:

Cac khoi ben trong cua vi x ly lien he vi nhau thong qua cac ng day dan. Cac ng day dan c chia lam ba nhom chnh: ng d lieu, ng a ch va ng ieu khien.

- ng a ch: trong vi x ly 8085, ng d lieu cua no gom 16 ng day dan song song e truyen tai cac bit a ch. So ng a ch cho ta biet so o nh toi a ma vi x ly co kha nang truy xuat c, so o nh c tnh bang cong thc 2n vi n la so ng day dan. Vay vi vi x ly 8085A, kha nang truy xuat o nh toi a la 65536 o nh. Vi nhiem vu nh ra a ch cua thiet b can truy xuat nen ng a ch mang tnh mot chieu va ch co vi x ly mi co kha nang a a ch len ng a ch.

- ng d lieu: dung e ket noi cac thanh ghi ben trong vi x ly vi cac khoi khac ben trong vi x ly va chuyen d lieu ra ngoai. Vi x ly 8085A co 8 ng d lieu. e cac thanh ghi ben trong va co kha nang nhan d lieu va co kha nang xuat d lieu th ng d lieu phai co tnh chat hai chieu.

- ng ieu khien: bao gom cac tn hieu ieu khien e bao am s hoat ong ong bo vi nhau gia cac khoi. Moi tn hieu ieu khien co mot chieu nhat nh.

3. Tap lenh cua vi x ly:Moi vi x ly co mot tap lenh rieng tuy thuoc vao cau truc ben trong cua no. Tap lenh gom nhieu lenh.

Lenh cua vi x ly la d lieu dang so nh phan, vi vi x ly 8085 chieu dai mot lenh la 8 bit.

Mot lenh luon luon bao gom hai phan chnh: ma lenh va a ch, neu mot lenh ma phan sau cua no la d lieu th phan a ch a c hieu ngam.

e viec viet chng trnh de dang hn, ngi ta dung cac t goi nh e lenh de viet va de oc hn, viec nay lam ngi viet chng trnh co the nh c nhieu lenh hn.

Tap lenh cua vi x ly c chia lam 9 nhom lenh c ban sau:

- Nhom lenh truyen d lieu.

- Nhom lenh so hoc va logic.

- Nhom lenh trao oi, truyen khoi d lieu va tm kiem.

- Nhom lenh xoay va dch.

- Nhom lenh ieu khien.

- Nhom lenh ve bit.

- Nhom lenh nhay.

- Nhom lenh goi, tr ve va nhom lenh bat au.

- Nhom lenh xuat/nhap.

4. Cac kieu nh a ch trong vi x ly:

Trong vi x ly s dung rat nhieu kieu nh a ch, sau ay la mot so kieu nh a ch thong dung.* Kieu nh a ch tc thi:

Vi kieu nh a ch tc thi th trong ma lenh khong e cap ti a ch ma ch e cap ti d lieu, a ch ay a c hieu ngam.

* Kieu nh a ch trc tiep:

Trong ma lenh co cha a ch trc tiep cua o nh can x ly, vi x ly 8085A co 16 ng a ch nen a ch trc tiep cung co o dai 16 bit.

* Kieu nh a ch ngam:

Trong cach nh a ch nay, v tr hoac gia tr cua so lieu c hieu ngam nh ma lenh.

* Kieu nh a ch gian tiep dung thanh ghi:

Yeu to th hai trong cau truc lenh khong phai la a ch cua d lieu ma ch la dau hieu cho biet v tr, ni cha a ch cua so lieu.

* Kieu nh a ch trc tiep bang thanh ghi:

Yeu to th hai cua cau truc lenh la ky hieu cua cac thanh ghi, d lieu x ly la d lieu ch

II. S O CAU TRUC BEN NGOAI VA CHC NANG CAC CHAN CUA VI X LY 8085:

1. ac tnh cua vi x ly 8085A:- Nguon cung cap: 5 V ( 10%.

- Dong ien cc ai: Imax = 170 mA.

- Tan so xung clock chuan: 6,134 Mhz.

- o dai d lieu la 8 bit.

- Kha nang truy xuat o nh trc tiep la 64 Kbytes.

- Vi x ly co the tnh so nh phan, thap phan.

- Vi x ly co 8 ng d lieu va 16 ng a ch.

- Cac ng ieu khien : RD\, WR\, IO/M\, Clock Out, Reset Out va 4 ngat.

2. S o chan va s o logic:

Hnh 1.3 : S o chan va s o logic cua 8085A3. Chc nang cac chan:* Chan 1, 2 X1, X2 (Input):- Chan X1, X2 la hai ngo vao, ay cung la ni at thach anh. Nhiem vu cua no la tao dao ong cho cac khoi ben trong vi x ly.

- Vi x ly khac nhau co tan so lam viec khac nhau, sau ay la tan so lam viec cc ai cua mot vai vi x ly do Intel san xuat:

( 6MHz oi vi 8085A.

( 10MHz oi vi 8085A-2.

( 12 MHz oi vi 8085A-1.

* Chan 3: Reset Out (Output):- Cho biet vi x ly ang at trang thai ban au. Ta co the s dung tn hieu t chan nay lam tn hieu reset cho cac vi mach khac trong he thong.

- Chan nay neu khong s dung th e trong.

- Tn hieu luon mc [0], khi tac ong th len [1].

* Chan 4: SOD (Output):- SOD (Serial Output Data): ay la ngo ra d lieu noi tiep, d lieu c xuat ra chan nay tai bit A7 cua thanh ghi A. ngo ra nay c thc hien bi lenh SIM.

- Chan nay neu khong s dung th e trong.

* Chan 5: SID (Input):- SID (Serial Input Data): ay la ngo vao d lieu vao noi tiep, d lieu t he thong khac gi en tng bit mot khi vi x ly co lenh nhan tn hieu, d lieu ngo vao nay c nap vao bit A7 cua thanh ghi A.

- Vi x ly thc hien cong viec nay khi nhan lenh RIM.

* Chan 6: TRAP (Input):- chan nay, tn hieu ngat khong ngan c. Tn hieu yeu cau ngat co bac u tien cao, khong b ngan lai bi chng trnh (phan mem).

- Ngo vao nay do he thong khac ieu khien.

Hnh 1.4 : S o tac ong ngat cua vi x ly.- Ngo vao nay c kch bi canh len.

- Khi chan TRAP mc [1], trang thai nay c lu vao 1 bit ben trong vi x ly, luc o chng trnh th I se ngng lai khi thc hien xong va nhay en a ch 0024H e thc hien chng trnh tai a ch nay cho en khi gap lenh tr ve th mi quay lai chng trnh chnh.

- ng dung cua ngat : Mot trong nhng ng dung la quet ban phm. Khi co mot phm tac ong, IC quet ban phm se bao cho vi x ly tn hieu ngat vi x ly se chuyen sang nhan va x ly phm o. Sau khi x ly xong mi tr ve chng trnh chnh.

* Chan 7, 8, 9 RST 7.5, RST 6.5, RST 5.5: (Input):- RST (Restart Interrupt Request): Tn hieu ngat co the ngan c. ay la ba ngo vao ieu khien vi x ly.

- Ba ngo vao nay c kch bang canh len hoac bang mc, tn hieu a vao chan RST 7.5 co the la mot xung.

- Khi gap tn hieu ngat, vi x ly se thc hien chng trnh tai a ch c quy nh quy nh trc.

* Chan RST 7.5 co a ch chng trnh phuc vu ngat la: 003C.* Chan RST 6.5 co a ch chng trnh phuc vu ngat la: 0034.* Chan RST 5.5 co a ch chng trnh phuc vu ngat la: 002C.- Khi oan chng trnh chnh co lenh cho phep ngat DI tn hieu ngat t cac chan nay se khong c thc hien.

- Khi oan chng trnh chnh co lenh cho phep ngat EI, th cac lenh ngat nay se c thc hien ke t khi gap lenh EI trong chng trnh chnh.

- Neu cac chan nay khong s dung th se noi at (GND).

* Chan 10: INTR (Input):- INTR (Interrupt) : tn hieu bao ngat vi x ly se nhan ra sau khi thi hanh xong mot ch th.

- Khi khong s dung chan 10 noi GND.

* Chan 11: INTA\ (Output):- INTA (Interrupt Acknowledge): Tn hieu tra li ngat. Tn hieu se bao cho thiet b yeu cau ngat bi tn hieu INTA biet rang vi x ly a chap nhan yeu cau ngat va thiet b yeu cau ngat hay at lenh len ng d lieu (Data Bus).

- Chan 10 va 11 thng c lien ket vi cac IC DMA (dung trong may tnh).

- Khi khong s dung chan 11 bo trong.

* Chan 12 ( 19 AD0 ( AD7 (Input/Output):- AD (Address Data): ay la cac ng a ch va cac ng d lieu c tch hp chung vi nhau tao thanh ng d lieu a ch.

- chu ky may T1, cac ngo AD nay ong vai tro la cac ngo ra a ch, cac chu ky sau th bus nay ong vai tro la cac ng d lieu. Vi x ly xuat hay nhap d lieu eu thong qua cac ng nay.

- Cac ng d lieu va a ch trong bus nay co the c tach ra han bi mot IC chot (74LS373, 74LS573) c ieu khien bi chan ALE cua vi x ly.

* Chan 20 Vss: Chan GND cua vi x ly.* Chan 21 ( 28 A8 ( A15 (Output) Address bus:

Cac ngo ra nay c dung e xuat 8 bit a ch cao.

Nh vay, vi x ly 8085A co 16 ng a ch va 8 ng d lieu.

* Chan 29, 33, 34 S0, S1, IO/M\ (Output).

- Ba ngo nay cho biet trang thai hoat ong cua vi x ly, trang thai hoat ong co the xem bang sau:

IO/M\S1S0Trang thai

001 Viet vao bo nh (Memory Write)

010 oc bo nh (Memory Read)

011 Xuat d lieu ra cong (Op-Code Fetch)

101 Xuat d lieu ra cong (I/0 Write)

110 oc d lieu t cong (I/0 Read)

111 Interrup Acknowledge

* Chan 30 ALE (Output):- ALE (Address Latch Enable) la chan ieu khien, dung xac nh vai tro cua ng AD7 ( AD0. Ngo nay tao ra mot xung chu ky may T1 nham xac nh luc o ng AD7 ( AD0 ong vai tro la ng a ch (A7 ( A0).

- Bnh thng th ALE mc [0], chu ky T1 th ALE mc [1].

- Chan nay dung lam chan ieu khien cho IC chot.

* Chan 31: WR\ (Output):- WR\ (Write) dung e xac nh vi x ly 8085A ang thc hien lenh ghi d lieu len bo nh hay I/O.

- Chan WR\ cua vi x ly 8085A thng c noi vi cac chan WR cua cac IC khac (bo nh hay I/O).

* Chan 32: RD\ (Output):- RD\ (Read): dung e xac nh vi x ly ang thc hien lenh oc d lieu t bo nh hay I/0 va vi x ly.

- Chan RD\ cua vi x ly 8085A thng c noi vi cac chan RD cua cac IC khac (bo nh hay I/0).

* Chan 35: Ready (Input):- Ready: Tc tn hieu tra li cho vi x ly. Bo nh hay thiet b ngoai vi I/0 bao cho vi x ly biet la a san sang gi hoac nhan d lieu. Vi x ly cha the hoan thanh chu ky may neu Ready co gia tr thap.

- Neu het 3 chu ky xung clock ma bo nh cha gi d lieu ra th chng trnh se sai. Do o e ong bo ngi thiet ke dung chan Ready nay (bnh thng Ready mc [1]).

* Chan 36: Reset In (Input):- Reset In: ngo vao nay dung e reset vi x ly. Khi thc hien reset tn hieu nay phai mc logic [0] trong khoang 3 chu ky xung clock.

- Khi reset thanh ghi PC = 0000H ngha la vi x ly tr ve trang thai ban au. Sau o vi x ly se on lenh tai a ch nay.

- Khi chan Reset In tac ong th chan Reset Out cua vi x ly cung tac ong theo. Neu cac IC khac c noi vi chan Reset Out th khi reset vi x ly, cac IC khac cung c reset theo.

Hnh 1.5 : S o reset 8085A.

* Chan 37: CLK (Output):- CLK (Clock): ngo ra tn hieu xung clock e cung cap cho cac thiet b khac khi co yeu cau. Tan so cua no bang tan so ngo vao chia 2.

- Neu khong s dung th chan nay e trong.

* Chan 38: HLDA (Output):- HLDA (Hold Acknowledge): Tn hieu ngo ra cho biet tn hieu HOLD a c chap nhan va vi x ly se trang thai HOLD chu ky xung clock tiep theo.

* Chan 39: HOLD (Input):- HOLD: nhan tn hieu yeu cau ngng bo ieu khien DMA.

- Neu khong s dung, chan HOLD noi GND.

Tom lai, vi chan HOLD va HLDA: khi DMA ngng th vi x ly lam viec va ngc lai v DMA ket noi thong qua bus.

* Chan 40 Vcc : Nang lng nguon 5V cung cap cho vi x ly hoat ong.

III. BO NH:

1. KHAI NIEM:Bo nh la cac vi mach c tch hp vi mat o cao. Bo nh chnh la ni lu tr cac chng trnh cho vi x ly cung nh lu tr cac ket qua khi x ly, v vay moi he thong ieu khien t ong dung vi x ly eu phai dung ti bo nh.

2. HOAT ONG TONG QUAT CUA MOT BO NH:Qua trnh hoat ong truy xuat d lieu cua bo nh eu thong qua cac bc sau:

- Nhan a ch e chon ung o nh can truy xuat.

- Nhan tn hieu ieu khien, tn hieu nay se cho phep oc hay ghi d lieu t bo nh.

- Nhan d lieu vao o nh khi thc hien chc nang ghi hoac gi d lieu ra khi thc hien chc nang oc.

Hnh 1.6 : S o mo ta cac ng tn hieu cua bo nh.

Cac ng ieu khien bao gom ngo vao Memory Enable va ngo vao Read\Write.

Ngo vao Memory Enable dung e cho phep bo nh hoat ong.

Ngo vao Read \ Write dung e xac nh che o hoat ong cua bo nh: oc d lieu ra hay ghi d lieu vao.

Cac ng a ch vao xac nh ung a ch o nh can truy xuat.

Cac ng d lieu dung e truyen d lieu hai chieu.

3. PHAN LOAI BO NH:Bo nh c phan thanh 2 loai, moi loai co c che hoat ong khac nhau nhng cung co chung chc nang chnh la lu tr d lieu.

- Bo nh ch oc (ROM Read Only Memory): trong luc hoat ong bnh thng, d lieu ch co the oc ra ch khong co the ghi vao.

- Bo nh truy xuat ngau nhien (RAM Random Access Memory): bat ky o nh nao cung de dang truy xuat nh nhng o nh khac tc la d lieu co the de dang ghi vao cung nh oc ra.

a. Bo nh ROM:ROM la bo nh c thiet ke cho cac ng dung can ty le oc d lieu cao. ROM lu tr d lieu co nh va trong khi hoat ong bnh thng ch dung e oc d lieu ra ch khong the ghi d lieu vao ROM.

Co nhieu loai ROM khac nhau, di ay la mot so loai ROM thng gap :

- PROM (Programmable ROM): loai ROM nay ch co the lap trnh c mot lan, sau o khong the xoa hay nap lai e thay oi d lieu.

- EPROM (Erasable Programmable ROM): vi loai ROM nay, d lieu co the xoa i e nap d lieu mi c. Tuy nhien, khi can thay oi gia tr cua mot o nh th khong the ch xoa d lieu cua o nh o ma phai xoa het roi nap lai toan bo d lieu.

e xoa d lieu cua EPROM phai dung anh sang cc tm. Con e nap d lieu cho ROM phai dung mach nap cho ROM.

Ho EPROM co ma so 27xxx.

- EEPROM (Electrically Erasable Programmable ROM): ay la loai ROM cai tien t EPROM. Vi loai EEPROM nay co the xoa va nap cac o nh mot cach oc lap, khong can xoa i toan bo cac o nh cha chng trnh nh cua EPROM. Ho EEPROM co ma so 28xxx.

Trong thc te, vi cac he thong ieu khien dung vi xu ly, ngi ta thng s dung EPROM v no co the cho phep ngi s dung nap va xoa chng trnh nhieu lan, ong thi tnh kinh te cao (re va de kiem hn EEPROM nhieu lan). Chnh v vay, trong e tai nay, nhom a s dung EPROM e lam bo nh cho he thong ieu khien cua mnh.

EPROM co nhieu loai vi cac dung lng khac nhau. Sau ay la bang liet ke cac loai EPROM.

Bang liet ke cac loai EPROM:

Ten EPROMDung lngNgo raThi gian truy xuat

27071 Kbytes3 trang thai150 ns

27162 Kbytes3 trang thai150 ns

27324 Kbytes3 trang thai250 ns

27648 Kbytes3 trang thai450 ns

2712816 Kbytes3 trang thai450 ns

2725632 Kbytes3 trang thai450 ns

2751264 Kbytes3 trang thai450 ns

Trong e tai nay, ay ch trnh bay s o chan, s o logic cung bang trang thai cua vi mach 2764:

Hnh 1.7 : S o chan va s o logic cua vi mach 2764

Bang trang thai cua vi mach 2764:

ModeCE\OE\PGM\VPPVCCOutput

ReadVILVILVIHVCCVCCDout

Stand byVIHxxVCCVCCHigh Z

ProgramVILxVILVPPVCCDin

Program verifyVILVILVIHVPPVCCDout

Program InhibitVIHxxVPPVCCHigh Z

b. Bo nh RAM:Bo nh RAM la bo nh thay oi, bat ky o nh nao cung co the truy xuat de dang va thi gian truy xuat cua cac o nh la nh nhau. Khac vi bo nh ROM, d lieu trong RAM se mat i khi nguon ien cung cap b mat. Tuy vay ngi ta khac phuc ieu nay bang cach s dung nguon pin e nuoi RAM.

RAM thng c dung trong cac he thong ieu khien t ong e lu tr d lieu tam thi chng trnh hay cac d lieu. Do noi dung d lieu trong RAM thng thay oi lien tuc trong qua trnh hoat ong nen yeu cau chu ky oc va ghi cua RAM phai nhanh e khong lam anh hng en toc o cua ca he thong.

Cung nh bo nh ROM, bo nh RAM cung dung thanh ghi e lu tr d lieu, moi thanh ghi lu tr mot d lieu va ch tng ng vi mot a ch duy nhat. Khac vi bo nh ROM, bo nh RAM con co ng ieu khien cho phep ghi hoac oc d lieu : R\W.

Bo nh RAM c chia lam hai loai: SRAM va DRAM.

- SRAM (Static RAM) con goi la RAM tnh. ay la loai RAM lu tr d lieu cho en khi nao nguon nuoi khong con. SRAM thc chat la nhng Flip Flop (FF), trong o moi FF la mot phan t nh ai dien cho mot bit.

- DRAM (Dynamic RAM) con goi la RAM ong. ay la loai RAM phai luon c lam ti tc la phai nap lai d lieu cho RAM trong mot khoang thi gian nhat nh, neu khong RAM se b mat d lieu. Trong khi lam ti RAM, o nh o khong c phep truy xuat.

Trong e tai nay, nhom ch s dung SRAM: 6264 nen ay ch trnh bay s o chan, s o logic va bang trang thai cua SRAM nay.

Bang trang thai lam viec cua vi mach 6264:

ModeWR\CS\CSOE\Output

Not selectxHxXHigh Z

Not selectxxLXHigh Z

Output DisableHLHHHigh Z

ReadHLHLDout

WriteLLHHDin

IV. KET NOI BO NH VI VI X LY:

Bo nh co vai tro rat quan trong trong he thong vi x ly, hoat ong cua bo nh gan lien vi hoat ong cua vi x ly, la ni lu tr d lieu e vi x ly x ly. do o bo nh luon hien dien trong he thong vi x ly va khi s dung phai tien hanh ket noi. Bo nh bao gom ca ROM va RAM, chung lien he vi nhau va c ket noi vi vi x ly thong qua cac bus: bus d lieu, bus a ch, va bus ieu khien. S o ket noi c trnh bay dang khoi sau:

Trong he thong co the co mot ROM mot RAM hoac so lng ROM va RAM nhieu hn na, viec bo nh c truy xuat hoan toan phu thuoc vao s ieu khien cua vi x ly thong qua 1 IC giai ma 74LS138.

Hnh 1.8 : S o khoi ket noi gia bo nh vi vi x ly

Trong he thong co the co mot ROM mot RAM hoac so lng ROM va RAM nhieu hn na. Viec bo nh c truy xuat hoan toan phu thuoc vao s ieu khien cua vi x ly thong qua 1 IC giai ma 74LS138.

Khi thiet ke van e quan tam au tien o la kha nang truy xuat bo nh cua vi x ly, ke en la dung lng cua bo nh can s dung. Trong thc te, bo nh co nhieu dung lng khac nhau (2K, 4K, 8K ), nham phuc vu cho viec thiet ke o an nay nhom thc hien la chon bo nh co dung lng 8Kbyte o la vi mach nh 2764 va 6264.

V. VAN E GIAI MA CHO BO NH :

Vi moi bo nh (1EPROM hay 1 RAM) e vi x ly co the truy xuat het 8 Kbyte bo nh th phai tien hanh ket noi 13 ng a ch A12A11A10A9A8A7A6A5A4A3A2A1A0 cua vi x ly en 13 ng a ch A12A11A10A9A8A7A6A5A4A3A2A1A0 cua bo nh. do o tat ca 13 ng a ch cua EPROM va RAM eu c noi vi 13 ng a ch cua vi x ly. e truyen c tn hieu vi nhau th cac ng d lieu D7 D0 cua vi x ly cung phai c ket noi vi cac ng d lieu D7 D0 cua tng bo nh. ng tn hieu ieu khien RD\ cua vi x ly c ket noi vi ngo vao OE\ cua EPROM va RAM.

Neu ch nh vay th khi vi x ly gi mot a ch ra e truy xuat th cac bo nh eu nhan c a ch nay va se cung gi d lieu ra hoac cung nhan d lieu vao. Nh vay d lieu ma vi x ly nhan c se khong biet cua o nh nao. Van e c at ra la bang cach nao e vi x ly truy xuat chnh xac tng o nh yeu cau. Cong viec nay c giai quyet bang cach thiet ke them phan giai ma a ch e cho phep hoac khong cho phep bo nh nao hoat ong.

Rieng oi vi bo nh RAM v RAM la bo nh oc ghi nen phai noi them ng WR\ cua vi x ly ti ng WR\ cua RAM.

S o mach giai ma bo nh:

Hnh 1.10 : S o mach giai ma bo nhCHNG II: GII THIEU TAP LENH CUA VI X LY 8085

I. NHOM LENH DI CHUYEN 8 BIT:

1. Lenh truyen d lieu gia cac thanh ghi:

+ Cu phap: MOV d, s

( s (source): tng trng cho cac thanh ghi phat.

( d (destination): tng trng cho thanh nhan.

+ Ma oi tng:

+ Cac bit ddd va sss tra BANG 2.1 cuoi tap lenh.

+ Y ngha: chuyen noi dung thanh ghi s vao thanh ghi d, noi dung thanh ghi s van con.

+ Lenh nay chiem 1 byte, so chu ky xung clock = 4.

+ Thanh ghi trang thai khong thay oi.

(Tng t cho cac lenh khac).

2. Lenh chuyen d lieu thanh ghi vao o nh:

Cu phap: MOV M, s

3. Lenh chuyen d lieu t o nh vao thanh ghi:

Cu phap: MOV d, M

4. Lenh truyen tc thi d liieu 8 bit vao thanh ghi:

Cu phap: MVI d, D8

5. Lenh truyen tc thi d lieu 8 bit vao o nh:

Cu phap: MVI M, D8

II. NHOM LENH TANG GIAM 8 BIT:

1. Lenh tang noi dung thanh ghi:

+ Cu phap: INR d

+ Ma oi tng:

+ Y ngha: Lenh nay tang noi dung thanh ghi len mot n v.

+ Lenh nay chiem 1 byte , so chu ky xung clock = 4.

+ Lenh nay anh hng en thanh ghi trang thai tr bit Cy khong anh hng.

(Tng t cho cac lenh khac)

2. Lenh giam noi dung thanh ghi:

Cu phap: DCR d

3. Lenh tang noi dung o nh:

Cu phap: INR M

4. Lenh giam noi dung o nh:

Cu phap: DCR M

III. NHOM LENH SO HOC GIA THANH GHI A VA THANH GHI

1. Lenh cong thanh ghi:

+ Cu phap: ADD s

+ Ma oi tng:

+ Y ngha: noi dung thanh ghi A c cong vi noi dung thanh ghi s, ket qua cha trong thanh ghi A , noi dung thanh ghi s van con.

+ Lenh nay chiem mot byte, so chu ky xung clock = 4.

+ Lenh nay anh hng en thanh ghi trang thai.

2. Lenh cong thanh ghi co so nh ban au:

+ Cu phap: ADC s

+ Ma oi tng:

+ Y ngha: noi dung thanh ghi A c cong vi noi dung thanh ghi s cong vi bit Cy, ket qua cha trong thanh ghi A, noi dung thanh ghi s van con.

+ Lenh nay chiem 1 byte, so chu ky xung clock = 4.

+ Lenh nay anh hng en thanh ghi trang thai.

(Tng t cho cac lenh khac)

3. Lenh tr thanh ghi:

Cu phap: SUB s

4. Lenh tr thanh ghi co so nh ban au:

Cu phap: SBB s

5. Lenh and vi thanh ghi:

Cu phap: ANA s

6. Lenh Ex-or vi thanh ghi:

Cu phap: XRA s

7. Lenh or vi thanh ghi:

Cu phap: ORA s

8. Lenh so sanh vi thanh ghi:

Cu phap: CMP s

IV. NHOM LENH SO HOC GIA O NH VI THANH GHI A:1. Lenh cong vi o nh:

+ Cu phap: ADD M

+ Ma oi tng:+ Y ngha: noi dung thanh ghi A c cong vi noi dung o nh co a ch cha trong cap thanh ghi HL, ket qua cha trong thanh ghi A, noi dung o nh khong thay oi.

+ Lenh nay chiem 1 byte, so chu ky xung clock = 7.

+ Lenh nay anh hng en thanh ghi trang thai.

2. Lenh cong o nh co so nh ban au:

+ Cu phap: ADC M

+ Ma oi tng:

+ Y ngha: noi dung thanh ghi A c cong vi dung o nh co a ch cha trong cap thanh ghi HL, ket qua cha trong A, noi dung o nh khong thay oi.

+ Lenh nay cha 1 byte, so chu ky xung clock = 7.

+ Lenh nay anh hng en thanh ghi trang thai.

(Tng t cho cac lenh khac)

3. Lenh tr vi o nh:

Cu phap: SUB M

4. Lenh tr o nh co so nh ban au:

Cu phap: SBB M

5. Lenh and vi o nh:

Cu phap: ANA M

6. Lenh Ex-or vi o nh:

Cu phap: XRA M

7. Lenh or vi o nh:

Cu phap: ORA M

8. Lenh so sanh vi o nh:

Cu phap: CMP M

V. NHOM LENH SO HOC GIA THANH GHI A VA D LIEU 8 BIT:1. Lenh cong tc thi vi d lieu 8 bit:

+ Cu phap: ADI D8

+ Ma oi tng:

+ Y ngha: noi dung thanh ghi A c cong vi d lieu 8 bit D8, ket qua cha trong thanh ghi A.

+ Lenh nay cha 2 byte, so chu ky xung clock = 7.

+ Lenh nay anh hng en thanh ghi trang thai.

2. Lenh cong tc thi vi d lieu 8 bit co so nh ban au:

+ Cu phap: ACI D8

+ Ma oi tng:

+ Y ngha: noi dung thanh ghi A c cong vi d lieu 8 bit D8, cong vi bit Cy, ket qua cha trong thanh ghi A.

+ Lenh nay cha 2 byte, so chu ky xung clock = 7.

+ Lenh nay anh hng en thanh ghi trang thai.

( Tng t cho cac lenh khac )

3. Lenh tr tc thi vi d lieu 8 bit:

Cu phap: SUI D8

4. Lenh tr tc thi vi d lieu 8 bit co so nh ban au:

Cu phap: SBI D8

5. Lenh and tc thi vi d lieu 8 bit:

Cu phap: ANI D8

6. Lenh Ex-or tc thi vi d lieu 8 bit:

Cu phap: XRI D8

7. Lenh or tc thi vi d lieu 8 bit:

Cu phap: ORI D8

8. Lenh so sanh tc thi vi d lieu 8 bit:

Cu phap: CPI D8

VI. NHOM LENH NAP TC THI CAP THANH GHI:

1. Lenh nap cap thanh ghi BC:

+ Cu phap: LXI B, D16

+ Ma oi tng:

+ Y ngha: d lieu 16 bit D16 c nap vao cap thanh ghi BC.

+ Lenh nay chiem 3 byte, so chu ky xung clock = 10.

+ Khong anh hng en thanh ghi trang thai.

(Tng t cho cac lenh khac)

2. Lenh nap cap thanh ghi DE:

Cu phap: LXI D, D16

3. Lenh nap cap thanh ghi HL:

Cu phap: LXI H, D16

4. Lenh nap cap thanh ghi SP:

Cu phap: LXI SP, D16

VII. NHOM LENH PUSH:

1. Lenh cat cap thanh ghi BC:

+ Cu phap: PUSH B

+Ma oi tng:

+Y ngha: noi dung cap thanh ghi BC c copy vao ngan xep. Noi dung thanh ghi B c cat vao ngan xep tai a ch (SP-1), thanh ghi C c cat vao ngan xep tai a ch (SP-2), noi dung thanh ghi SP giam i 2.

+ Lenh nay chiem 1 byte, so chu k xung clock =12.

+Lenh nay khong anh hng en thanh ghi trang thai.

(Tng t cho cac lenh khac)

2. Lenh cat cap thanh ghi DE:

Cu phap: PUSH D

3. Lenh cat cap thanh ghi HL:

Cu phap: PUSH H

4. Lenh cat cap thanh ghi AF:

Cu phap: PUSH PSW

VIII. NHOM LENH POP:1. Lenh chuyen noi dung ngan xep vao cap thanh ghi:

+ Cu phap:POP B

+ Ma oi tng:

+ Y ngha: chuyen noi dung t ngan xep vao cap thanh ghi BC. Noi dung ngan xep co a ch cha trong SP c chuyen cho thanh ghi C, noi dung cua ngan xep co a ch (SP+1) c chuyen cho thanh ghi B, sau lenh POP noi dung cua SP tang len 2.

+ Lenh nay chiem mot byte, so chu k clock =12.

+ Lenh nay khong anh hng en thanh ghi teang thai.

(Tng t cho cac lenh khac cung nhom).

2. Lenh chuyen noi dung ngan xep vao cap thanh ghi DE:

+ Cu phap: POP D

3. Lenh chuyen noi dung ngan xep vao cap thanh ghi HL:

+ Cu phap: POP H

4. Lenh chuyen noi dung ngan xep vao cap thanh ghi AF:

+ Cu phap: POP PSW

IX. NHOM LENH CONG CAP THANH GHI VI CAP THANH GHI:

1. Lenh cong cap thanh ghi BC:

+ Cu phap:

DAD B

+ Ma oi tng:

+ Y ngha: noi dung cap thanh ghi BC c cong vi cap thanh ghi HL, ket qua cat trong cap thanh ghi HL. Thanh ghi L c cong vi C, thanh ghi H c cong vi B.

+ Lenh nay chiem 1 byte, so chu ky clock =10.

+ Lenh nay ch lam anh hng en bit trang thai Cy.

Tng t cho cac lenh cung nhom nay nh sau:

2. Lenh cong cap thanh ghi DE:

+ Cu phap: DAD D

3. Lenh cong cap thanh ghi HL:

+ Cu phap: DAD H

4. Lenh cong cap thanh ghi SP:

+ Cu phap: DAD SP

X. NHOM LENH TANG CAP THANH GHI:1.Lenh tang cap thanh ghi BC:

+ Cu phap:INX B

+ Ma oi tng:

+Y ngha: noi dung cap thah ghi BC tang them mot n v.

+ Lenh nay chiem 1 byte, so chu ky clock =6.

+ Lenh nay khong lam anh hng en thanh ghi trang thai.

(Tng t cho cac lenh khac)

2. Lenh tang cap thanh ghi DE:

+ Cu phap: INX D

1. Lenh tang cap thanh ghi HL:

+ Cu phap: INX H

2. Lenh tang cap thanh ghi SP:

+ Cu phap: INX SP

XI. LENH GIAM CAP THANH GHI:1. Lenh giam cap thanh ghi BC:

+ Cu phap: DCX D

+ Ma oi tng:

+ Y ngha: noi dung cap thanh ghi BC giam them 1 n v.

+ Lenh nay chiem 1 byte, so chu ky clock=6.

+ Lenh nay khong lam anh hng en thanh ghi trang thai.

(Tng t cho cac lenh khac)

2. Lenh giam cap thanh ghi DE:

+ Cu phap:DCXD

3. Lenh giam cap thanh ghi HL:

+ Cu phap:DCXH

4. Lenh giam cap thanh ghi SP:

+ Cu phap:DCXSP

XII. NHOM LENH GIAN TIEP DUNG CAP THANH GHI:1. Lenh lu tr gian tiep dung cap thanh ghi BC:

+ Cu phap:STAX B

+ Ma oi tng:

+ Y ngha: noi dung thanh gi c lu tr gian tiep vao o nh co a ch cha trong cap thanh ghi BC.

+ Lenh nay khong anh hong en thanh ghi trang thai.

(Tng t cho cac lenh khac cung nhom)

2. Lenh lu tr gian tiep dung cap thanh ghi DE:

+ Cu phap:STAX D

3. Lenh nap gian tiep dung cap thanh ghi BC:

+ Cu phap:LDAX B

+ Ma oi tng:

+ Y ngha: noi dung o nh co a ch cha trong cap thanh ghi BC c chuyen vao thanh ghi A.

(Tng t cho cac lenh khac)

4. Lenh lu tr gian tiep dung cap thanh ghi DE:

+ Cu phap:LDAX D

XIII. NHOM LENH TRC TIEP:

1. Lenh lu tr trc tiep:

+ Cu phap: STAADDR

+ Ma oi tng:

+ Y ngha: noi dung thanh ghi A c lu tr vao o nh co a ch ADDR.

+ Lenh nay chiem 3 byte, so chu ky clock=13.

+ Lenh nay khong anh hng en thanh ghi trang thai.

2. Lenh nap trc tiep:

+ Cu phap:LDAADDR

+ Ma oi tng:

+ Y ngha: noi dung o nh co a ch la ADDR c chuyen vao thanh ghi A.

+ Lenh nay chiem 3 byte, so chu ky xung clock =13.

+ Lenh nay khong anh hng en thanh ghi trang thai.

3. Lenh lu tr trc iep cap thanh ghi:

+ Cu phap:SHLDADDR

+ Ma oi tng:

+ Y ngha: noi dung cap thanh ghi HL oc lu vao 2 o nh lien tiep la ADDR va (ADDR+1). Noi dung thanh ghi L c lu tr vao o nh co a ch la ADDR, noi dung cua thanh ghi H c lu tr vao o nh co a ch la (ADDR+1).

+ Lenh nay chiem 3 byte, so chu ky xung clock = 16.

+ Lenh nay khong anh hng en thanh ghi trang thai.

4. Lenh nap trc tiep cap thanh ghi:

+ Cu phap:LHLD ADDR

+ Ma oi tng:

+ Y ngha: noi dung cua 2 o nh co a ch lien tiep la ADDR va (ADDR+1) c lu tr vao cap thanh ghi HL. Noi dung cua o nh co a ch ADDR c nap vao thanh ghi L, noi dung cua o nh co a ch (ADDR+1) c nap vao thanh ghi H.

+ Lenh nay chiem 3 byte, so chu ky xung clock =13.

+ Lenh nay khong anh hng en thanh ghi trang thai.

XIV. NHOM LENH XOAY THANH GHI A:

1. Lenh dch thanh ghi A sang trai:

+ Cu phap:RLC

+ Ma oi tng:

+ Y ngha: noi dung thanh ghi A dch t phai sang trai, bit MSB c chuyen sang bit Cy va bit LSB.

+ Lenh nay chiem 1byte, so chu ky lock =4.

+ Lenh nay ch anh hng en bit trang thai Cy.

2. Lenh dch thanh ghi A sang phai:

+ Cu phap:RRC

+ Ma oi tng:

+ Y ngha: noi dung thanh ghi A dch t trai sang phai, bit LSB c chuyen sang bit Cy va bit MSB.

+ Lenh nay chiem 1byte, so chu ky lock =4.

+ Lenh nay ch anh hng en bit trang thai Cy.

( Tng t cho cac lenh khac).

3. Lenh dch thanh ghi A sang trai thong qua bit Cy:

+ Cu phap:RAL

4. Lenh dch thanh ghi A sang phai thong qua bit Cy:

+ Cu phap:RAR

XV. NHOM LENH NHAY:

1. Lenh nhay khong ieu kien:

+ Cu phap:JMPADDR

+ Ma oi tng:

+ y ngha: vi x l se nhay en a ch ADDR e tiep tuc thc hien chng trnh.

+ Lenh nay chiem 3 byte, so chu ky clock =10.

+ Lenh nay khong anh hng en thanh ghi trang thai.

2. Lenh nhay khi bit Z=0:

+Cu phap:JNZADDR

+ Ma oi tng:

+ Y ngha: vi x l se nhay en a ch ADDR e tiep tuc chng trnh khi bit Z=0, ngay sau khi thc hien lenh anh hng en bit z cua thanh ghi trang thai.

+ Lenh nay chiem 3 byte, so chu ky clock la 7/10.

+ Lenh nay khong anh hng en thanh ghi trang thai.

( Tng t cho cac lenh khac).

3. Lenh nhay khi bit Z=1:

+ Cu phap:JZADDR

4. Lenh nhay khi bit C=0:

+ Cu phap:JNCADDR

5. Lenh nhay khi bit C=1:

+ Cu phap:JCADDR

6. Lenh nhay khi bit P=0:

+ Cu phap:JPOADDR

7. Lenh nhay khi bit P=1:

+ Cu phap:JPEADDR

8. Lenh nhay khi bit S=0:

+ Cu phap:JPADDR

9. Lenh nhay khi bit S=1:

+ Cu phap:JMADDR

XVI. NHOM LENH GOI:1. Lenh goi khong ieu kien:

+ Cu phap:CAALADDR

+ Ma oi tng:

+ Y ngha: vi x ly se thc hien chng trnh tai a ch ADDR sau o se tr ve chng trnh chnh khi gap lenh tr ve.

+ Lenh nay chiem 3 byte, so chu ky clock =18.

+ Lenh nay khong anh hng en thanh ghi trang thai.

2. Lenh goi khi Z=0:

+ Cu phap:CNZADDR

+ Ma oi tng:

+ Y ngha: vi x ly se thc hien chng trnh tai ADDR khi bit Z=0, ngay sau khi thc hien lenh anh hng en bit Z cua thanh ghi trang thai. Sau o se tr ve chng trnh chnh khi gap lenh tr ve.

+ Lenh nay chiem 3 byte, so chu ky clock =9/18.

+ Lenh nay khong anh hng en thanh ghi trang thai.

( Tng t cho cac lenh khac).

3. Lenh goi khi bit Z=1:

+ Cu phap:CZADDR

4. Lenh goi khi bit C=0:

+ Cu phap:CNCADDR

5. Lenh goi khi bit C=1:

+ Cu phap:CCADDR

6. Lenh goi khi bit P=0:

+ Cu phap:CPOADDR

7. Lenh goi khi bit P=1:

+ Cu phap:CPEADDR

8. Lenh goi khi bit S=0:

+ Cu phap:CPADDR

9. Lenh goi khi bit S=1:

+ Cu phap:CMADDR

XIV. NHOM LENH TR VE T CHNG TRNH CON:

1. Lenh RET khong ieu kien:

+ Cu phap:RET

+ Ma oi tng:

+ Y ngha: lenh nay se ket thuc chng trnh con, vi x ly se tr lai chng trnh chnh tiep tuc phan chng trnh con lai.

+ Lenh nay chiem 1 byte, so chu ky xung clock=10.

+ Thanh ghi trang thai khong oi.

2. Lenh tr ve khi Z=0:

+ Cu phap:RNZ

+ Ma oi tng:

+ Y ngha: lenh nay se ket thuc chng trnh con khi bit Z=0, vi x ly se tr lai chng trnh chnh tiep tuc phan chng trnh con lai, neu khong thoa ieu kien chng trnh con se thc hien cac lenh tiep theo.

+ Lenh nay chiem 1 byte, so chu ky clock=6/12.

+ Thanh ghi trang thai khong thay oi.

( Tng t cho cac lenh khac).

3. Lenh tr ve khi Z=1:

+ Cu phap:RZ

4. Lenh tr ve khi C=0:

+ Cu phap:RNC

5. Lenh tr ve khi C=1:

+ Cu phap:RC

6. Lenh tr ve khi P=0:

+ Cu phap:RPO

7. Lenh tr ve khi P=1:

+ Cu phap:RPE

8. Lenh tr ve khi S=0:

+ Cu phap:RP

9. Lenh tr ve khi S=1:

+ Cu phap:RM

XV. NHOM LENH DI CHUYEN CAP THANH GHI

1. Lenh trao oi noi dung 2 cap thanh ghi:

+ Cu phap : XCHG

+ Ma oi tng:

+ Y ngha: noi dung cap thanh ghi HL va DE c trao oi cho nhau. Thanh ghi H trao oi vi D thanh ghi L trao oi vi E.

+ Lenh nay chiem 1 byte, so chu ky xung clock = 4.

+ Thanh ghi trang thai khong thay oi.

( Tng t cho cac lenh khac ).

2. Lenh trao oi noi dung cap thanh ghi vi o nh:

+ Cu phap : XTHG

3. Lenh di chuyen noi dung cap thanh ghi:

+ Cu phap : SPHL

4. Lenh nap cap thanh ghi PC :

+ Cu phap : PCHL

XVI. NHOM LENH XUAT NHAP

1. Lenh nhap d lieu t port:

+ Cu phap:INport-addr

+ Ma oi tng:

+ Y ngha: nhap noi dung cua co a ch port-addr vao thanh ghi A. a ch port-addr co o dai 1 byte.

+ Lenh nay chiem 2 byte, so chu ky xung clock = 10.

+ Thanh ghi trang thai khong thay oi.

(Tng t cho cac lenh khac).

2. Lenh xuat d lieu ra port:

+ Cu phap:OUTport-addr

3. Lenh cho phep ngat:

+ Cu phap:EI

4. Lenh khong cho phep ngat:

+ Cu phap:DI

5. Lenh read interrupt mask:

+ Cu phap:RIM

6. Lenh set interrupt mask:

+ Cu phap:SIM

XVII. NHOM LENH AC BIET:

1. Lenh nghch ao noi dung thanh ghi A:

+ Cu phap:CMA

2. Lenh at bit carry:

+ Cu phap:STC

3. Lenh nghch ao bit carry:

+ Cu phap:CMC

4. Lenh ieu chnh thap phan:

+ Cu phap:DAA

5. Lenh nop:

+ Cu phap:NOP

6. Lenh dng:

+ Cu phap:HLT

XVIII. V DU MINH HOA TAP LENH:

Chng trnh nhap nhay chuoi "-HA-NOI-" tren 8 led:

Org7108h

;a ch bat au nhap ma chuoi

Db, 40h, 76h, 77h, 40h, 37h, 3fh, 30h, 40, ;khai bao ma cua chuoi

Org6200h

Vd1:lxi h, 7108h

;nap a ch quan ly d lieu vao thanh ghiHL

Mvi c, 08h

;lam bien em cho chng trnh hien th

Vd2:mov a, m

;lay d lieu

Sta 0a000h

;gi ra led hien th

Inr l

;tang len 1 e lay byte tiep theo

Dcr c

;giam bien em

Jnz vd2

;nhay ve vd2 khi cha gi u 8 byte

Mvi a, 01h

;nap thi hang delay1 giay

Call 0310h

;goi chng trnh con delay

Mvi c, 08h

;lam bien iem cho chng trnh xoa

Mvi a, 00h

;nap 00 vao A

Vd3sta 0a000h

;gi ra led e xoa

Dcr c

;giam bien em

Jnz vd3

;quay lai vd3 neu cha xoa u 8 led

Mvi 0, 01h

;nap thi hang delay

Call 0310h

;goi chng trnh delay

Jmp vd1

;quay lai lam lai chu ky ke.

CHNG III: GIAO TIEP VI MAY TNH

I. GIAO TIEP SONG SONG:

GIAO TIEP QUA CONG MAY IN

1.1 Vai net c ban ve cong may in:

Viec noi may in vi may tnh c thc hien qua o cam 15 chan pha sau may tnh. Nhng ay khong phai ch la cho noi vi may in ma khi s dung may tnh vao viec khac, nh truyen d lieu t may tnh ti mot thiet b khac, hay ieu khien thiet b bang may tnh th viec ghep noi cung c ghep noi qua cong may in.

Qua cong nay d lieu c truyen i song song, nen oi khi con c goi la cong ghep noi song song va toc o truyen cung at en mc ang ke. Tat ca cac ng dan cua cong may in eu tng thch vi TTL. Ngha la chung eu cung cap mc ien ap nam gia 0V en 5V. do o ta can lu y la cac ng dan vao cong nay khong c at mc ien ap qua ln.

S sap xep cac chan cua cong may in vi tat ca cac ng dan c mo ta nh sau:

Chc nang cac chan

ChanKy hieuOut/inChc nang

1

2 .. 9

10

11

12

13

14

15

16

17

18 ..25Strobe

D0 .. D7

ACK

Busy

PE

SLCT

AF

ERROR

INIT

SLCTN

GNDOut

Out

In

In

In

In

Out

In

Out

Out

Byte c in

Cac ng d lieu D0 .. D7.

Phan thu bao cho phan phat biet a thu xong mot k t

Phan tn hieu do phan thu bao cho phan phat biet la phan thu ang ban

Bao het giay

Bao chon may in

May tnh bao ra may in t nap giay

Bao cac loi cua may in

Reset may in

Chon may in

Noi at

1.3 Trao oi vi cac ng dan tn hieu:

LPT1: Gom co 3 thanh ghi: thanh ghi d lieu, thanh ghi trang thai va thanh ghi ieu khien.

a. Thanh ghi d lieu: gom co 8 bit d lieu, co a ch la 378h.

D7D6D5D4D3D2D1D0

b. Thanh ghi trang thai: co a ch la 379h.

D7D6D5D4D3D2D1D0

c. Thanh ghi ieu khien co a ch: 37AH

D7D6D5D4D3D2D1D0

1.4. Hoat ong cua viec trao oi d lieu:

a. Hoat ong cua phan phat:

+ oc Busy cho en khi Busy\ = 1.

+ gi d lieu ra bus d lieu

+ cho Strobe = 0.

+ chuan b d lieu tiep theo

+ quay ve bc 1

b. Hoat ong cua phan thu:

+ oc Strobe cho en khi Strobe = 1.

+ Busy = 0, ACK = 0.

+ oc d lieu vao.

+ a ACK = 1.

+ x ly d lieu.

+ cho Busy = 1 e phat ky t tiep theo

+ quay ve bc 1

GIAO TIEP QUA SLOT CARD. (co a ch t 300..31FH).

Trong may tnh ngi ta che tao san cac slot cho phep ngi s dung tnh nang cua may vi tnh bang cach gan them cac thiet b vao no.

Moi slot eu co cac ng d lieu (data), a ch (address), cac ng +5V, 5V, + 12V, -12V va cac ng ieu khien nh CLK, IRQ, RESET, /IOW, IOR v vay neu thiet ke mach giao tiep qua slot se giam c rat nhieu linh kien, giam c bo nguon ben ngoai, de ieu khien, gia thanh thap nen ong thi toc o truyen d lieu cung nhanh.

Tuy nhien ben canh nhng u iem no cung co nhng nhc iem nh: do slot card giao tiep c gan ben trong may nen cach giao tiep nay kho nhan c d lieu t ben ngoai. No b han che ve khoang cach lam viec ong thi moi lan s dung phai m rap may gay bat tien cho ngi s dung.

Cac ranh cam trong may tnh PC

may tnh PC/XT ranh cam trong may tnh ch co 1 loai vi o rong la 8 bit va tuan theo tieu chuan ISA (Industry Standard Architecture). T may tnh AT tr i viec bo tr chan tren ranh cam tr len phc tap hn, tuy theo tieu chuan khi la chon may tnh, cac loai ranh cam theo tieu chuan khac nhau co the kiem tra nh sau:

+ Ranh cam 16 bit theo tieu chuan ISA (Industry standard Architecture)

+ Ranh cam PS/2 vi 16 bit theo tieu chuan MCA (Micro Channel Architecture)

+ Ranh cam PC/2 vi 32 bit theo tieu chuan MCA .

+ Ranh cam 32 bit theo tieu chuan EISA (Extended Micro Channel Architecture)

+ Ranh cam 32 bit theo tieu chuan VESA

+ Ranh cam 32 bit theo tieu chuan PCI.

Cho en nay phan ln cac card ghep noi dung trong ky thuat o lng va ieu khien eu c che tao e at vao ranh cam theo tieu chuan ISA.

GIAO TIEP NOI TIEP QUA CONG COM RS232

1. VAI NET C BAN VE CONG NOI TIEP:

Cong noi tiep RS232 la mot loai giao dien pho bien rong rai nhat, ta con goi la cong COM1, COM2 e t do cho cac ng dung khac nhau.

Giong nh cong may in, cong noi tiep RS232 cung c s dung rat thuan tien trong viec ghep noi may tnh vi cac thiet b ngoai vi. Viec truyen d lieu qua cong RS232 c tien hanh theo cach noi tiep, ngha la cac bit d lieu c gi i noi tiep vi nhau tren mot ng dan.

Trc het loai truyen nay co kha nang dung cho nhng khoang cach ln hn, bi v kha nang gay nhieu la nho ang ke hn la khi dung mot cong song song. Viec dung cong song song co mot nhc iem ang ke la cap truyen nhieu si va v vay rat at tien, hn na mc tn hieu nam trong khoang 0..5V a to ra khong thch ng vi khoang cach ln .

Tren hnh 3.5 la s bo tr chan cua phch cam RS232 cua may tnh PC:

CHAN

(loai 9 chan )CHAN

( loai 25 chan )KY HIEUY NGHA

1

2

3

4

5

6

7

8

98

3

2

20

7

6

4

5

22DCD

RxD

TxR

DTR

GND

DSR

RTS

CTS

RIData carrier detect

Nhan d lieu

Phat d lieu

D lieu au cuoi san sang

Noi at

Thiet b thong tin san sang

Yeu cau gi

Thiet b thong tin san sang truyen

Ring in dicator

Viec truyen d lieu xay ra tren 2 ng dan qua chan cam ra TxD, gi d lieu cua no en thiet b khac. trong khi o d lieu ma may tnh nhan c dan en chan RxD. cac tn hieu khac ong vai tro nh tn hieu ho tr khi trao oi thong tin va v the khong phai trong moi ng dung eu dung en.

Mc tn hieu tren chan ra RxD tuy thuoc vao ng dan TxD va thong tin thng nam trong khoang 12V.. + 12V cac bit d lieu c ao ngc lai. Mc ien ap mc cao nam trong khoang 3V va 12V va mc thap nam trong khoang t + 3Vva +12V . Trang thai tnh tren ng dan co mc ien ap 12V.

Bang toc o baud ta thiet lap toc o truyen d lieu cac gia tr thong thng la 300, 600, 1200, 2400, 4800, 9600, va 19200 baud, Ky hieu baud la so lng bit truyen trong 1s.

Con mot van e na la khuon mau (Format) truyen d lieu can phai c thiet lap nh nhau ca ben gi cung nh ben nhan cac thong so truyen co the c thiet lap tren may tnh PC bang cac cau lenh tren DOS. Ngay nay Windows cung co cac chng trnh rieng e s dung, khi o cac thong so truyen d lieu nh: toc o baud, so bit d lieu, so bit dng, bit chan le (parity) co the c thiet lap mot cach rat n gian.

2. S TRAO OI CUA CAC NG TN HIEU:Cung nh cong may in, cac ng dan tn hieu rieng biet cung cho phep trao oi qua lai cac a ch trong may tnh PC. trong trng hp nay ngi ta thng s dung nhng vi mach co o tch hp cao e co the hp nhat nhieu chc nang tren 1 chip.

may tnh PC thng co mot bo phat/nhan khong ong bo van nang goi tat la UART: Universal Asynchronous Receiver/Transmister. e ieu khien s trao oi thong tin gia may tnh va cac thiet b ngoai vi. pho bien nhat la vi mach 8250 cua hang NSC hoac cac thiet b tiep theo, chang han nh 16C550. Bo AURT nay co 10 thanh ghi e ieu khien tat ca chc nang cua viec nhap vao, xuat ra d lieu theo cach noi tiep lien quan en noi dung cua phan nay ch e cap en hai ieu ang quan tam o la: thanh ghi ieu khien modem va thanh ghi trang thai modem.

a. Thanh ghi ieu khien modem:

D7 D6 D5 D4 D3 D2 D1 D0

0 0 0LOOPOUT2UOT1RTSDTR

D0 =1 a /DTR =0

D0 =0 a /DTR =1

D1 =1 a /RTS =0

D1 =0 a /RTS =1

OUT1 va OUT2 ieu khien au ra phu

b)Thanh ghi trang thai modem: (a ch c ban +6)

RLSDRIDSRCTS(RLSD(RI(DSR(CTR

Lai cung giong nh cong ghep noi vi may in, cac Thanh ghi c trao oi qua o nh trong vung vao/ra (input/output). a ch au tien co the ti c cua cong noi tiep goi la a ch c ban (basic Address) cac a ch cua cac thanh ghi tiep theo c at ti bang viec cong them so thanh ghi a gap cua bo UART vao a ch c ban. a ch c ban cua cong noi tiep cua may tnh PC c tom tat trong bang sau:

CONGA CH C BAN

COM 1

COM 2

COM 3

COM 43F8H

2F8H

3E8H

2E8H

CHNG IV: GII THIEU HE THONG KIT VI X LY 8085I. GII THIEU CAU TRUC PHAN CNG KIT VI X LY 8085:1. Tan so lam viec:

+ Vi x ly 8085 cua Intel vi tan so hoat ong 6MHz.

+ Cac chng trnh ve thi gian c viet tng ng tai a ch nay.

2. To chc bo nh:

a. Bo nh EPROM:

Co dung lng 16kbyte s dung 2 EPROM 2764, chng trnh he thong cha EPROM th nhat, EPROM th 2 cha s dung c thiet ke dang socket. Socket cua EPROM th 2 co the s dung c cho EPROM co dung lng 2 kbyte, 4kbyte, 8kbyte va co the s dung cho bo nh RAM co dung lng 8kbyte bang cach chuyen oi cac Jump tren mainboard.

+ EPROM 1 co a ch t 0000h 1FFFh.

+ EPROM 2 co a ch t 2000h 3FFFh.

Cach s dung cac Jump tren main:

+ Khi s dung EPROM co dung lng 2kbyte (IC 2716): hay ket noi chan so 2 va chan so 3 cua Jump 1. Vung a ch cua EPROM la 2000h 27FFh.

+ Khi s dung EPROM co dung lng 4kbyte (IC 2732): hay ket noi chan so 2 va chan so 1 cua Jump 1. Vung a ch cua EPROM la 2000h 2FFFh.

+ Khi s dung EPROM co dung 8kbyte ( IC 2764): hay ket noi chan so 2 va chan so 1 cua Jump 1, ket noi chan so 2 va chan so 3 cua Jump 2. Vung a ch cua EPROM la 2000h 3FFFh.

+ Khi s dung RAM co dung lng 8kbyte (IC 6264): hay ket noi chan so 2 va chan so 1 cua Jump 1, ket noi chan so 2 va chan so 1 cua Jump 2. Vung a ch cua RAM la 2000h 3FFFh.

b. Bo nh RAM:

Bo nh RAM co dung lng 16kbyte s dung 2 IC 6264.

+ RAM 1 co a ch t 6000h 7FFFh.

+ RAM 2 co a ch t 8000h 9FFFh.

+ Cac vung nh RAM a s dung co a ch la 87F8h en 87FFh. Chng trnh co the s dung toan bo cac vung nh RAM con lai.

3. Cac IC ngoai vi:Trong he thong co s dung 3 IC 8255A dung e giao tiep vi thiet b ngoai vi

Bang o o nh cua 3 IC 8255:

+ IC 8255 3 dung e quet ban phm cho he thong may.

+ Cac ngo ra cua IC 8255 1, 8255-2 c a ra ben ngoai bang connect 64 chan co s o chan tra bang tra. Moi IC 8255 co 3 port, moi port co 8 chan ieu khien nen so chan a ra ben ngoai e ieu khien la 48.

4. Khoi giai ma hien th s dung IC 8279:

Gom co 8 led vi th t led 1 ti led 8 theo hng t phai sang trai nh hnh 4.2.

LED8 LED7LED6 LED5 LED4 LED3LED2LED1

Vung a ch s dung cua IC 8279 la A000H-A001H, trong o:

+ a ch A000H la ai ch dung e gi d lieu can hien th.

+ a ch A001H la a ch dung e gi t ieu khien ra IC 8279.

a. Cau truc byte d lieu cua led:

dp g f e d c b a

He thong s dung led loai Anode chung nen muon oan nao sang th bit d lieu tng ng vi oan o bang 1. oan nao tat th bit tng ng vi oan o bang 0.

V du muon sang so "9" th byte d lieu gi ra led la:

01101111

Tng ng vi so HEX la 6FH. Sau ay la ma 7 oan cua mot so ch so va ch cai:

PgfedcbaHex

So 0001111113F

So 10000011006

So 2010110115B

So 3010011114F

So 40110011066

So 5011011016D

So 6011111017D

So 70000011107

So 8011111117F

So 9011011116F

Ch A0111011177

Ch B011111007C

Ch C0011100139

Ch D010111105E

Ch E0111100179

Ch F0111000171

Ch P0111001173

Ch H0111011076

Ch U001111103E

Co the tm cac ma tng ng con lai.

b. Co 2 cach hien th d lieu cac led:

+ Cach 1: khi gi d lieu mi ra a ch A000H th d lieu nay s hien th led 1, d lieu trc o cua led se dch sang trai theo chieu mui ten trong hnh 3. Rieng byte d lieu trc cua led 8 se dch mat i.

Mui ten nam ngang ch chieu nhan d lieu t vi x ly a en led 1, cac mui ten vong cung ch dch chuyen d lieu.

Neu muon xoa het man hnh 8 led th gi 8 byte 00 lien tiep ra A000h.

+ Cach 2: Kieu gi d lieu cach 1 con c goi la kieu dch chuyen d lieu tuan t. Ben canh o 8279 con cho phep gi d lieu trc tiep en bat ky led nao trong 8 led, to chc cua led khong co g thay ay oi a ch gi d lieu van la A000H nhng moi led con co them mot a ch ieu khien nh trong hnh 4.4. a ch cua led phai gi ra a ch A001H trc khi gi d lieu ra a ch A000H.

5. Khoi giai ma ban phm dung 8255:

Chng trnh con giai ma ban phm c viet tai a ch 0223H, s dung cac thanh ghi B, D, E, A, khi goi chng trnh con 0223H:

+ Neu khong an phm th sau khi thc hien xong chng trnh se tr ve chng trnh chnh vi noi dung thanh ghi A = FFH.

+ Neu co an phm th ma cua phm a cha trong A.

Chng trnh nay neu co an phm hoac khong co an phm ieu tr ve sau khi thc hien xong va phai cat d lieu trong cac thanh ghi khi goi chng trnh con nay.

Bang ma cac phm so:

CHNG V: GIAO TIEP NOI TIEP DUNG VI MACH 8251

I. TRUYEN THONG TIN NOI TIEPViec truyen thong tin gia cac bo phan nam gan nhau trong he vi x ly co the c thc hien thong qua bus song song m rong hoac cac mach phoi ghep song song. Trong o cac byte hoac cac t c chuyen t bo phan nay sang bo phan khac tren mot tap cac ng mach in hoac day cap. Trong trng hp can phai truyen thong tin cac thiet b cach xa nhau lam sao tiet kiem c so ng day dan can thiet cho viec truyen. T yeu cau tren a ra i phng phap truyen thong tin noi tiep. au phat d lieu song song au tien c chuyen thanh d lieu dang noi tiep sau o c truyen i lien tiep tren mot ng day. au thu, tn hieu noi tiep se c bien oi ngc lai e tai tao tn hieu dang song song thch hp cho viec x ly tiep theo.

Trong thc te co 2 phng phap truyen thong tin kieu noi tiep: truyen ong bo va truyen khong ong bo.

Trong phng phap truyen ong bo, d lieu c truyen theo tng mang vi toc o xac nh. Mang d lieu trc khi c truyen i se c gan them au va cuoi mang cac byte hoac mot nhom bit nh dau ac biet.

hnh 5.1 bieu dien mot ban tin e truyen ong bo theo giao thc BISYNC (giao thc truyen thong tin he 2 ong bo, binary synchronous communication protocal) ay thc chat la giao thc ieu khien theo byte, v cac byte (ky t) ac biet c dung e anh dau cac phan khac nhau cua ban tin.

Trong cach truyen khong ong bo, d lieu c truyen i theo tng ky t. Ky t can truyen i c gan them mot bit anh dau au e bao bat au ky t (start) va 1 hoac 2 bit anh dau cuoi e bao ket thuc ky t (stop). V cuoi ky t c nhan dang rieng biet nen no co the c truyen i theo phng phap khong ong bo, c the hien tren hnh 5.1.

Tuy theo loai ma c chon dung trong khi truyen (Baudot, Ascii, ) o dai cho ma ky t co the la 5 , 6 , 7, 8 bit. Tuy theo he thong truyen tin, ben canh cac bit d lieu con co the tuy chon co hay khong co 1 bit parity e kiem tra loi khi truyen co the tuy chon 1 hoac 2 bit stop, nhng bat buoc phai co mot bit start. Nh vay e truyen mot ky t theo phng phap khong ong bo, ngoai ky t mang tin ta buoc phai truyen them t nhat 2 va nhieu nhat la 4 bit phu e tao ra khung cho ky t o, v the phng phap nay tuy n gian nhng co hieu suat khong cao.

Toc o truyen d lieu theo phng phap noi tiep c o bang bit/s. Ngoai ra ngi ta cung hay dung n v baud, o la gia tr ngch ao cua thi gian gia cac lan thay oi mc tn hieu, vi d lieu ch co hai mc (0 va 1) va moi thay oi mc tn hieu ch ma hoa mot bit th co the hieu baud = bit/s, cac toc o truyen thng gap trong thc te la 11, 300, 600, 1200, 2400, 4800, 9600, 19200 baud.

e tao ieu kien de dang cho viec phoi ghep ng truyen noi tiep vi he vi x ly va e giam toi a cac mach phu them ben ngoai ngi ta a che tao ra cac vi mach to hp c ln lap trnh c co kha nang hoan thanh phan ln cac cong viec can thiet trong khi phoi ghep. o la cac mach thu phat di bo van nang IN8250/16450 cua National va mach thu phat ong bo d bo van nang 8251 cua Intel.

II. VI MACH USART 8251: Trong phan nay ta se gii thieu mach 8251A o la vi mach USART co the dung cho ca hai kieu truyen thong tin noi tiep ong bo, d bo. S o chan va s o thanh ghi c trnh bay hnh 5.3

1. S o chan va s o khoi

a. nhom tn hieu ghep noi vi vi x ly gom:

- /CS noi vi bo giai ma a ch A1.. An e chon 2 thanh ghi.

(A0 = 1ieu khien trang thai, A0 = 0 em so lieu).

- C/D noi vi ng day a ch A0 e mot trong 2 cap thanh ghi tren.

- /WR noi vi chan /WR cua vi x ly.

- /RD noi vi chan /RD cua vi x ly.

- CLK noi vi ng day CLK cua vi x ly.

- Reset noi vi ng day reset cua vi x ly.

- D0 D7 noi vi cac ng dan D0D7 cua VXL.

b. Nhom tn hieu ghep noi voi Modem

- /DTR

- /DSR

- /RTS

- /CTS

c. Nhom tn hieu gep noi vi ng day truyen nhan va KGN:

- TxEMTY thanh ghi em truyen rong.

- TxR cho so lieu truyen.

- TxRDy bao so lieu truyen a san sang.

- RxRDy bao so lieu nhan a san sang.

- Syn det/Break : ch ong bo/t dong tin.

d. Nhom tn hieu ghep noi vi may phat xung nhp.

- TxD : nhp truyen.

- RxC : nhp nhan.

2. Cac thanh ghi:

hnh 5.4a va hnh 5.4b mo ta bo thanh ghi cua 8251, gom: thanh ghi trang thai va thanh ghi ieu khien.

Hai thanh ghi tren co cung mot a ch vi A0 = 1, mot dung e ghi (/WR) lenh ieu khien va mot e oc (/RD) trang thai. Hai thanh ghi em so lieu ghi va oc co cung mot a ch (A0 = 0) va dung e ghi va oc so lieu can truyen va nhan cua KGN. Cac lenh cho cac thanh ghi tren nh bang sau:

/CEC/D/WR/RDLENH

0

0

0

0

11

1

0

0

x0

1

0

1

x1

0

1

0

xGhi vao thanh ghi ieu khien (che o, lenh).

oc thanh ghi trang thai.

Ghi so lieu vao thanh ghi em truyen.

oc so lieu t thanh ghi em nhan.

Tr khang cao (khong dung).

Thanh ghi ieu khien

Thanh ghi trang thai

a. Thanh ghi ieu khien:

dung e ghi:

+ li ieu khien che o.

b. Li lenh:

c. thanh ghi trang thai.

Dung e oc li trang thai:

* Vi li ieu khien che o: hnh 5.4a

Che o ong bo: (trong, ngoai hai hay mot ky t ong bo SYNC) bi noi dung ghi vao D7, D6.

Toc o truyen vi gia tr:

+ D1D0 = 00: Dung cho che o ong bo, toc o ni thu bang toc o ni phat, khong co s thay oi tan so xung nhp.

+ D1D0=01 : dung cho che o khong ong bo, toc o gia ni thu va ni phat khong oi.

+ D1D0=01: toc o thu = toc o truyen X1, tc toc o thu = toc o truyen.

+D1D0=10: toc o thu =16x toc o truyen.

+ D1D0=11: toc o thu =64x toc o truyen.

o dai ky t, tc o dai mot n v tin SDU vi cac gia tr D3d2 = 00 (5bit), 01(6bit), 10(7bit) va 11(8bit)

Kien tra chan le D5D4 =00 (khong kiem tra), 01 (kiem tra le), 11 (kiem tra chan)

ieu khien khung, xac nh so bit dng.

Vi D7D6 = 01 mot bit dng

D7D6 = 10 mot bit ri dng

D7D6 = 11 hai bit dng

Vi li lenh (hnh5.4b) ta co the ghi lenh e:

ieu khien modem: DTR(D1=1), RTS(D5=1)

Xoa mem( bang chng trnh co IR (D6=1) e xoa ve 0 cac thanh ghi noi

Xoa c bao loi ER (D4=1)

Ghi cho phep truyen TxEN (D0=1) cho phep nhan RxE(D2=1)

Gi tn hieu cat ( cac bit bang 0-Break) e cat dong tin lien tuc ang truyen.

Tm ky t ong bo EH(D7=1) trong che o ong bo

Thanh ghi trang thai (hnh 5.4c): dung e oc.

San sang truyen TxRDY (D0=1), san sang nhan RXRDY( D1=1)

Thanh ghi em so lieu rong TxE (D2=1)

Tuy ch co mot a ch (CS\=0, A1=1) nhng ta co the ghi c 2 li ieu khien che o va li lenh 2 thanh ghi ieu khien khac nhau v con co chung mot flip flop noi ieu khien lan lt vao hai thanh ghi tren

III. NG DUNG 8251A E TRUYEN THONG TIN NOI TIEP:

1. Truyen thong tin noi tiep gia 2 kit VXL:

a. Khi phat 8251A:

Muon 8251 hoat ong ung che o (khong ong bo), toc o (300, 600, 1200, 2400, 4800, 9600bps hoac ln hn), so bit tin (5, 6, 7, 8) co kiem tra chan hoac le va xac inh so bit dng (1; 1,5; 2 bit) ta phai ghi li ieu khien che o vao thanh ghi ieu khien cua 8251.

V du:

Mot 8251 co ia ch goc CS\ vi 0FFF0h, can trao oi thong tin 8 bit, toc o x16 khong co kiem tra Parity chan le, 2bit stop.

Li ieu khien la: 1 1 0 0 1 1 1 0

Lu o khi tao 8251A

chng trnh khi phat:

MOVDX, 0FFF2h

MOVAL,00h

OUTDX,AL

MOVCX2h

D0 :LOOPD0

OUTDX, AL

D1:MOVCX,2h

LOOPD1

OUT DX, AL

MOVCX,2h

D2: LOOPD2

MOVAL, 40h

OUTDX, AL

MOVCX, 2h

D3:LOOPD3

MOVAL, 11001110B

OUTDX, AL

MOVCX, 2h

D4:LOOPD4

END

2. Truyen thong tin noi tiep gia kit VXL va may tnh:

CHNG VI: GIAO TIEP SONG SONG DUNG VI MACH 8255

I. GII THIEU VE VI MACH 8255A:e vi x ly giao tiep vi nhng thiet b ben ngoai, ngi ta thng dung vi mach giao tiep 8255A, vi mach nay co kha nang giao tiep rat rong, va co the xuat d lieu, va co the nhan d lieu tuy theo ngi lap trnh ieu khien bang cach thay oi thong so cua thanh ghi ieu khien.

II. S O CHAN, S O LOGIC, CHC NANG CAC CHAN CUA VI MACH 8255A.

S o chan va s o logic cua vi mach 8255A c the hien qua hnh ve:

Hnh 6.1 : S o chan va s o logic cua vi mach 8255ATrong o:

Chan 1 ( 4, 37 ( 40 (PA0 PA7): la cac ng xuat nhap co ten la cong A.

Chan 18 ( 25 (PB0 PB7): la cac ng nhap xuat co ten cong B.

Chan 10 ( 13, 14 ( 17 (PB0 PB7): la cac ng nhap xuat co ten cong C.

Chan 27 ( 34 (D0 D7): la cac ng d lieu (data) hoat ong hai chieu, dan tn hieu ieu khien t vi x ly ra cac thiet b ben ngoai ong thi nhan cac d lieu t cac thiet b ieu khien ben ngoai vao vi x ly.

Chan 35 (Reset input): ngo vao xoa, chan reset phai c noi vi tn hieu reset out cua vi x ly e khong lam anh hng en mach ieu khien. Khi reset, cac cong cua 8255A la cac ngo vao, ong thi tat ca cac d lieu tren thanh ghi ben trong 8255A eu b xoa, 8255A tr ve trang thai ban au san sang lam viec.

Chan 6 (CS\): tn hieu ngo vao chip select (CS\) c ieu khien bi vi x ly, dung e la chon 8255A lam viec khi vi x ly giao tiep vi nhieu thiet b.

Chan 5 (RD\): ngo vao oc d lieu (Read Input).

Chan 36 (WR\) : ngo vao ghi d lieu (Write Input).

Chan 8,9 (A1, A0): ngo vao a ch (Address Input), dung nhan a ch vao e la chon thanh ghi va cac cong.

Bang a ch la chon thanh ghi va cac cong:

A1A0Cong va thanh ghi

00Cong A

01Cong B

10Cong C

11Thanh ghi ieu khien

Chan 26 (Vcc) : nguon 5 VDC.

Chan 7 (GND) : GND 0 VDC.

III. CAU TRUC BEN TRONG VA HOAT ONG CUA VI MACH 8255A.1. S o khoi cau truc ben trong cua vi mach 8255A.

Hnh 6.2: S o khoi cau truc ben trong cua 8255A2. Hoat ong cua vi mach 8255A:T s o khoi cau truc ben trong cua vi mach 8255A ta thay cac cong cua 8255A c chia thanh 2 nhom:

Nhom A gom cong A va 4 bit cao cua cong C.

Nhom B gom cong B va 4 bit thap cua cong C.

Cau hnh lam viec cua 2 nhom se do noi dung cua thanh ghi ieu khien quyet nh.

Vi mach 8255 giao tiep vi vi x ly thong qua cac ng sau :

- ng d lieu: gom 8 ng d lieu (D0 - D7). Ma lenh, cac d lieu eu c truyen i tren ng nay.

- ng a ch: gom 2 ng (A0 A1) dung e la chon cong hoac thanh ghi ieu khien nh a trnh bay phan trc.

- ng ieu khien: gom cac ng RD\, WR\, CS\, Reset dung e ieu khien viec hoat ong cua 8255A.

e s dung cac cong lam cong cu giao tiep, ngi s dung phai gi t ieu khien ra thanh ghi ieu khien e 8255A nh cau hnh lam viec cho cac cong ung nh yeu cau cua ngi lap trnh.

3. T ieu khien:T ieu khien la d lieu c gi ti thanh ghi ieu khien. Gia tr cua t ieu khien se xac nh cau hnh lam viec cho cac cong cua 8255A, o la viec la chon chc nang nhap hay xuat cua cac cong.

a. Cau truc cua t ieu khien:

Do cac cong cua 8255A c chia lam hai nhom nen t ieu khien cung c chia lam hai nhom ieu khien tng ng.

Cac bit D3, D4, D5, D6 dung e xac nh cau hnh cho nhom A:

- Bit D3 dung e thiet lap 4 bit cao cua cong C.

D3 = 0 cong C la cong xuat d lieu.

D3 = 1 cong C la cong nhap d lieu.

- Bit D4 dung e thiet lap cong A.

D4 = 0 cong A la cong xuat d lieu.

D4 = 1 cong A la cong nhap d lieu.

- Bit D5 va D6 dung thiep lap mode ieu khien cua nhom A.

D6D5 = 00 : nhom A hoat ong mode 0.

D6D5 = 01 : nhom A hoat ong mode 1.

D6D5 = 1x : nhom A hoat ong mode 2.

Cac bit D0, D1, D2 dung e xac nh cau hnh cho nhom B.

- Bit D0 dung e thiet lap 4 bit thap cua cong C.

D0 = 0 cong C la cong xuat d lieu.

D0 = 1 cong C la cong nhap d lieu.

- Bit D1 dung e thiet lap cong B.

D1 = 0 cong B la cong xuat d lieu.

D1 = 1 cong B la cong nhap d lieu.

- Bit D2 dung thiep lap mode ieu khien cua nhom B.

D2 = 0 : nhom B hoat ong mode 0.

D2 = 1 : nhom B hoat ong mode 1.

Hnh 6.3 : Cau truc t ieu khien cua vi mach 8255Ab. C che hoat ong cac cong cua 8255A cac trang thai.* Nhom A va Nhom B cau hnh mode 0.

Khi c nh cau hnh nay, cac cong cua hai nhom hoat ong xuat hoac nhap d lieu oc lap vi nhau.

T ieu khien:

100D4D30D1D0

Vi D0, D1. D3, D4 tuy chon theo yeu cau cua ngi s dung.

* Nhom A c cau hnh mode 1.

mode 1, cac cong lam viec co chot (Strobed I/O), thiet b giao tiep muon 8255A nhan d lieu th thiet b o phai tao ra mot tn hieu yeu cau 8255A nhan d lieu va ngc lai, 8255A muon gi d lieu cho mot thiet b khac th no phai gi 1 tn hieu yeu cau thiet b o nhan d lieu, tn hieu o goi la tn hieu chot.

+ Khi cong A c cau hnh la cong nhap d lieu, chc nang cac ng tn hieu c trnh bay nh hnh ve:

T ieu khien :

1011D3xxx

Hnh 6.4 : Chc nang cac ng tn hieu khi cong A la cong nhap d lieu

Cac ng tn hieu cua cong C tr thanh cac ng ieu khien cua cong A.

Trong o :

Bit INTEA (Interrupt Enable) c thiet lap mc logic di s ieu khien cua phan mem dung cau truc Set/Reset cua 8255A.

Bit PC4 tr thanh bit STBA (Strobed Input, tac ong mc thap), c dung e chot cac d lieu cac ngo vao PA0 PA7 vao mach chot ben trong.

Bit PC5 tr thanh bit IBFA (Input Buffer Full, tac ong mc cao), dung e bao cho ben ngoai biet d lieu a c chot ben trong.

Bit PC3 tr thanh bit INTRA (Interrupt Request, tac ong mc cao), bit nay co mc logic 1 khi bit STRA = 1, bit IBFA = 1 va bit INTEA = 1. Thong thng bit nay dung e tac ong vao ngo vao ngat cua vi x ly e bao cho vi x ly biet : d lieu mi a xuat hien ngo vao.

Cac bit PC6, PC7 cua cong C la cac bit xuat/ nhap bnh thng tuy thuoc vao t ieu khien trong thanh ghi ieu khien. Con cong B c ieu khien bang cac bit D0 D2 trong thanh ghi ieu khien (neu cong B hoat ong mode 1 th cac bit PC0 PC2 la cac bit ieu khien).

+ Cong A c cau hnh la cong xuat d lieu.

Chc nang cac ng tn hieu c trnh bay hnh ve:

T ieu khien :

1010D3Xxx

Hnh 6.5 : Chc nang cac ng tn hieu khi cong A la cong xuat d lieu

Bit PC7 tr thanh bit OBFA (Output Buffer Full, tac ong mc thap), khi co d lieu t vi x ly gi ra cong A, tn hieu OBF se yeu cau thiet b ben ngoai nhan d lieu.

Bit PC6 tr thanh bit ACKA (Acknowledge Input, tac ong mc thap), thiet b nhan d lieu dung tn hieu nay e bao cho 8255A biet d lieu a c nhan va san sang nhan d lieu mi.

Bit PC3 tr thanh bit INTRA (Interrupt Request, tac ong mc cao), bit nay co mc logic bang 1 khi bit OBFA = 1, ACKA = 1 va bit INTEA = 1. Tn hieu INTRA tac ong en ngo vao ngat cua vi x ly e bao cho vi x ly biet thiet b ben ngoai a nhan d lieu t cong A.

Cac bit PC4, PC5 van la bit nhap/ xuat d lieu bnh thng tuy thuoc vao t ieu khien. Cac bit D0 D2 trong thanh ghi ieu khien dung e thiet lap cau hnh cho cong B.

* Nhom B c cau hnh mode 1.

+ Cong B c cau hnh la cong nhap d lieu.

Chc nang cac ng tn hieu c trnh bay nh hnh ve:

T ieu khien :

1xxxX11x

Hnh 6.6 : Chc nang cac ng tn hieu khi cong B la cong nhap d lieu

Chc nang cua cac bit ieu khien giong nh khi nhom A hoat ong mode 1 va cong A c cau hnh la cong nhap d lieu.

+ Cong B c cau hnh la cong xuat d lieu.

Chc nang cac ng tn hieu c trnh bay nh hnh ve :

T ieu khien:

1xXxx10x

Hnh 6.7 : Chc nang cac ng tn hieu khi cong B la cong xuat d lieuChc nang cua cac t ieu khien giong nh khi nhom A hoat ong mode 1 va cong A c cau hnh la cong xuat d lieu.

* Nhom A c cau hnh mode 2.

Mode 2 la kieu hoat ong strobed bi-directional IO : co hai chc nang nhap xuat d lieu.

T ieu khien khi nhom A c cau hnh mode 2 va chc nang cua cac ng tn hieu c trnh bay hnh ve:

T ieu khien :

1011D3xxx

Hnh 6.8: Chc nang cac ng tn hieu khi nhom A hoat ong mode 2

Cac ng tn hieu cua cong C tr thanh cac ng ieu khien cua cong A.

Bit PC7 tr thanh bit OBFA, PC6 tr thanh bit ACKA, PC4 tr thanh bit STBA, PC5 tr thanh bit IBFA va bit PC3 tr thanh bit INTRA, chc nang cua cac bit ieu khien giong nh mode 1, ch khac tn hieu INTRA = 1 khi OBFA = 1 va INTE1 = 1 hoac IBFA = 1 va INTE2 = 1.

Cac bit PC0 PC2 la cac bit nhap xuat d lieu bnh thng tuy thuoc vao t ieu khien trong thanh ghi ieu khien.

Mot chu y can thiet la khi nhom A c cau hnh mode 2 th nhom B ch c cau hnh mode 0.

IV. GIAO TIEP GIA VI X LY VI 8255A:

Vi mach 8255A co the giao tiep vi vi x ly theo 2 kieu: kieu nhap/xuat (kieu I/O) va kieu bo nh.

Khi vi x ly giao tiep vi 8255A theo kieu I/O no ch dung c 8 ng a ch t A0 A7 ma thoi con khi giao tiep kieu bo nh, no dung c ca 16 ng a ch, v vay dung lng giao tiep kieu I/O thap hn dung lng giao tiep kieu bo nh.

1. Giao tiep kieu I/O.

Khi thiet ke vi x ly giao tiep vi 8255A theo kieu I/O th d lieu se c truy xuat bang 2 lenh : IN addr-port va OUT addr-port. D lieu giao tiep luon cha trong thanh ghi A. a ch cong (addr-port) co o dai la 8 bit.

Cung giong nh bo nh, vi x ly co the giao tiep vi nhieu vi mach 8255, vi 8 ng a ch th co tat ca 256 a ch, ma moi vi mach chiem 4 a ch (3 cong va thanh ghi ieu khien) nen so vi mach 8255 toi a co the giao tiep cua vi x ly la 256/4 = 64 vi mach.

Khi ket noi gia vi x ly vi vi mach 8255A th ng a ch A0 va A1 dung e la chon cac cong va thanh ghi ieu khien, con cac ng a ch con lai t A2 A7 dung e la chon vi mach hoat ong, thong thng cac ng a ch nay c a vao vi mach giai ma roi cac ngo ra cua vi mach giai ma se a en CS\ cua cac vi mach 8255A.

Mot v du khi thiet ke vi x ly giao tiep vi 4 vi mach 8255A:

S o ket noi nh hnh ve : (vi mach 74139).

Hnh 6.9: S o ket noi vi x ly vi vi mach 8255A

Trong o, ng A0 va A1 c noi vi 8255A nh a trnh bay tren, ng A2, A3 c a vao vi mach giai ma 2 ng thanh 4 ng e la chon vi mach 8255A.

T o, ta co bang a ch cua cac vi mach 8255A nh sau :

ICA7A6A5A4A3A2A1A0Hex

8255A-10

00

00

00

00

00

00

10

100

03

8255A-20

00

00

00

00

01

10

10

104

07

8255A-30

00

00

00

01

10

00

10

108

0B

8255A-40

00

00

00

01

11

10

10

10C

0F

2. Giao tiep kieu bo nh.

Khi thiet ke 8255A giao tiep vi vi x ly theo kieu bo nh th chc nang cua 8255A khong thay oi, ch thay oi kieu truy xuat. Vi kieu I/O th a ch cua cac cong va thanh ghi ieu khien la 8 bit th kieu bo nh, a ch cua cac cong va thanh ghi ieu khien la 16 bit, khi o cach ket noi cung tng t nh ket noi vi x ly vi bo nh thong thng, va moi vi mach 8255A cung c coi nh la bo nh vi 4 o nh: 3 cong va thanh ghi ieu khien, truy xuat d lieu bang cach dung cac lenh truy xuat d lieu cua bo nh.

Cach ket noi tng t nh khi vi x ly ket noi vi bo nh nen ay khong can trnh bay lai.

CHNG VII: PHAN CNG VA PHAN MEM GIAO TIEP

I. LU O VA CHNG TRNH TRUYEN D LIEU

1. LU O TRUYEN D LIEU:

2. LU O NHAN D LIEU:

3. CHNG TRNH TRUYEN D LIEU

;xxxxxxxxxxxxxxxxxxxxx

;chuong trinh con delay

org 6200h

delay:mvi b,3

x0:dcr b

jnz X0

ret

;xxxxxxxxxxxxxxxxxxxxx

;chuong trinh truyen du lieu

org 4100h

mvi a,00h;xoa reg lenh

out 01h

call delay

out 01h

call delay

out 01h

call delay

mvi a,40h;xoa cac thanh ghi noi

out 01h

call delay

mvi a,0ceh;goi tu dk che do

out 01h

call delay

lxi d,6500h

mvi a,03h;goi tu lenh

out 01h

call delay

x1:in 01

;doc tg trang thai

ani 10000001b;giu MSB va LSB

cpi 81h

jnz x1

ldax d

mov l,a

out 00h ;doc byte dia chi de truyen

call delay

mvi a,21h ;goi tu lenh de truyen di

out 01

call delay

inr e

mvi a,03h

out 01h

call delay

x2:in 01h

ani 81h

cpi 81h

jnz x2

ldax d

mov h,a

out 00h

call delay

mvi a,21h

out 01h

call delay

inr e

mvi a,03h

out 01h

call delay

x13:in 01h

ani 81h

cpi 81h

jnz x13

ldax d

mov c,a

out 00

call delay

mvi a,21h

out 01h

call delay

x4:mvi a,03h

out 01h

call delay

x3:in 01h

ani 81h

cpi 81h

jnz x3

mov a,m ;truyen du lieu

out 00h

call delay

mvi a,21h

out 01h

call delay

inr l

dcr c

jnz x4

;hien chu E de biet cham dut

lxi h,0a000h

mvi m,79h

hlt

4. CHNG TRNH NHAN D LIEU:

;x-chuong trinh nhan du lieu-x

org 4300h

mvi a,00h ; xoa cac thanh ghi

out 01h

call delay

out 01h

call delay

out 01h

call delay

mvi a,40h ;xoa cac thanh ghi noi

out 01h

call delay

mvi a,0ceh ;nap tu che do

out 01h

call delay

mvi a,26h ;nap tu lenh

out 01h

call delay

y5:in 01h

ani 82h

cpi 82h

jnz y5

in 00h ;cat byte dia chi thap

mov e,a

mov l,a

y6:in 01h

ani 82h

cpi 82h

jnz y6

in 00h ;cat byte dia chi cao

mov d,a

mov h,a

y7:in 01h

ani 82h

cpi 82h

jnz y7

in 00h

mov c,a

y8: in 01h

ani 81h

cpi 81h

jnz y8

in 00h ;nap du lieu vao o nho

stax d

inr e

dcr c

jnz y8

pchl

end

II. THIET KE VA THI CONG PHAN GIAO TIEP:1. GII THIEU VI MACH MAX 232:Vi mach MAX 232 chuyen oi mc TTL ngo vao thanh mc +10V hoac 10V pha truyen va cac mc +3V +15V hoac 3V -15V thanh mc TTL pha nhan. Tren hnh 7.3 mo ta cach sap xep chan va s o cau truc cua vi mach MAX 232.

ng dan TxD dan trc tiep en chan 11 cua vi mach MAX 232 con bo em noi ra chan 14 c noi trc tiep ti chan so 2 cua cong noi tiep.

Viec sap xep chan o cam noi tiep c la chon sao cho co the dung mot cap noi trc tiep cong noi tiep cua he phat trien, vi cong noi tiep cua may tnh thng la COM 2.

Vi ng dan RxD moi viec cung dien ra tng t chan 13 cua vi mach c noi en chan 3 cua cong noi tiep.

2. S O MACH KET NOI:

V s dung kit 8085 a co nen ket noi cac ng data cua 8255 cua kit vi 8251 cua board giao tiep.

S o ket noi nh sau:

3. S DO MACH IN: Gom hai mat :mat tren va mat di.Board mach cu the nh sau:

Ket luan

Qua thi gian thc hien, di s hng dan tan tnh cua Thay Nguyen nh Phu cung s giup rat nhieu cua quy thay co trong khoa, em a co gang hoan thanh luan van ung theo yeu cau va thi gian quy nh. Trong luan van chung em a thc hien c nhng cong viec sau:

Khao sat phan ly thuyet:

- Gii thieu vi x ly.

- Gii thieu cach giao tiep.

-