holter de ecg utilizando tecnologia fpaa - up.edu.br · holter de ecg, utilizando seus recursos...

86
Centro Universitário Positivo Núcleo de Ciências Exatas e Tecnológicas - NCET Engenharia da Computação Luiz Gustavo Dall’Igna Variani Holter de ECG utilizando tecnologia FPAA Curitiba 2006

Upload: truongliem

Post on 04-Oct-2018

228 views

Category:

Documents


1 download

TRANSCRIPT

Centro Universitário Positivo

Núcleo de Ciências Exatas e Tecnológicas - NCET

Engenharia da Computação

Luiz Gustavo Dall’Igna Variani

Holter de ECG utilizando tecnologia FPAA

Curitiba

2006

Centro Universitário Positivo

Núcleo de Ciências Exatas e Tecnológicas - NCET

Engenharia da Computação

Luiz Gustavo Dall’Igna Variani

Holter de ECG utilizando tecnologia FPAA Monografia apresentada à disciplina de

Projeto de Final de Curso, como requisito

parcial à conclusão do Curso de Engenharia

da Computação. Orientador: Prof. José

Carlos da Cunha.

Curitiba

2006

ii

TERMO DE APROVAÇÃO

Luiz Gustavo Dall’Igna Variani

Holter de ECG utilizando tecnologia FPAA Monografia aprovada como requisito parcial à conclusão do curso de Engenharia da

Computação do Centro Universitário Positivo, pela seguinte banca examinadora:

Prof. José Carlos da Cunha

Prof. Adriana Cursino Tomé

Prof. Nestor Cortez Saavedra Filho

Curitiba, 6 de novembro de 2006.

SUMÁRIO

1. INTRODUÇÃO ............................................................................................................1

2. FUNDAMENTAÇÃO TEÓRICA ...................................................................................3

2.1. ECG ......................................................................................................................3

2.1.1. Fisiologia ........................................................................................................3

2.1.2. Características do sinal ..................................................................................5

2.1.3. Eletrodos ........................................................................................................5

2.1.4 Aquisição ECG ................................................................................................5

2.2. Amplificadores Operacionais ................................................................................6

2.2.1. Definição ........................................................................................................7

2.2.2. Ganho.............................................................................................................7

2.2.3. Saturação .......................................................................................................8

2.2.4. Modos operação.............................................................................................9

2.2.5. Freqüência de corte e taxa de atenuação ....................................................14

2.2.6. Tensão de offset...........................................................................................14

2.2.7. Slew-rate ......................................................................................................15

2.2.8. Rise-time ......................................................................................................16

2.2.9. Overshoot.....................................................................................................17

2.2.10. Drift.............................................................................................................17

2.2.11. Associação em cascata de estágios não-iteragentes.................................17

2.2.12. CMRR.........................................................................................................17

2.2.13 Amplificador de Instrumentação ..................................................................17

2.3. Filtros ..................................................................................................................18

2.3.1. Definição ......................................................................................................18

2.3.2. Classificação ................................................................................................19

2.3.3. Ressonância e Fator Qo e Seletividade .......................................................23

2.3.4. Defasagens em Filtros..................................................................................24

2.3.5. Ordem em Filtros..........................................................................................24

2.3.6. Filtros de Butterworth ...................................................................................25

2.3.7. Filtros de Chebyshev....................................................................................26

2.4. Protocolo SPI ......................................................................................................27

2.4. Protocolo I2C ......................................................................................................28

2.5. MultiMediaCard...................................................................................................29

2.6. RTC (Real Time Clock) .......................................................................................30

3. TECNOLOGIA FPAA E dpASP .................................................................................32

3.1. Arquitetura ..........................................................................................................32

3.1.1. Célula de Entrada/Saída configurável ..........................................................34

3.1.2. Entrada/Saída multiplexável .........................................................................35

3.1.3. Célula de Saída............................................................................................35

3.1.4. Bloco Analógico Configurável.......................................................................36

3.1.5. Tabela de busca (LUT – Look Up Table)......................................................37

3.1.6. Registrador de Aproximação Sucessiva (SAR) ............................................38

3.1.7. Tensão de referência....................................................................................39

3.2. Interface de configuração....................................................................................40

3.3. Interface de desenvolvimento .............................................................................41

4. ESPECIFICAÇÃO .....................................................................................................44

4.1. Especificação de Hardware ................................................................................45

4.1.1. Funções........................................................................................................45

4.1.2. Componentes ...............................................................................................46

4.1.3 Ambiente de desenvolvimento ......................................................................46

4.1.4. Requisitos.....................................................................................................46

4.1.5. Restrições ....................................................................................................47

4.1.6. Fluxograma ..................................................................................................47

4.2. Especificação de Software..................................................................................47

4.2.1. Funções........................................................................................................47

4.2.2. Ambiente de desenvolvimento .....................................................................48

4.2.3. Requisitos.....................................................................................................48

4.2.4. Restrições ....................................................................................................48

4.2.5. Fluxograma ..................................................................................................48

4.2.6. Protótipo de tela ...........................................................................................49

5. PROJETO..................................................................................................................50

5.1. Projeto de Hardware ...........................................................................................50

5.1.1. Sinais de Interface........................................................................................54

5.1.2. Características do sinal ................................................................................55

5.2. Projeto de Software.............................................................................................55

5.2.1. Diagrama de Casos de Uso .........................................................................55

5.2.2. Diagrama de Classes ...................................................................................56

5.2.3. Diagramas de Sequência .............................................................................57

6. RESULTADOS ..........................................................................................................59

7. CONCLUSÕES .........................................................................................................66

8. REFERÊNCIAS BIBLIOGRÁFICAS ..........................................................................67

9. ANEXOS.......................................................................Erro! Indicador não definido.

vi

LISTA DE FIGURAS

Figura 1 – Sistema condutor do coração.........................................................................3

Figura 2 – Triângulo de Einthoven...................................................................................4

Figura 3 – Ciclos de funcionamento do coração..............................................................4

Figura 4 – Aquisição de biopotencial de ECG (Texas Instruments) ................................6

Figura 5 – Amplificador Operacional ...............................................................................6

Figura 6 - Saturação em AOP’s.......................................................................................8

Figura 7 – Amplificador Operacional em Malha Aberta ...................................................9

Figura 8 – AOP com realimentação negativa ..................................................................9

Figura 9 – Amplificador não-inversor.............................................................................10

Figura 10 – Seguidor de tensão (buffer)........................................................................11

Figura 11 – Amplificador somador inversor ...................................................................11

Figura 12 – Amplificador somador não inversor ............................................................12

Figura 13 – Amplificador subtrator.................................................................................13

Figura 14 – AOP com realimentação positiva................................................................13

Figura 15 – Resposta em freqüência em malha aberta e malha fechada .....................14

Figura 16 – Tensão de offset.........................................................................................15

Figura 17 – AOP com resistor de equalização ..............................................................15

Figura 18 – Slew-rate ....................................................................................................16

Figura 19 – Rise-time ....................................................................................................16

Figura 20 – Amplificador de Instrumentação .................................................................18

Figura 21 – Resposta de filtros ideais ...........................................................................20

Figura 22 – Aplicação de filtros ideais ...........................................................................21

Figura 23 – Resposta de filtros reais .............................................................................21

Figura 24 – Fator Seletividade.......................................................................................23

Figura 25 – Ordem em Filtros........................................................................................24

Figura 26 – Ordem de um filtro Butterworth...................................................................25

Figura 27 – Comparação filtro Butterworth X Chebyshev..............................................26

Figura 28 – Dispositivos SPI em cascata ......................................................................27

Figura 29 – Enviando dados..........................................................................................28

Figura 30 – Recebendo dados ......................................................................................28

Figura 31 – Protocolo I2C..............................................................................................29

Figura 32 – Diagrama em blocos da memória MMC (SanDisk).....................................29

Figura 33 – Arquitetura RTC (Real-Time Clock)............................................................30

vii

Figura 34 – Configuração típica de operação do RTC ..................................................31

Figura 35 – Arquitetura AN121E04 e AN221E04 ..........................................................33

Figura 36 – Célula de E/S configurável .........................................................................34

Figura 37 – Célula de E/S multiplexável ........................................................................35

Figura 38 – Célula de saída...........................................................................................36

Figura 39 – Bloco Analógico Configurável.....................................................................37

Figura 40 – Exemplo utilização A/D para linearização ..................................................39

Figura 41 – Gerador de referência ................................................................................39

Figura 42 – Ambiente ABK ............................................................................................40

Figura 43 – Carga da configuração no FPAA................................................................41

Figura 44 – Interface de desenvolvimento: AnadigmDesigner2 ....................................42

Figura 45 – Interface de desenvolvimento: AnadigmFilter.............................................43

Figura 46 – Interface de desenvolvimento: AnadigmPID...............................................43

Figura 47 – Diagrama em blocos do sistema ................................................................44

Figura 48 – Estrutura de armazenamento MMC............................................................45

Figura 49– Fluxograma do Firmware.............................................................................47

Figura 50 – Fluxograma do Software ............................................................................48

Figura 51 – Protótipos de tela........................................................................................49

Figura 52 – Diagrama em blocos simplificado...............................................................50

Figura 53 – Simulação do Filtro Passa Alta...................................................................51

Figura 54 – Diagrama em blocos detalhado..................................................................52

Figura 55 – Filtro Corta Faixa de 60Hz..........................................................................53

Figura 56 – Filtro Passa Baixa de 100Hz ......................................................................53

Figura 57 – Esquemático da implementação em FPAA ................................................54

Figura 58 – Diagrama de Casos de Uso .......................................................................56

Figura 59 – Diagrama de Classes .................................................................................56

Figura 60 – Diagrama de seqüência – Ajustar Horário..................................................57

Figura 61 – Diagrama de seqüência – Visualizar Horário .............................................57

Figura 62 – Diagrama de seqüência – Abrir Arquivo .....................................................57

Figura 63 – Diagrama de seqüência – Capturar Arquivo...............................................58

Figura 64 – Diagrama de seqüência – Desenhar Gráfico..............................................58

Figura 65 – Acoplamento FPAA – Entrada....................................................................59

Figura 66 – Acoplamento FPAA – Saída.......................................................................60

Figura 67 – Ruído Composto por 60 e 120Hz ...............................................................60

Figura 68 – Ruído de 60Hz............................................................................................61

viii

Figura 69 – Sinal ECG Tratado .....................................................................................61

Figura 70 – ECG com Ganho 500 .................................................................................62

Figura 71 – ECG com Ganho 1000 ...............................................................................62

Figura 72 – ECG com Ganho 2000 ...............................................................................63

Figura 73 – ECG com Ganho 2000 e Ruído de 120Hz .................................................64

Figura 74 – Horário do Holter ........................................................................................64

Figura 75 – Protótipo do Holter......................................................................................65

ix

LISTA DE SIGLAS

AOP – Amplificador Operacional

bps – bits por segundo

BW – Largura de Banda (Bandwidth)

DC – Direct Current

dpASP – dynamically programmable Analog Signal Processing

ECG – Abreviação de Eletrocardiograma

FPAA – Field Programmable Analog Arrays

FPGA – Field Programmable Gate Arrays

Holter – Aparelho de ECG portátil

LUT – Look Up Table

PID – Proportional Integral Diferentiate

RTC – Real Time Clock

RMS – Root Median Square

SAR - Successive Approximation Register

SPI – Serial Peripheral Interface

SRAM – Static Randomic Acess Memory

x

LISTA DE SÍMBOLOS

Ω - Ohm

dB – Decibéis

Hz – Hertz

KHz – Kilo Hertz

MHz – Mega Hertz

mV – Mili volts

µV – Micro volts

V – Volts

xi

RESUMO

Assim como nos FPGA´s, a tecnologia FPAA tem como objetivo aumentar a

produtividade, reduzindo tempo e gastos no desenvolvimento, facilitando futuras

alterações com o mínimo de impacto no sistema em execução.

O foco deste projeto foi utilizar esta tecnologia, para a implementação de um

Holter de ECG, utilizando seus recursos para tratar o sinal a ser adquirido. Para a

aquisição de um sinal de ECG - sigla denominada para Eletrocardiograma – são

necessárias várias etapas envolvendo principalmente amplificação e filtragem do sinal.

O projeto contém também um microcontrolador que realiza a conversão do sinal

analógico para digital, armazenando-o em um cartão de memória para posteriormente

ser capturado por um microcomputador dotado de software específico para o projeto,

disponibilizando assim, as informações para análise de um profissional.

Palavras-chave: FPAA, Eletrocardiograma, ECG

xii

ABSTRACT

As well as in the FPGA's, technology FPAA it has as objective to increase the

productivity, reducing time and expenses in the development and facilitating future

changes with the minimum of impact in the system in execution.

The goal of this project is to use this technology, for the implementation of a

Holter of ECG, using its resources to treat the signal to be acquired. For the acquisition

of ECG signal - acronym called for Eletrocardiogram - they are necessary some stages

involving mainly amplification and filtering of the signal.

The project also contains a microcontroller that carries through the conversion of

analog to digital signal, storing it in a memory card later to be captured by a

microcomputer endowed with specific software for the project, thus making the

information for analysis of a professional.

Keywords: FPAA, Eletrocardiogram, ECG

1

1. INTRODUÇÃO

Atualmente a criação de sistemas analógicos é um tanto quanto complexa e

trabalhosa. Pode-se fazer uma comparação grosseira na implementação de um

microprocessador utilizando portas lógicas discretas e utilizando FPGAs. O mesmo

ocorre para a tecnologia FPAA, onde interfaces disponibilizam recursos para o

desenvolvimento de sistemas analógicos (filtros, PID’s, somadores, multiplicadores,

sample-hold) baseados em Amplificadores Operacionais, que podem ser facilmente

alterados, sem que seja necessária a remontagem do circuito ou a substituição de

componentes eletrônicos.

A tecnologia tem grande importância nos avanços da medicina, sendo aplicada

na prevenção, diagnóstico e tratamento de muitas patologias. Com o grande número de

pesquisas e trabalhos científicos, voltados à áreas muito específicas da medicina,

inúmeros avanços tem sido conquistados, e tais avanços tendem a prolongar e

melhorar o modo de vida do homem. Uma destas áreas é a cardiovascular. Muitas das

doenças, quando detectadas com antecedência, podem ser tratadas, sem que o

paciente fique com seqüelas. O exame utilizado para diagnosticar algumas das

doenças cardiovasculares chama-se eletrocardiograma, ou simplesmente representado

pela sigla ECG.

Nosso corpo gera sinais de biopotências, resultantes de atividades

eletroquímicas das células. Normalmente tais sinais caracterizam-se por baixíssima

amplitude. Um exame ECG é realizado capturando-se os sinais de biopotenciais

elétricos presentes nos músculos cardíacos.

O objetivo principal deste projeto foi implementar um Holter de ECG explorando

a tecnologia FPAA. O nome Holter deve-se ao nome de seu criador, Norman J. Holter

que em 1961 introduziu um sistema portátil para gravação de eletrocardiogramas de

um longo período de tempo.

Atualmente, a construção de circuitos analógicos envolvendo Amplificadores

Operacionais é tanto quanto trabalhosa e alterações posteriores no circuito demandam

tempo e esforços. A tecnologia FPAA tem como objetivo reduzir estes fatores,

aumentando a produtividade. Tal tecnologia possibilita a utilização de configuração

dinâmica, a qual permite que, a função executada pelo circuito seja alterada sem

muitos esforços.

O sistema captura o ECG do paciente, para posteriormente, um profissional da

saúde analisar os dados coletados através de uma interface gráfica. O dispositivo

2

portátil tem capacidade de armazenamento de aproximadamente 24 horas. Os dados

foram identificados por data e hora, pois a aquisição não foi sob-demanda, ou seja, o

paciente irá iniciar a coleta de informações em momentos pontuais ou não pontuais.

Um microcontrolador foi responsável pelo gerenciamento e comunicação dos

dispositivos utilizados na construção do Holter de ECG, executando todo o controle e

interfaceamento entre paciente, Holter e microcomputador.

3

2. FUNDAMENTAÇÃO TEÓRICA

2.1. ECG

2.1.1. Fisiologia

O coração possui diferentes estágios de excitação elétrica. Esta excitação

elétrica é constituída pela composição de cinco sinais básicos denominados P, Q, R, S

e T. O controle rítmico de contração do coração não é determinado pelo sistema

neurológico. O ritmo cardíaco é gerado pelo nodo sinusal (célula cardíaca modificada

localizada no átrio direito) exibido na Figura 1 (a), o qual gera um potencial elétrico que

é propagando-o à células vizinhas, sendo ele quem estimula os átrios e os músculos

ventriculares.

(a) (b)

Figura 1 – Sistema condutor do coração

O ECG (eletrocardiograma) corresponde á composição dos sinais elétricos

gerados pelos diferentes estágios da excitação elétrica do coração Figura 1 (b). O sinal

elétrico é gerado pelo fenômeno de polarização e despolarização das células cardíacas.

Através de uma técnica denominada vectocardiografia (VCG), obtem-se três

derivações ortogonais (Figura 2).

Eletrodos colocados nas posições mostradas na Figura 2 (onde RA refere-se ao

braço direito e LA ao braço esquerdo) capturam os sinais elétricos provenientes dos

4

músculos cardíacos. Efetuando um tratamento deste sinal, para a eliminação de ruídos

provenientes do ambiente e do próprio paciente, é possível obter o sinal de ECG do

paciente.

Figura 2 – Triângulo de Einthoven

As três fases de funcionamento do coração são exibidas pela Figura 3, onde a

primeira fase é a diástole, a segunda sístole auricular e a terceira sístole ventricular.

Esta figura indica um típico ECG normal. Qualquer distúrbio na atividade elétrica do

coração que resulte na alteração do ritmo cardíaco poderá ser uma arritmia cardíaca.

Figura 3 – Ciclos de funcionamento do coração

5

2.1.2. Características do sinal

A freqüência típica dos sinais que compõem o sinal de ECG variam entre 0,01 e

250Hz com amplitude entre 0,5 e 4mV (WEBSTER, 2002).

Eletrocardiogramas de alta resolução realizam a aquisição do sinal em um faixa

de freqüência maior, aproximadamente 300Hz. No entanto a aquisição na faixa entre

0,05 e 100Hz, retorna a maioria das informações necessárias para que o profissional

de saúde realize as análises necessárias.

Os principais ruídos que interferem no sinal de ECG são os sinais

eletromagnéticos do ambiente, normalmente com freqüência de 60Hz, assim como

sinais de biopotenciais gerados por movimentos dos músculos do paciente (EMG).

2.1.3. Eletrodos

Utilizados para diagnósticos invasivos ou não-invasivos. Basicamente capturam

a atividade elétrica das células. Algumas células podem gerar potencias de centenas

de milivolts, no entanto o potencial que chega até a pele, por exemplo, varia de alguns

microvolts até poucos milivolts. Por este motivo muitas vezes são utilizados métodos

invasivos, com o objetivo de reduzir tais perdas e também de obter maior precisão no

posicionamento do eletrodo no local ao qual deseja obter os sinais de biopotenciais.

2.1.4 Aquisição ECG

Um diagrama do circuito, para aquisição dos sinais de biopotenciais de ECG é

proposto pela Figura 4 (Fonte: Texas Instruments).

Um Amplificador de Instrumentação de alto CMRR realiza uma pré-amplificação

do sinal, posteriormente um Amplificador Operacional aplica um ganho de 1000. Este

diagrama possui sistema de realimentação com objetivo de eliminar tensões de modo

comum. Tal circuito implementa também dois filtros, sendo o primeiro um Filtro Passa

Alta de 0,05Hz e o segundo um Filtro Passa Baixa de 100Hz.

6

Figura 4 – Aquisição de biopotencial de ECG (Texas Instruments)

2.2. Amplificadores Operacionais

Serão descritos os princípios básicos do funcionamento dos Amplificadores

Operacionais. Como descrito anteriormente, o condicionamento de sinais depende de

algumas tarefas, sendo cada tarefa crucial para que o sinal a ser disponibilizado seja

realmente o sinal real que se deseja adquirir. Todas estas tarefas podem ser realizadas

utilizando Amplificadores Operacionais.

A Figura 5 exibe o símbolo padrão de um Amplificador Operacional

Figura 5 – Amplificador Operacional

7

2.2.1. Definição

O AOP é um amplificador Operacional CC multiestágio com entrada diferencial

cujas características se aproximam das de um amplificador ideal (PERTENCE, 1999).

Algumas características ideais de Amplificadores Operacionais:

a) Ganho infinito

b) Resposta em freqüência infinita

c) Rise-Time nulo

d) Overshoot nulo

e) Tensão de offset nula

f) Resistência de saída nula

g) Resistência de entrada infinita

h) Drift nulo

Tais características serão explicadas nos próximos itens, o que irá dispor uma

razão para cada suposição.

2.2.2. Ganho

Para a aquisição de sinais de baixa amplitude, é necessário que o sinal seja

amplificado centenas ou milhares de vezes. Temos como exemplo o próprio sinal de

ECG que pode ter sua amplitude variando entre 0 a 5mV. Um ganho de 500x aplicado

a um sinal de 5mV, resultaria em um sinal com amplitude entre 2,5V.

O ganho máximo de um Amplificador Operacional em malha aberta na teoria é

infinito, alguns AOP mais recentes como o OP-77 possui ganho de 12.000.000 ou 12

V/µV ou expresso em decibéis (dB) 20log10(12x106) = 141,6 dB. Mesmo que o ganho

possa ser de 100 mil vezes, na prática o sinal de saída nunca ira ultrapassar os valores

fornecidos pela fonte simétrica. Em malha aberta, ou seja, com o amplificador

operacional trabalhando sem realimentação as tensões de saída terão limites de

±Vsaturação.

O ganho em AOP é definido pela Equação 2.1 onde:

Ei = sinal de entrada

Eo = sinal de saída

Av = ganho de tensão

8

i

ov E

EA = (2.1)

Usualmente expresso em decibéis:

i

ov E

EdBA log20)( = (2.2)

ndBAA vn

v 20)(10 =→= (2.3)

Ou seja, o ganho é simplesmente a relação entre o sinal de entrada e o sinal de

saída do AOP.

2.2.3. Saturação

Quando um Amplificador Operacional atingir em sua saída um nível de tensão

fixo, a partir do qual não se pode mais variar sua amplitude, dizemos que o

Amplificador Operacional atingiu a saturação (PERTENCE, 1999).

Pelo fato dos Amplificadores Operacionais possuírem alimentação finitas,

normalmente tendo sua alimentação negativa entre -3 e -18V, e sua alimentação

positiva entre +3 e +18V, sua tensão máxima de saída é limitada, ou seja, um AOP

ideal com alimentação positiva de 18V, irá dispor em sua saída um sinal de no máximo

18V. A Figura 6 exibe o comportamento do sinal de saída Vo de um Amplificador

Operacional submetido a um sinal de entrada Vi. Percebe-se nesta figura que há uma

região linear onde o sinal de entrada é amplificado mas não sofre saturação.

Figura 6 - Saturação em AOP’s

9

2.2.4. Modos operação

2.2.4.1. Sem realimentação

Também denominado como malha aberta (Figura 7), este modo não estipula

ganho no Amplificador Operacional, o ganho fornecido pelo AOP é o ganho

determinado pelas características físicas do componente.

Pelo fato de não possibilitar controle de ganho nesta configuração, este modo é

muito utilizado na construção de comparadores.

Figura 7 – Amplificador Operacional em Malha Aberta

2.2.4.2. Realimentação negativa

Através desta configuração é possível obter uma resposta linear e ganho

controlável. Várias aplicações como amplificadores inversores, amplificadores não-

inversores, somadores, diferenciadores, integradores e filtros são construídos utilizando

Amplificadores Operacionais no modo de realimentação negativa.

A Figura 8 ilustra um Amplificador Operacional na configuração de

realimentação negativa.

Figura 8 – AOP com realimentação negativa

10

2.2.4.2.1. Amplificador inversor

Circuito com ganho linear, sendo que seu sinal de saída é 180º defasado em

relação ao sinal de entrada. Tal fato é observado na Equação 2.4 abaixo, onde tem-se

um sinal negativo. A Figura 8 mostra tal configuração.

A impedância de entrada desta configuração é determinada por R1.

1R

R

v

vA f

i

ovf −== (2.4)

2.2.4.2.2. Amplificador não inversor

Esta configuração é oposta à configuração inversora, pois não apresenta

defasagem do sinal de saída e possui alta impedância de entrada.

Figura 9 – Amplificador não-inversor

1

1R

R

v

vA f

i

ovf +== (2.5)

2.2.4.2.3. Seguidor de tensão (buffer)

Esta configuração atribui ganho = 1, normalmente utilizada no casamento de

impedâncias. O circuito utilizado é não-inversor com, Rf = 0 e R1 → ∞. Substituindo

estes valores na Equação 2.5, tem-se ganho = 1.

11

Figura 10 – Seguidor de tensão (buffer)

10

111

=∞

+=+==R

R

v

vA f

i

ovf (2.6)

2.2.4.2.4. Amplificador somador inversor

Utilizando resistores com resistências distintas, este circuito realiza soma

ponderada dos sinais em suas entradas. Se todos os resistores forem iguais, o sinal de

saída será a soma de todos os sinais de entrada.

Configuração muito utilizada para a construção de grampeadores, os quais

podem ser facilmente ajustáveis utilizando um divisor resistivo em uma de suas

entradas.

Figura 11 – Amplificador somador inversor

++−=3

3

2

2

1

1

R

v

R

v

R

vRv fo (2.7)

Realizando simplificações tem-se:

Se R1 = R2 = R3 = Rf

12

( )321 vvvvo ++−= (2.8)

Se R1 = R2 = R3 = 3Rf

++−=

3321 vvv

vo (2.9)

Figura 12 – Amplificador somador não inversor

3321 vvv

vo

++= (2.10)

2.2.4.2.5. Amplificador diferencial ou subtrator

A saída de um AOP, nesta configuração, realiza a subtração entre os dois sinais

aplicados em suas entradas. Além de realizar esta subtração é possível aplicar um

ganho, determinado pelos resistores R1 e R2 . Utiliza-se esta configuração na

construção de Amplificadores de Instrumentação.

13

Figura 13 – Amplificador subtrator

)( 121

2 vvR

Rvo −= (2.11)

2.2.4.3. Realimentação positiva

Apresenta como inconveniente o fato de conduzir o circuito à instabilidade

(PERTENCE, 1999).

Configuração aplicada na construção de osciladores e comparadores.

Figura 14 – AOP com realimentação positiva

2.2.4.4. Curva de resposta

A curva de resposta exibe o comportamento do ganho do Amplificador

Operacional conforme a variação da freqüência. Verificando a Figura 15, que compara

a curva de resposta de um AOP em dois modos de operação. Existe uma faixa de

freqüência onde o ganho não é alterado com a variação de freqüência.

14

Para configurações onde se deseja aplicar apenas ganho, esta curva pode

determinar qual a largura de banda (BW) em que o ganho irá permanecer constante

com a variação da freqüência.

Figura 15 – Resposta em freqüência em malha aberta e malha fechada

2.2.5. Freqüência de corte e taxa de atenuação

A taxa de atenuação representa uma constante que atenua o sinal de entrada

conforme a variação de freqüência deste sinal. Na Figura 15 a atenuação do sinal de

entrada para o AOP em malha aberta, ocorre a uma taxa constante de 20dB/década.

O ponto A na figura é denominado freqüência de corte (fc). Neste ponto o ganho

máximo sofre uma atenuação de 3dB.

2.2.6. Tensão de offset

Mesmo que um AOP possua suas entradas aterradas, teoricamente o valor em

sua saída, independe do Ganho aplicado, deveria ser 0V. No entanto, devido a fatores

físicos internos do AOP, uma tensão resultante na saída é denominada tensão de

offset. (PERTENCE, 1999)

A Figura 16 exibe tal característica.

15

Figura 16 – Tensão de offset

Alguns fabricantes costumam fornecer terminais específicos para o ajuste de

offset, tais terminais são conectados á um potenciômetro, com a finalidade de cancelar

a tensão de offset. No entanto, alguns fabricantes não fornecem tais terminais, sendo

necessário á utilização de circuitos resistivos para balanceamento, uma solução

simples e prática, mas não eficaz, é utilizar um resistor de equalização mostrado na

Figura 17.

A valor do resistor utilizado é obtido pela seguinte equação:

f

fe RR

RRR

+⋅

=1

1 (2.12)

Figura 17 – AOP com resistor de equalização

2.2.7. Slew-rate

Define-se slew-rate (SR) de um Amplificador como sendo a máxima taxa de

variação da tensão de saída por unidade de tempo. Normalmente o SR é dado em V/µs

(PERTENCE, 1999).

16

Quanto maior o valor do SR, melhor será o amplificador. Um AOP 741 possui

SR = 0,5 V/µs, um AOP LM 318 possui SR = 70 V/µs, ou seja, o AOP LM 318 pode

variar até 70V em 1 µs.

Para sinais com alta freqüência e com grandes variações de tensão o Slew-rate

torna-se um fator importante.

Figura 18 – Slew-rate

(adaptação de FRANCO, 2002)

2.2.8. Rise-time

Rise-time (Tr) ou tempo de subida, é o tempo gasto para que, o sinal de saída de

um AOP varie de 10 a 90% de seu valor final.

Figura 19 – Rise-time

17

2.2.9. Overshoot

É o valor em percentual, que informa em quanto o valor final desejado foi

ultrapassado na saída do AOP durante sua transição, como mostra a Figura 15.

2.2.10. Drift

É a taxa máxima de variação da tensão de saída por graus Celsius,

normalmente expresso em µV/Cº . Um LM741 possuí Drift máximo de 15 µV/Cº, ou

seja, para cada grau de temperatura, o AOP irá variar no máximo 15 µV.

2.2.11. Associação em cascata de estágios não-iteragentes

Chama-se de estágio não-iteragente aquele que apresenta uma alta impedância

de entrada, de modo a não servir de carga para o estágio anterior, pois, idealmente,

não drena nenhuma corrente do mesmo (PERTENCE, 1999).

O ganho total em associações em cascata é dado por:

nvvvvv

no

o

o

o

o

o

i

o

i

ov

dBAdBAdBAdBAdBA

v

vxx

v

vx

v

vx

v

v

v

vA

)(...)()()()(

...

321

)1(2

3

1

21

++++=

==− (2.13)

2.2.12. CMRR

Common-mode rejection ratio, ou rejeição de modo comum, é eficiência de um

Amplificador Operacional rejeitar (atenuar) sinais idênticos aplicados, simultaneamente

as suas entradas. Tal característica é muito útil na eliminação de ruídos, onde muitos

deles satisfazem á condição descrita, nestes casos boa parte do ruído é eliminado,

dependendo do CMRR.

2.2.13 Amplificador de Instrumentação

Um Amplificador de Instrumentação (IA – Instrumentation Ampflifier), é um

amplificador subtrator que possuí as seguintes características:

a) CMRR extremamente alto.

18

b) Impedância de saída extremamente baixa (idealmente zero).

c) Impedância diferencial de entrada extremamente alta (idealmente infinita).

d) Ganho preciso e estável, tipicamente na faixa de 1 V/V até 103 V/V.

Amplificadores de Instrumentação (IA), são utilizados na amplificação de sinais

com baixa amplitude, os quais estão sujeitos a presença de componentes de modo-

comum.Taís características podem ser encontradas em controles de processos

industrias e na biomedicina.

Figura 20 – Amplificador de Instrumentação

A tensão de saída de um Amplificador de Instrumentação é definida pela

Equação 2.14

)(2

1 213 vv

R

Rv

go −

+= (2.14)

2.3. Filtros

2.3.1. Definição

“quadripolo que atenua determinada freqüência do espectro do sinal de entrada

e permite passagem das demais.” (PERTENCE, 1999)

Além da atenuação outra característica importante dos filtros é que estes

causam um deslocamento de fase no sinal, tal defasagem varia de acordo com a

freqüência aplicada.

19

Um filtro é um circuito que processa sinais dependentes de freqüência. A

maneira como estes se comportam com a variação de freqüência é chamado de

resposta em freqüência, sendo expressa pela função de transferência H(jω), onde

ω=2πf é a freqüência angular em radianos por segundo (rad/s) e j é a unidade

imaginária (j² = -1).

Lembrando que espectro do sinal é a sua decomposição numa escala de

amplitude (V) versus freqüência (Hz).

2.3.2. Classificação

Os filtros podem ser classificados em três aspectos:

1) Quanto à função executada

a) Filtro Passa Baixa: permite apenas a passagem de sinais com

freqüências inferiores a freqüência de corte (ωc), sendo os demais sinais

atenuados. |H(jω)| = 1, quando ω < ωc, e |H(jω)| = 0, quando ω > ωc (Figura

21 a).

b) Filtro Passa Alta: permite apenas a passagem de sinais com freqüências

superiores a freqüência de corte (ωc), sendo os demais sinais atenuados.

|H(jω)| = 0, quando ω < ωc, e |H(jω)| = 1, quando ω > ωc (Figura 21 b).

c) Filtro Passa Faixa: permite apenas a passagem de sinais com

freqüências entre fc1 e fc2. Qualquer sinal com freqüência que não esteja

entre fc1 e fc2 será atenuado.

|H(jω)| = 0, quando ωH < ω < ωL, e |H(jω)| = 1, quando ωL < ω < ωH (Figura

21 c).

d) Filtro Rejeita Faixa: permite apenas a passagem de sinais com freqüência

que não estejam na faixa entre fc1 e fc2. Os sinais com freqüências entre

fc1 e fc2 serão atenuados.

|H(jω)| = 1, quando ωH < ω < ωL, e |H(jω)| = 0, quando ωL < ω < ωH (Figura

21 d).

e) Filtro Passa Todas: |H(jω)| = 1 para qualquer valor de ω. Este filtro não

altera a amplitude do sinal. No entanto gera deslocamento de fase do

sinal (Figura 21 e f).

20

A Figura 21 ilustra as respostas ideais dos filtros quanto sua função executada.

Para os filtros passa baixa e passa alta, ωc representa a freqüência de corte, para os

filtros passa faixa e corta faixa, ωL e ωH representam a faixa de freqüência de passagem

ou de corte.

Figura 21 – Resposta de filtros ideais

(adaptação de FRANCO, 2002)

A Figura 22 exibe o resultado no domínio da freqüência e do tempo, a aplicação

dos filtros ideais, sobre um sinal de entrada dado por:

vI(t) = 0,8sen(ω0 t) + 0,5sen(4ω0 t) + 0,2sen(16ω0 t)

21

Figura 22 – Aplicação de filtros ideais

(adaptação de FRANCO, 2002)

No entanto, a resposta real dos filtros tem comportamento descrito pela Figura

23, onde é exibido o comportamento de um filtro passa baixa.

Figura 23 – Resposta de filtros reais

(adaptação de PERTENCE, 1998)

22

O ponto de corte do sinal é denominado freqüência de corte, neste ponto o sinal

sempre terá uma atenuação de 3dB em relação ao ganho máximo, independentemente

de sua ordem e função resposta. A freqüência de corte é obtida pela seguinte equação:

RCfc π2

1= (2.15)

2) Quanto à tecnologia utilizada

a) Filtros passivos: construídos através de componentes passivos tais como:

resistores, capacitores e indutores.

b) Filtros ativos: construídos através de componentes ativos podendo ser:

amplificadores operacionais, válvulas ou transistores.

c) Filtros digitais: estes filtros utilizam componentes digitais que convertem o

sinal de entrada analógico para um sinal digital, realizam determinado

processamento com este valor digital, e o convertem novamente para um

sinal analógico, se necessário.

3) Quanto à função-resposta ou aproximação utilizada.

Podem ser de Butterworth, Chebyshev, Cauer, Bessel ou Elípticos.

Vantagens dos filtros ativos:

- amplificação do sinal de entrada (ganho).

- eliminação de indutores, os quais em baixas freqüências são volumosos,

pesados e caros.

- facilidade na associação em cascata e flexibilidade na utilização em projetos.

Desvantagens dos filtros ativos:

- exigem fonte de alimentação.

- resposta em freqüência dos filtros limitada à capacidade de resposta dos

Amplificadores Operacionais.

- não aplicado a sistemas de média e alta potência.

23

2.3.3. Ressonância e Fator Qo e Seletividade

Para a explicação destes itens será utilizado o embasamento em um circuito

RLC série.

Diz-se que o circuito está em ressonância quanto à impedância for a menor

possível, ou seja, teremos a máxima corrente no circuito (PERTENCE, 1998) .

Nos filtros Passa Faixa e Rejeita Faixa a largura de faixa (bandwidth) é dada por:

21 cc ffBW −= (2.16)

E o fator de qualidade Qo dados por:

BW

fQo

0= (2.17)

Isto indica que quanto maior o fator de qualidade, menor será a largura de faixa

(BW), ou seja, maior será a seletividade do circuito. Fazendo com que a banda de

passagem de freqüência diminua se aproximando da freqüência de ressonância (fc).

K – ganho máximo do filtro. Como são utilizados Amplificadores Operacionais é

possível construir filtros dotados de ganho.

Como os filtros não são ideais, os pontos de corte foram definidos como ponto

pontos de meia potência, pontos onde o ganho é de 0,707 (3dB), também denominado

ponto de atenuação 3dB, pois nesses pontos se tem uma queda de 3dB em relação ao

ponto de ganho máximo.

O conceito de seletividade tem muito significado nos filtros Passa Faixa e Rejeita

Faixa. A Figura 24 mostra que um alto fator de seletividade implica que apenas sinais

muito próximos da fo não serão atenuados, e quanto maior esta seletividade mais estes

sinais próximos de fo serão atenuados.

Figura 24 – Fator Seletividade

(adaptação PERTENCE, 1998)

24

2.3.4. Defasagens em Filtros

Dependendo a classificação do filtro utilizado, este possui comportamento

diferente quanto à defasagem do sinal de entrada versus a freqüência.

Existem situações que esta defasagem entre o sinal de entrada e o sinal de

saída pode prejudicar a performance de um sistema.

Para diminuir este problema existem os circuitos denominados circuitos

deslocadores de fase ou também chamados filtros Passa Todas.

2.3.5. Ordem em Filtros

Por definição, a ordem de um filtro corresponde ao número de pólos existentes

na função de transferência do mesmo. Em termos físicos, podemos dizer que a ordem

de um filtro é dada pelo número de redes de atraso presentes em sua estrutura.

A Figura 25 ilustra um exemplo de um filtro Passa Alta com duas redes de

atraso, portanto de ordem 2.

Figura 25 – Ordem em Filtros

Também é utilizado o termo polinômio, pois no exemplo da Figura 24 a tensão

de entrada na segunda rede de atraso será a tensão de saída da primeira rede de

atraso. Quanto maior a quantidade de redes de atraso maior será o polinômio.

A Figura 26 contém diversas respostas, obtidas a partir de um filtro Butterworth,

supondo fc=10Hz e KPB = 1 (ganho do filtro quando a freqüência é nula)

25

-100,0

-80,0

-60,0

-40,0

-20,0

0,0

1 10 100 1000

Frequência

Gan

ho

(d

B)

Figura 26 – Ordem de um filtro Butterworth

Para um filtro Butterworth de primeira ordem a taxa de atenuação TA é de

20dB/década, o de segunda ordem é de 40dB/década.

A TA (Taxa de Atenuação) é variação a qual o filtro atenuará o sinal de entrada

conforme cada variação de freqüência. Como o gráfico mostra a atenuação em dB,

podemos dizer que:

-20dB = Ganho 0,1x, portanto Vo = 0,1*Vi

-40dB = Ganho 0,01x, portanto Vo = 0,01*Vi

-60dB = Ganho 0,001x, portanto Vo = 0,001*Vi

-80dB = Ganho 0,0001x, portanto Vo = 0,0001*Vi

Sendo que essa atenuação ocorre para cada década de freqüência que é

variada.

2.3.6. Filtros de Butterworth

A função resposta obtida pela aproximação de Butterworth é obtida pela

seguinte equação:

n2

c

1

K)H(j

+

=

ωω

ω (2.18)

n=1

n=2

n=4

n=6

n=8

26

onde,

K é o ganho máximo quando a freqüência w é nula.

2.3.7. Filtros de Chebyshev

Os filtros de Chebyshev maximizam a faixa de transição, no entanto uma tensão

de RIPPLE é inserida no resultado. A equação 2.19 contém a função resposta para a

aproximação de Chebyshev.

+

=

c

2n

2CE1

K)H(j

ωω

ω (2.19)

110E PR/10 −= (2.20)

2E120logPR(dB) += (2.21)

onde,

K é o ganho máximo quando a freqüência w é nula.

PR é a amplitude da tensão de RIPPLE, sendo no máximo de 3dB.

A Figura 27 exibe as curvas de repostas de filtros de Chebyshev e Butterworth

de segunda e décima ordem.

Resposta em freqüência

-30

-25

-20

-15

-10

-5

0

5

100 1.000 10.000

Frequência (Hz)

Ate

nu

ação

(dB

)

Figura 27 – Comparação filtro Butterworth X Chebyshev

Butterworth 2ª ordem

Butterworth 10ª ordem

Chebyshev 10ª ordem

27

2.4. Protocolo SPI

SPI (Serial Peripheral Interface), é uma comunicação serial síncrona utilizada

como interface entre um microcontrolador e seus periféricos. Utilizada duas linhas de

controle e duas linhas de dados.

Linhas de controle: CS (Chip Select) e SCLK (Serial Clock)

Linhas de dados: SDI (Serial Data In) e SDO (Serial Data Out)

A transmissão de dados é controlada pelo microcontrolador, selecionando o

dispositivo SPI pelo pino CS, normalmente ativo em nível baixo, os dados são enviados

pelo pino SDO e recebidos pelo pino SDI. Quando o dispositivo não está ativo, seu pino

SDO entra em estado de alta impedância.

A utilização de dispositivos SPI em cascata é permitida, e pode ser realizada de

duas formas diferentes. Nas duas formas o mesmo sinal de relógio (SCLK) é fornecido

para os dispositivos SPI.

Uma delas utilizada registradores de deslocamento, onde todos os dispositivos

são ativados simultaneamente pelo Chip Select (Figura 28 a).

(a) (b)

Figura 28 – Dispositivos SPI em cascata

Outra forma da utilização em cascata é disponibilizar um pino distinto para cada

dispositivo SPI tendo a função de Chip Select. Desta maneira os dados de entrada e

são ligados a um barramento, que conecta todos os dispositivos ao microcontrolador

(Figura 28 b).

Neste caso é necessário cuidado especial para que mais de um dispositivo SPI

não seja ativado simultaneamente. As Figuras 29 e 30 contém uma pequena

28

demonstração da utilização do protocolo SPI para a transmissão e recepção de

informações.

Figura 29 – Enviando dados

Figura 30 – Recebendo dados

2.4. Protocolo I2C

Utiliza duas linhas de comunicação seriais, um para sincronismo de relógio e

outra linha para transmissão e recepção de dados. O dispositivo que controla a troca a

comunicação é chamado de mestre. Os demais componentes conectados ao mestre

são chamados de escravos, cada escravo possui seu respectivo endereço, pelo qual

irá responder á solicitações do mestre.

O barramento de dados é bidirecional, quando desocupado ambas as linhas,

relógio e dados permanecem em nível lógico alto. A transferência de dados é iniciada

pela mudança de estado da linha de dados de nível lógico alto para baixo. A finalização

da transferência é sinalizada pela passagem da linha de dados do nível lógico baixo

para alto, enquanto o nível lógico do sinal de relógio permanece em alto.

A quantidade de bytes transmitidos entre as condições de inicio e fim de

transmissão não são limitadas. Além disso um sinal de reconhecimento deve ser

transmitido do receptor para o transmissor, para confirmar o recebimento das

informações. A Figura 31 exibe uma simulação das condições utilizadas no protocolo

29

Figura 31 – Protocolo I2C

2.5. MultiMediaCard

A organização interna da memória MMC é semelhante á de um disco rígido IDE.

Para realizar a leitura ou escrita de um, ou múltiplos blocos, o microcontrolador envia

um comando de leitura ou escrita. O comando contém o endereço, ao qual a interface

da memória irá interpretar e responder ao microcontrolador. O Cartão de memória

contém um controlador interno que disponibiliza um interface de comunicação (Figura

32).

Figura 32 – Diagrama em blocos da memória MMC (SanDisk)

O ciclo de escritas para a memória MMC da SanDisk é de 100 mil vezes.

Considerando a gravação de um mesmo bloco 24 vezes ao dia (1 vez por hora), o

ciclo de vida útil da memória seria de 11,4 anos. A quantidade teórica de leituras

lógicas de um bloco é ilimitada.

30

O protocolo SPI pode ser utilizado para comunicação com a memória. A menor

unidade de alocação é um bloco, cada bloco constituído de 512 bytes.

Através do barramento SPI é utilizado um protocolo específico do cartão de

memória que permite a execução das suas funções, tais como inicialização, leitura,

gravação etc. São na verdade comandos, alguns possuindo argumentos, por exemplo,

o comando de leitura que tem como argumento o endereço do bloco a ser lido. Existem

também formatos pré-determinados de mensagens de respostas enviadas pela

memória MMC.

2.6. RTC (Real Time Clock)

RTC são circuitos capazes de gerar escalas de tempo, em essência é o

componente principal de um relógio digital. Utilizando um oscilador com freqüência pré-

estabelecida, a lógica interna do circuito realiza as operações necessárias para

disponibilizar em seus registradores valores de centésimos de segundos até anos.

O RTC apresentado pela figura 33 é fabricado pela Dallas Semiconductor.

Figura 33 – Arquitetura RTC (Real-Time Clock)

A interface para estes dispositivos pode ser paralela ou serial. A figura acima

exibe a arquitetura de um RTC com interface I2C fabricado pela Dallas Semiconductors.

Outra característica, é que muitos RTC’s possibilitam a utilização de alarmes, para isso,

registradores específicos são programados conforme necessário.

31

O Anexo C contém os endereços para escrita e leitura dos registradores

utilizados para configurar o RTC DS1307. Os registradores são manipulados utilizando

o protocolo I2C explicado anteriormente.

(a) (b)

Figura 34 – Configuração típica de operação do RTC

O circuito básico para realização da interface com o RTC é ilustrado pela Figura

34 (b).

32

3. TECNOLOGIA FPAA E dpASP

Inicialmente conhecido como FPAA (Field Programmable Analog Arrays),

recentemente chamado de dpASP (dinamically programmable Analog System

Processing) esta tecnologia possibilita a implementação de sistemas analógicos com

certa facilidade, se comparado a construção de sistemas utilizando componentes

discretos.

Nos capítulos anteriores foram descritas as fundamentações teóricas

necessárias para implementação de sistemas cuja finalidade seja o processamento de

sinais analógicos. Tais implementações envolvem cálculos e montagem de vários

componentes discretos, onde a probabilidade de erros é maior. A tecnologia FPAA tem

o objetivo de reduzir tais problemas, facilitando o desenvolvimento e aumentando a

produtividade.

Resumidamente um FPAA, é constituído internamente por vários Amplificadores

Operacionais, capacitores, barramentos e chaveadores, os quais são reorganizados

internamente. Esta configuração é armazenada em uma memória de configuração do

tipo SRAM.

Neste capítulo serão abordados, especificamente, os componentes AN121E04 e

AN221E04 fabricados pela Anadigm.

3.1. Arquitetura

Cada circuito integrado é constituído por:

- 4 blocos analógicos configuráveis (CAB´s – Configurable Analog Block)

- 4 células de entrada ou saída (E/S) configuráveis

- 2 células dedicadas como saída

- 1 tabela LUT (Look Up Table)

- 1 conversor A/D do tipo SAR (Successive Approximation Register)

- 1 bloco gerador tensão de referência

- 1 bloco gerador de osciladores

- 1 interface de configuração

33

Figura 35 – Arquitetura AN121E04 e AN221E04

A maior parte do processamento do sinal analógico, ocorre dentro dos CAB´s

(blocos analógicos configuráveis) onde a Figura 35 exibe 4 deles. A LUT (tabela de

busca) é compartilhada por todos os CAB´s, sendo muito utilizada para a linearização

de sensores e geração de sinais.

Uma tensão de referência é disponibilizada para cada um dos CAB´s, e também

aos pinos externos para conexões de filtros capacitivos.

O dispositivo AN221E04 pode ser re-configurado dinamicamente enquanto em

operação, tal re-configuração pode ser total ou parcial. A configuração é enviada ao

dispositivo pela interface de programação enquanto o mesmo encontra-se ativo e

operante. O dispositivo AN121E04 também pode ser re-configurado, no entanto,

precisa ser reiniciado para que as novas configurações tenham efeito.

34

As entradas de sinal do mundo externo podem ser conectadas através das 4

células configuráveis de Entrada/Saída. A 4ª célula possuí um multiplexador, que

permite a conexão de até 4, sinais ou cargas distintas.

3.1.1. Célula de Entrada/Saída configurável

O circuito integrado possuí 4 células, cada célula de Entrada/Saída contém um

conjunto de recursos, os quais permitem alta fidelidade nas conexões com o mundo

externo sem a necessidade de componentes externos. Para maximizar esta fidelidade,

todos os sinais roteados e processados dentro destas células são diferenciais.

Caso o sinal de entrada utilizado não seja diferencial, um chaveamento interno

irá conectar o sinal negativo da entrada diferencial (IO1N) ao VMR (Voltage Main

Reference).

Figura 36 – Célula de E/S configurável

Sendo configurada como entrada, a célula disponibilizada alguns recursos que

podem ser aplicados ao sinal de entrada:

a) filtro anti-alias de segunda ordem: para muitos sinais, muitas vezes se faz

necessário a utilização de um filtro passa baixa para prevenir o efeito de

sombreamento. Este recurso pode ser roteado diretamente ao barramento ou

configurado através de sua freqüência de corte. Quando utilizado, o fabricante

Anadigm recomenda que, a razão entre a freqüência de corte e a freqüência

máxima do sinal seja no mínimo 30. A recomendação é que este recurso seja

utilizado para sinais de até 15kHz.

b) estabilizador do tipo chopper: este tipo de amplificador reduz

consideravelmente a tensão de offset de entrada, sendo muito útil para sinais de

35

baixa amplitude que necessitam de alto ganho. O ganho deste amplificador pode

ser programado entre 2n com n = 4 até 7.

c) buffer de ganho unitário

Quando nenhum destes recursos é utilizado, e o sinal é conectado diretamente

ao barramento, tal conexão é chamada de bypass. Os AOP´s contidos nos CAB´s não

são projetados para entregar baixas impedâncias. A recomendação do fabricante

quando o modo bypass for utilizado é de que, a resistência da carga externa não seja

menor que 100kΩ, e sua capacitância menor que 100pF.

A Anadigm não recomenda que o modo bypass seja utilizado, e que ao menos

um ganho de buffer unitário seja utilizado.

3.1.2. Entrada/Saída multiplexável

Um multiplexador bidirecional é disponibilizado em uma das 4 células de E/S,

permitindo a seleção de uma das 4 conexões diferenciais, que podem ser conectados a

célula de E/S.

Figura 37 – Célula de E/S multiplexável

3.1.3. Célula de Saída

O dispositivo possui duas células dedicadas á saída de sinal digital ou analógico

diferencial. As características das células de saída são semelhantes às células de E/S.

36

Os recursos disponíveis pela célula de saída são

a) filtro anti-alias: idêntico ao filtro descrito no item 3.1.1 a.

b) filtro programável seguido de um conversor de sinal diferencial para sinal

simples: se não for utilizado o sinal diferencial, o sinal de saída será

referenciado ao VMR (Voltage Main Reference) possuindo a metade da

amplitude do sinal diferencial.

Figura 38 – Célula de saída

3.1.4. Bloco Analógico Configurável

O núcleo do dispositivo contém 4 CAB´s (Configurable Analog Block). Dentre

muitas conexões analógicas dentro de cada CAB, algumas são estáticas. Outras

conexões são dinâmicas, podendo ser modificadas através da memória de

configuração SRAM (Configuration SRAM).

Na etapa de reinicialização do circuito, a memória SRAM é totalmente limpa,

após os dados serem carregados na memória compartilhada SRAM (Shadow SRAM), e

a partir desta copiados para a memória de configuração SRAM (Configuration SRAM).

Cada CAB possui um banco de 8 capacitores programáveis. Cada um destes 8

capacitores, é na verdade, um grande banco de capacitores pequenos, mas de

tamanhos iguais. Cada capacitor programável pode ter um valor relativo entre 0 e 255

unidades de capacitância. O valor dos capacitores não é importante, mas sim a razão

entre eles.

Dois AOPs e um comparador são disponibilizados em cada CAB.

Recursos internos de cada CAB realizam as conexões entre as entradas e

saídas dos recursos disponíveis, construindo assim a topologia de cada CAB. A Figura

39 exibe a matriz de chaveamento (Switch Matrix), que realiza tal tarefa, podendo por

exemplo efetuar a realimentação dos AOPs.

37

Há também, um Registrador de Aproximação Sucessiva (SAR), que quando

habilitado utiliza o comparador do CAB para implementar um Conversor A/D de 8bits. A

saída deste SAR-ADC pode ser roteada para o mesmo CAB ou para a Tabela de

Busca (LUT) permitindo a criação de funções analógicas não-lineares, multiplicadores

de tensão, linearizações e controle automático de ganho.

Figura 39 – Bloco Analógico Configurável

3.1.5. Tabela de busca (LUT – Look Up Table)

Uma Look Up Table de 256 bytes contém um contador de 8 bits, que quando

selecionado realiza contagem continua crescente, retornando para zero quando um

valor pré-definido for estabelecido. Cada valor deste contador é representado pela LUT

como um endereço. O valor apontado pelo endereço contido na LUT pode ser

armazenado em um ou dois destinos dentro da memória compartilhada SRAM

(Shadow SRAM).

A transferência dos dados da memória compartilhada (Shadow SRAM) para a

memória de configuração (Configuration SRAM) pode ocorrer em um dos seguintes

eventos:

1) quando o último byte de configuração for enviado (no máximo 2 bytes).

38

2) detecção interna de passagem por zero

3) resultado de um comparador

4) pino externo EXECUTE em nível alto. EXECUTE=1

O clock da LUT é adquirido através de um dos quatro clock´s analógicos

internos.

3.1.6. Registrador de Aproximação Sucessiva (SAR)

Circuito incluído dentro de cada CAB permitindo a utilização de um Conversor

Analógico Digital (ADC) do tipo Successive Approximation Register (SAR). São

necessários 2 ciclos de clock com a razão de freqüência de 16 para 1. O clock mais

lento (CLOCKA) determina a razão e que a conversão sucessiva irá ocorrer, este valor

não deve exceder 250kHz. O clock mais rápido (CLOCKB) é utilizado para a conversão.

O resultado é representado em sinal de magnitude (1 bit sinal, 7 bits magnitude).

As entradas do SAR são limitadas em VMR ± 1.5V.

A utilização mais comum do SAR-ADC é a geração de endereços para a LUT.

No final de cada conversão, os 8 bits resultantes são reconhecidos pela LUT como um

novo endereço. O conteúdo do endereço apontado pela LUT pode ser carregado em

um ou dois destinos na memória compartilhada (Shadow SRAM).

Um cenário típico é quando um sinal de entrada precisa ser linearizado ou

calibrado. O sinal de entrada é convertido pelo ADC-SAR, o resultado é utilizado pela

LUT que aponta para um endereço da imagem de configuração do dispositivo que

contém a tabela de linearização. Utilizando o mecanismo de transferência descrito no

item 3.1.5 uma nova configuração apontada pela LUT, podendo ajustar o ganho de um

AOP, é carregada na memória compartilhada SRAM (Shadow SRAM).

O conversor A/D também pode ser conectado diretamente ás células de saída,

disponibilizando a informação serialmente.

39

Figura 40 – Exemplo utilização A/D para linearização

3.1.7. Tensão de referência

Todo processamento analógico dentro do dispositivo é realizado tendo como

tensão referencial o VMR (Voltage Main Reference), o qual tem seu valor nominal de

2.0V. A tensão VMR é derivada de um fonte de referência de alta precisão, esta fonte

considera a compensação de temperatura. Além do tensão de referência VMR, são

gerados outras duas referências, dadas por VREF+ (1,5V acima de VMR) e VREF-

(1,5V abaixo de VMR).

Figura 41 – Gerador de referência

A recomendação do fabricante é que sejam utilizados 3 (três) capacitores, cada

um com valor entre 75 e 100nF para filtragem externa. A disposição dos capacitores

corresponde aos pinos VREFPC, VMRC e VREFMC da Figura 41.

40

3.2. Interface de configuração

O ambiente ABK (Anadigm Boot Kernel) realiza a interface de configuração entre

o programa de desenvolvimento e o circuito integrado FPAA. Sua composição básica é

um microcontrolador, que realiza a programação do circuito conforme protocolo

específico do fabricante.

As configurações são armazenadas em memória não voláteis do tipo EPROM ou

FPGA EPROM. O protocolo utilizado é SPI ou comunicação serial simples. Ao ser

reiniciado o circuito integrado FPAA realiza sua programação transferindo os dados

contidos na memória EPROM para sua memória interna do tipo SRAM.

Figura 42 – Ambiente ABK

O processo de carga da configuração é iniciado após o circuito integrado FPAA

sofrer um reset, a partir dessa condição a configuração primária é iniciada. Os dados

são lidos da memória EPROM para memória compartilhada SRAM (Shadow SRAM).

Com isso o circuito ainda não estará executando a configuração contida na memória

compartilhada. Para que o circuito inicie seu funcionamento de acordo com a

configuração desejada, é necessária a transferência do conteúdo da memória

compartilhada para a memória de configuração (Configuration SRAM), a qual é

realizada em apenas um ciclo de relógio.

41

Figura 43 – Carga da configuração no FPAA

De acordo com a demanda, pode ser necessário á utilização de mais circuitos

integrados FPAA, neste caso a carga de configuração é semelhante. Uma memória

EPROM pode ser utilizada para a configuração de um ou mais dispositivos (Figura 43).

3.3. Interface de desenvolvimento

A interface de desenvolvimento traz inúmeras vantagens, tais como redução de

tempo para implementação, rapidez na alteração de sistemas, etc. Uma grande

vantagem desta tecnologia é sua capacidade de reprogramação enquanto o circuito

está em funcionamento.

A interface AnadigmDesigner é utilizada para o desenvolvimento do circuito

analógico que será programado no circuito integrado. É possível utilizar componentes

como filtros, multiplicadores, somadores, detectores de pico, comparadores,

retificadores etc.

Todos estes componentes são constituídos em sua essência por AOP´s,

portanto cada um deles irá utilizar um número determinado de recursos de cada

dispositivo. A utilização dos recursos pode ser visualizada em “Resource Panel” (Figura

44).

42

Figura 44 – Interface de desenvolvimento: AnadigmDesigner2

Além da interface para utilização discreta dos componentes, existem também

duas ferramentas, uma para a criação de filtros e outra para sistemas PID’s,

mostradas pelas Figuras 45 e 46, respectivamente.

Essas interfaces possibilitam que sejam desenvolvidos sistemas apenas com a

passagem de parâmetros, o diagrama do circuito é criado automaticamente, bastando

apenas transferir o diagrama para o AnadigmDesigner.

43

Figura 45 – Interface de desenvolvimento: AnadigmFilter

Figura 46 – Interface de desenvolvimento: AnadigmPID

44

4. ESPECIFICAÇÃO

Uma visão geral do sistema é mostrada pelo diagrama em blocos da Figura 47.

Tal diagrama será utilizado para descrever as funcionalidades e aplicabilidades que o

sistema dispõe.

Figura 47 – Diagrama em blocos do sistema

Através de eletrodos instalados em posições específicas no paciente (triangulo

de Einthoven), os sinais de biopotenciais provenientes dos eletrodos foram tratados

pelo módulo FPAA. A aquisição básica do sinal foi realizada utilizando-se um

amplificador de instrumentação INA128, logo em seguida um filtro passa alta. O módulo

FPAA realizou a filtragem do sinal através de dois filtros, um corta-faixa e outro passa-

baixa. O ganho foi ajustado na saída do FPAA, utilizando um amplificador inversor,

neste ponto o foi tratado e o mesmo estando pronto para que seja convertido em um

sinal digital.

Após o sinal ter sido tratado, o microcontrolador realizou conversão analógico-

digital do sinal disponível na saída do FPAA. A freqüência de amostragem utilizada foi

de 400Hz, utilizando 8bits para a quantização do sinal.

Cada conversão analógico-digital executada pelo microcontrolador foi

armazenada no cartão de memória MMC. O formato de armazenamento na memória

MMC utilizado é descrito pela Figura 48.

45

bloco 0

bloco 1

...

bloco 46 dadosbyte 448 ... byte 465

dados

DATA/HORAbyte 466 ... byte 511

byte 0 ... byte 447

byte 0 ... byte 511dados

dados

DATA/HORAbyte 0 ... byte 18

byte 19 ... Byte 511

Figura 48 – Estrutura de armazenamento MMC

A horário armazenado foi obtido através do circuito integrado RTC que é

gerenciado pelo microcontrolador. O ajuste do RTC é realizado pela interface gráfica. A

gravação da data e hora ocorre a cada 24 mil amostras, ou seja, a cada 60 segundos,

tomado como base a freqüência de amostragem de 400Hz.

A interface de instalação permite a visualização em tempo real dos dados via

interface gráfica. Aplica-se no momento da instalação do ECG no paciente, onde é

necessário ajuste de posicionamento dos eletrodos. Nesta etapa os dados são

enviados diretamente para a porta serial, não sendo persistidos na memória MMC.

Na etapa final, os dados armazenados na memória MMC são lidos pelo

microcontrolador, o qual comunica-se com o microcomputador para a transmissão dos

dados.

4.1. Especificação de Hardware

4.1.1. Funções

• Adquisição de sinais de biopotenciais através de eletrodos conectados ao

paciente;

• Realização das operações necessárias para a aquisição do sinal através

do FPAA;

• Conversão de sinais analógicos em dados digitais;

• Ajuste e aquisição de data e hora de um RTC;

46

• Armazenagem de dados em uma memória do tipo MMC;

• Sistema de ajuste para instalação do HECG no paciente;

• Realização da transferência das informações para um microcomputador;

4.1.2. Componentes

• Eletrodos para captura dos sinais de biopotenciais provenientes do

paciente;

• FPAA AN220E04 da Anadigm para aquisição e tratamento do sinal

proveniente dos eletrodos;

• Amplificador de Instrumentação INA128

• Regulador de tensão PT5061

• Microcontrolador PIC16F876A para controle dos módulos e conversão

analógico-digital;

• Memória MMC 32Mb;

• Socket para memória MMC;

• RTC DS1307 da Dallas para aquisição e ajuste de Data/Hora;

• MAX 232 para comunicação serial com o microcontrolador;

4.1.3 Ambiente de desenvolvimento

• AnadigmDesigner2 para implementação e configuração do FPAA;

• CCS para desenvolvimento do firmware do microcontrolador PIC;

• MPLAB para programação do microcontrolador PIC;

4.1.4. Requisitos

• O sistema deve ser ajustado quando for instalado no paciente;

• Os eletrodos deverão ser de boa qualidade;

• A porta paralela do microcomputador deve permitir a utilização do modo

ECP;

47

4.1.5. Restrições

• Tempo total de armazenagem dos dados coletados no dispositivo portátil

limitado á 24 horas;

• Taxa de transferência dos dados coletados para o microcomputador

limitada á 115.000bps;

4.1.6. Fluxograma

Figura 49– Fluxograma do Firmware

4.2. Especificação de Software

4.2.1. Funções

• Recuperação dos dados armazenados no HECG;

• Interface para visualização dos dados;

• Interface para instalação do Holter no paciente;

• Interface para ajuste e visualização do horário armazenado no Holter;

48

4.2.2. Ambiente de desenvolvimento

• Borland Builder C++

4.2.3. Requisitos

• Microcomputador com processador de clock superior a 1Ghz, 512MB de

memória, 1GB de espaço livre no disco rígido, 1 porta serial, 1 porta

paralela, 1 porta USB;

• Sistema operacional Windows;

4.2.4. Restrições

• O software não realiza análise automática dos dados coletados;

• A taxa de transferência entre o dispositivo portátil e o microcomputador

será limitada em 115.000bps;

4.2.5. Fluxograma

Figura 50 – Fluxograma do Software

49

4.2.6. Protótipo de tela

Figura 51 – Protótipos de tela

50

5. PROJETO

O sistema foi constituído basicamente por dois módulos, um responsável pela

aquisição dos sinais de biopotenciais e outro responsável por realizar o

armazenamento dos dados e a comunicação com o microcomputador. A Figura 52

exibe um diagrama em blocos simplificado do sistema, sendo maiores detalhes

encontrados na Figura 54.

Figura 52 – Diagrama em blocos simplificado

5.1. Projeto de Hardware

Para a aquisição dos sinais foram utilizados três eletrodos de Ag/AgCl

(prata/Cloreto de Prata) dos quais, dois foram conectados aos terminais diferenciais do

amplificador de instrumentação INA128, e o terceiro conectado ao referencial de terra

do circuito.

O ganho ajustado para o INA128 foi de 980,3922.

3922,98051

501

501 =+=+= k

Rg

kG

Foram utilizados três filtros, sendo o primeiro um Filtro Passa Alta passivo com o

objetivo de eliminar componentes DC. A freqüência de corte utilizada foi de 0,5Hz. Os

outros dois filtros foram implementados em FPAA sendo um Filtro Corta Faixa de 60Hz

e um Filtro Passa Baixa de 100Hz.

A seguir são apresentados os cálculos realizados para a construção do Filtro

Passa Alta Butterworth de 2ª ordem.

Ganho = 1

a=1,414214 e b=1

51

K = 1

Hzfc 5,0=

14159,32 == co fw π

uFC 1≅

[ ] CKbaa cω)1(8

4bR

21−++

=

[ ] Ω=⋅⋅−⋅⋅++

⋅= kuF

4501314159,0)11(18414214,1414214,1

14R

21

Ω=== kkuFRCw

b

c

225450114159,3

1R

221

222

∞=−

⋅=−⋅

=11

4501

1R 1

3

k

K

RK

0R 4 =

A Figura 53 exibe, através de simulação, os resultados obtidos utilizando os

valores calculados para o Filtro Passa Alta. Para esta simulação foi utilizado o software

Tina-TI da Texas Instruments.

Figura 53 – Simulação do Filtro Passa Alta

52

Figura 54 – Diagrama em blocos detalhado

As Figuras 55 e 56 exibem os gráficos de resposta em freqüência obtidos para

os filtros implementados em FPAA. Para sua construção foi utilizado o utilitário

AnadigmFilter, o qual pode ser visualizado pela Figura 45.

A Figura 55 (b) exibe maiores detalhes da região de corte do Filtro Corta Faixa

de 60Hz apresentado pela Figura 55 (a). A linha em verde escuro representa a

resposta em freqüência desejada, e a linha em verde claro representa a reposta em

freqüência que será realizada pelo circuito.

(a)

53

(b)

Figura 55 – Filtro Corta Faixa de 60Hz

A resposta em freqüência obtida para o Filtro Passa Baixa de 100Hz é

apresentada pela Figura 56.

Figura 56 – Filtro Passa Baixa de 100Hz

Os esquemáticos gerados pelos filtros implementados em FPAA são exibidos

pela Figura 57, onde (a) representa o Filtro Corta Faixa e (b) o Filtro Passa Baixa.

54

(a) (b)

Figura 57 – Esquemático da implementação em FPAA

A freqüência de amostragem utilizada foi de 400Hz, utilizando-se 8 bits de

resolução para a representação do sinal.

Cada amostra é armazenada no cartão de memória MMC em blocos de 512

bytes, tal gravação é executada pelo microcontrolador que utiliza o protocolo e interface

SPI para comunicação com o cartão de memória. A cada 1 minuto, uma data de

referência é armazenada no início do bloco. Tal data é obtida pelo microcontrolador

que comunica-se pelo protocolo I2C com o RTC.

5.1.1. Sinais de Interface

As Tabelas 5.1 e 5.2 relacionam os sinais de interface dos módulos do sistema.

A Tabela 5.3 contém os sinais internos do módulo do microcontrolador.

Tabela 5.1 – Sinais de Interface do módulo de Aquisição

Sinal Tipo Direção Descrição

EL1 Analógico Entrada Eletrodo 1

EL2 Analógico Entrada Eletrodo 2

EL3 Analógico Entrada Eletrodo 3 (terra)

SA0 Analógico Saída Sinal tratado pronto para conversão A/D

55

Tabela 5.2 – Sinais de Interface do módulo do Microcontrolador

Tabela 5.3 – Sinais internos do módulo do Microcontrolador

5.1.2. Características do sinal

O sinal a ser adquirido possuí amplitude variando entre 1 e 4mV com

freqüências de 0,05 e 300Hz. Tal faixa de freqüência é característica de

Eletrocardiogramas de alta resolução. Neste trabalho a faixa de freqüência utilizada

será de 0,5 a 100Hz.

5.2. Projeto de Software

5.2.1. Diagrama de Casos de Uso

O diagrama de Casos de Uso ilustra as possíveis interações do usuário com o

sistema. Na Figura 58 é exibido tal diagrama.

Sinal Tipo Direção Descrição

SA0 Analógico Entrada Sinal a ser convertido para sinal digital

TX Digital Saída Linha de transmissão de dados para PC

RX Digital Saída Linha de recebimento de dados do PC

Componente Sinal Tipo Descrição

Microcontrolador RC6 Digital Linha de transmissão serial

Microcontrolador RC7 Digital Linha de recebimento serial

Microcontrolador AN0 Analógico Entrada sinal analógico

Cartão MMC /CS Digital Pino ativação do dispositivo (SPI)

Cartão MMC DIN Digital Linha de recebimento de dados (SPI)

Cartão MMC DOUT Digital Linha de transmissão de dados (SPI)

Cartão MMC CLK Digital Linha de sinal de sincronismo (SPI)

RTC SCL Digital Linha de sinal de sincronismo (I2C)

RTC SDA Digital Linha de dados bidirecional (I2C)

56

Figura 58 – Diagrama de Casos de Uso

5.2.2. Diagrama de Classes

Figura 59 – Diagrama de Classes

57

5.2.3. Diagramas de Sequência

Figura 60 – Diagrama de seqüência – Ajustar Horário

Figura 61 – Diagrama de seqüência – Visualizar Horário

Figura 62 – Diagrama de seqüência – Abrir Arquivo

58

Figura 63 – Diagrama de seqüência – Capturar Arquivo

Figura 64 – Diagrama de seqüência – Desenhar Gráfico

59

6. RESULTADOS

Para a realização de testes do Holter foi utilizada como base a Derivação I, em

apenas um indivíduo. Duas marcas de eletrodos descartáveis foram utilizadas para os

testes, sendo elas: Maxicor e 3M, sendo ambos os eletrodos compostos por

prata/cloreto de prata (Ag/AgCl) . Dentre estas duas marcas, os eletrodos Maxicor

tiveram melhores resultados. Considerando que os eletrodos do fabricante 3M estavam

com as condições de validade expiradas, estes também tiveram resultados aceitáveis.

Inicialmente, para a eliminação das componentes DC que compõem o sinal de

ECG, foi utilizado um filtro passa alta passivo de 1ª ordem. Notou-se certa ineficiência

deste filtro, pois ocorriam pequenas flutuações do ECG. Por isso, este filtro foi

substituído por um filtro passa alta ativo de 2ª Ordem Butterworth, o qual teve melhores

resultados quanto a estabilidade do sinal de ECG.

Após o sinal ter sido capturado pelo Amplificador de Instrumentação e ter sido

filtrado por freqüência superiores a 0,5Hz, este sinal foi submetido a entrada do FPAA,

o qual foi projetado para desempenhar duas funções:

• Filtro Corta Faixa Butterworth com freqüência de corte igual a 60Hz e

fator de qualidade igual a 10.

• Filtro Passa Baixa Butterworth 4ª Ordem com freqüência de corte igual a

100Hz.

Para o acoplamento do FPAA, foram utilizados dois Amplificadores Operacionais,

de acordo com as recomendações do fabricante Anadigm, obtidos através do

documento “Interfacing Analog Signals to the Anadigmvortex FPAA Devices”. O

diagrama para o acoplamento de entrada do FPAA é exibido pela Figura 65, e o

acoplamento de saída do FPAA e exibido pela Figura 66.

Figura 65 – Acoplamento FPAA – Entrada

60

Figura 66 – Acoplamento FPAA – Saída

Os resultados obtidos pela filtragem do sinal através do FPAA AN220E04 são

exibidos pelas Figuras 67 e 68. O sinal superior corresponde ao sinal na entrada do

FPAA, e o sinal inferior corresponde a saída do FPAA.

Percebe-se no sinal superior (Figura 67) a existência de um ruído significativo,

este ruído é composto por duas freqüências, 60Hz com maior amplitude, e outra de

120Hz com menor amplitude. O sinal resultante, após ter sido filtrado pelo FPAA, é

mostrado pelo sinal inferior (Figura 67).

Figura 67 – Ruído Composto por 60 e 120Hz

A Figura 68 exibe o sinal resultante na saída do FPAA quando o sinal de ECG

esta composto por um ruído especifico de 60Hz.

61

Figura 68 – Ruído de 60Hz

A Figura 69 exibe o sinal resultante após efetuado todo tratamento.

Figura 69 – Sinal ECG Tratado

62

No último estágio, foram realizados os ajustes de ganho e grampeamento do

sinal. A Figura 70 mostra um ECG obtido através de um ganho ajustado para 500, já as

Figuras 71 e 72 exibem um ECG obtido através de uma ganho de 1000 e 2000,

respectivamente.

Figura 70 – ECG com Ganho 500

Figura 71 – ECG com Ganho 1000

63

Figura 72 – ECG com Ganho 2000

A tensão de referência para o circuito grampeador foi obtida através de uma

fonte de tensão de referência disponibilizada pelo FPAA. A tensão de referência tendo

o valor de 1,5V. Após o sinal ter sido grampeado foi submetido para a conversão A/D

do microcontrolador. Como o aplicativo de visualização dispõe uma interface para

acompanhamento do ECG em tempo real, esta interface foi utilizada para validar a

conversão A/D efetuada pelo microcontrolador.

O protocolo de controle, responsável pela interface entre o microcontrolador e o

microcomputador funcionou corretamente, não trazendo nenhum problema para a

utilização do Holter.

O tempo para implementação do código para aquisição e ajuste de horário do

RTC através do microcontrolador foi menor do que o previsto. No entanto, o tempo

necessário para manipulação do cartão de memória MMC foi relativamente alto. A

utilização deste cartão de memória difere-se das memórias RAM convencionais, sendo

necessária a utilização do protocolo especifico para iniciar, ler e escrever no cartão de

memória.

Nota-se também na Figura 73, através do gráfico amplitude X freqüência, a

existência de um ruído de 120Hz.

64

Figura 73 – ECG com Ganho 2000 e Ruído de 120Hz

Não optou-se em utilizar formatos como o FAT e NTFS porque o cartão foi

utilizado apenas para armazenamento interno de dados do Holter. A transferência de

dados contidos no Holter foi realizada conectando-se o Holter no microcomputador, não

necessitando a retirada do cartão de memória para leitura.

A utilização do bootloader para a programação do microcontrolador, trouxe

grandes benefícios, reduzindo tempo para desenvolvimento do firmware.

Após a validação do sistema foi realizado um teste de monitoramento por

aproximadamente 30 minutos. O arquivo capturado do Holter teve aproximadamente

700KB.

Figura 74 – Horário do Holter

65

A Figura 74 exibe a interface para o ajuste e visualização da data e hora

armazenada no RTC.

A placa do Holter foi confeccionada pelo processo de corrosão. O protótipo de

hardware do Holter pode ser visualizado pela Figura 75. O FPAA AN220E04 esta

localizado no canto superior direito (Figura 75).

Figura 75 - Protótipo do Holter

66

7. CONCLUSÕES

Encontra-se nesta nova tecnologia FPAA, uma grande facilidade e agilidade no

desenvolvimento de sistemas que utilizem como base os Amplificadores Operacionais.

Neste projeto foram utilizados recursos básicos do dispositivo, sem explorar a

plena diversidade de configurações deste. A implementação dos dois filtros no FPAA,

utilizou todos os recursos internos do dispositivo FPAA; encontrou-se neste ponto certa

limitação pelo dispositivo.

O recurso de re-configuração do dispositivo não foi utilizado, pois não se

aplicava ao projeto. Este recurso possibilita que o dispositivo seja re-configurado

dinamicamente enquanto em operação, e tal re-configuração pode ser total ou parcial.

A configuração é enviada ao dispositivo pela interface de programação enquanto o

mesmo encontra-se ativo e operante. Isto se tornaria útil em aplicações onde a

topologia do circuito necessitasse de alterações em função de alguma variável.

Algumas dificuldades foram encontradas para a programação do dispositivo, a

qual necessitou de uma memória EPROM externa. Neste ponto fez-se necessário a

utilização de outros circuitos e softwares para a programação desta memória EPROM.

A utilização de vários componentes SMD contribuiu para a confecção de um

protótipo com bom aspecto físico, próximo a produtos comerciais disponíveis no

mercado.

Alguns blocos do projeto deveriam ser melhorados e acrescentados, a fim de

tornar este um produto comercial. No entanto, estas deficiências não fizeram com que

o trabalho deixa-se de atender seus objetivos com resultados satisfatórios.

Em termos didáticos, foram obtidos grandes conhecimentos na utilização desta

tecnologia na construção do um Holter de ECG. Porém uma outra solução para este

problema poderia ser a utilização de DSP´s.

67

8. REFERÊNCIAS BIBLIOGRÁFICAS

ANADIGM. AN221E04: Field Programmable Analog Arrays - User Manual http://www.anadigm.com/_doc/UM021200-U007.pdf. Consultado em 01/04/2006.

DALLAS SEMICONDUCTOR. MAXIM DS1390: Low-Voltage SPI/3-Wire RTCs withTrickle Charger

http://pdfserv.maxim-ic.com/en/ds/DS1390-DS1393.pdf. Consultado em

01/04/2006.

FRANCO, SERGIO. Design With Operational Amplifiers and Analog Integrated Circuits.

Third Edition. Editora McGraw-Hill. New York, 2002.

MCT. SPI - Serial Peripheral Interface

http://www.mct.net/faq/spi.html. Consultado em 01/04/2006.

MICROCHIP. PIC16C717/770/771: 8-bit CMOS Microcontrollers with 10/12-bit A/D

http://ww1.microchip.com/downloads/en/DeviceDoc/41120b.pdf. Consultado em

01/04/2006.

PERTENCE JR, Antonio Amplificadores Operacionais e Filtros Ativo, 6ª Edição. Editora

Bookman. SP, 2003.

SANDISK. MultiMediaCard Product Manual

http://www.sandisk.com/Assets/File/OEM/Manuals/manual-rs-mmcv1.0.pdf.

Consultado em 01/04/2006.

TEXAS INSTRUMENTS. Biophysical Monitoring: Electrocardiogram (ECG) Front End

http://focus.ti.com/docs/apps/catalog/general/applications.jhtml?templateId=6032

&path=templatedata/cm/general/data/medical_biophysical_ecg. Consultado em

02/04/2006.

WEBSTER, JOHN G. Medical Instrumentation: Application and Design. New York: J.

Wiley & Sons, 1998.

68

http://www.virtual.epm.br/material/tis/curr-bio/trab2003/g5/fibra6.html

http://www.ccs.uel.br/medicina/pbl/cardio/capitulo6.asp

72

ANEXO 2 – LISTA DE COMPONENTES

Item Descrição Quantidade

1 Microcontrolador PIC16F876A 1

2 FPAA AN220E04 1

3 Amplificador de Instrumentação INA128 1

4 Regulador de Tensão PT5061 1

5 Regulador de Tensão LM7805 1

6 Regulador de Tensão UA78M33 1

7 RTC DS1307 1

8 Cartão de memória MMC de 32Mb 1

9 Conector para cartão de memória MMC 1

10 Dispositivo de Interface Serial MAX232 1

11 Conector DB9 fêmea 1

12 Cristal 32.768Mhz 1

13 Cristal 20 Mhz 1

14 Amplificador Operacional TL084C 1

15 Bateria 9V 1

16 Diodo 1N4001 1

17 Capacitores 1uF 4

18 Capacitores 10uF 6

19 Capacitores 100uF 10

20 Capacitores 33pF 2

21 Resistor de 51 1

22 Resistores de 470 3

23 Resistores de 1K 11

24 Resistores de 2,2K 3

25 Resistores de 10K 15

26 Resistores de 22K 1

27 Resistores de 47K 10

28 Resistor de 220K 1

29 Resistor de 430K 1

30 Resistor de 20K 1

31 Memória Serial EPROM 25LC160 1

73

ANEXO 3 – REGISTRADORES RTC

74

ANEXO 4 – PINAGEM DO FPAA AN220E04

75

ANEXO 5 – CRONOGRAMA

76

ANEXO 6 – ESTUDO DE VIABILIDADE TÉCNICO-ECONÔMICA

Descrição Quantidade Custo Unitário Total Parcial

*Circuito Integrado FPAA AN221E04 4 R$ 37,50 R$ 150,00

*Kit Desenvolvimento AN221K04 1 R$ 622,00 R$ 622,00

Amplificador de Instrumentação 1 R$ 30,00 R$ 30,00

Regulador Tensão PT5061 1 R$ 70,00 R$ 70,00

Microcontrolador 1 R$ 40,00 R$ 40,00

Memória 1 R$ 100,00 R$ 100,00

Socket Memória 1 R$ 40,00 R$ 40,00

RTC 1 R$ 10,00 R$ 10,00

Outros Componentes 1 R$ 20,00 R$ 20,00

Eletrodos 10 R$ 5,00 R$ 50,00

Horas de Trabalho 600 R$ 15,00 R$ 9.000,00 *Considerando US$ 1 = R$ 2,5 + 25% impostos

TOTAL GERAL R$ 10.132,00