.博士論文 - 東京工業大学 jpn.pdf · 3 transactions on electron devices, vol. 42,...

99
1 12.博士論文 Two-dimensional fringing effects of scaled-down MOS field effect transistors (平成 4 3 月 東京大学) 13.研究論文: [ 1] T. Inoue, S. Horiuchi, H. Iwai, H. Shimizu, T. Ishida, “Micro-probe Auger analysis of Si migration in Al metallization for LSI”, Japanese Journal of Applied Physics, Vol.15 pp.63- 69 ,1976 [ 2] K. Natori, M. Ogura, H. Iwai, K. Maeguchi, S. Taguchi, “A 64k bit MOS dynamic random access memory”, IEEE Journal of Solid-State Circuits, Vol. 14, pp.482-485 , 1979 [ 3] M. Konaka, H. Iwai, Y. Nishi, “Suppression of anomalous drain current in short channel MOSFET”, Japanese Journal of Applied Physics, Vol.18, Suppl. 18-1, pp.27-33 , 1979 [ 4] L. M. Dang, H. Iwai, Y. Nishi, S. Taguchi, “P-channel versus N-channel in MOS-ICs of submicron channel lengths”, Japanese Journal of Applied Physics, Vol.19, Suppl. 19-1, pp.107- 112, 1980 [ 5] L. M. Dang, H. Iwai, “Modeling of the impurity profile in an ion-implanted layer of an IGFET for the calculation of threshold voltages”, IEEE Transactions on Electron Devices, Vol.28, pp.116-117, 1981 [ 6] K. Taniguchi, M. Kashiwagi, H. Iwai, “Two-dimensional computer simulation models for MOSLSI fabrication processes”, IEEE Transactions on Electron Devices, Vol.28, pp.574-580 , 1981 [ 7] H. Otsuka, K. Watanabe, H. Nishimura, H. Iwai, H. Nihira, “The effect of substrate materials on holding time degradation in MOS dynamic RAM”, IEEE ELECTRON DEVICE LETTERS, Vol. EDL-3, No.7, pp.182-184, 1982 [ 8] H. Iwai, K. Taniguchi, M. Konaka, S. Maeda, Y. Nishi, “Two-dimensional nature of diffused layers and certain limitations in scaling-down coplanar structure”, IEEE Transactions on Electron Devices, Vol.29, pp.625-630 ,1982 [ 9] H. Iwai, S. Kohyama, “On-chip capacitance measurement circuits in VLSI structures”, IEEE Transactions on Electron Devices, Vol. 29, pp. 1622-1626, 1982 [ 10] J. Oristian, H. Iwai, J. Walker, R. W. Dutton, “Small geometry MOS transistor capacitance measurement method using simple on-chip circuits”, IEEE ELECTRON DEVICE LETTERS, Vol.EDL-5, No.10, pp.395-397, October, 1984 [ 11] H. Iwai, H. Otsuka, Y. Matsumoto, K. Hisatomi, K. Aoki, “Comparison of intrinsic gettering and epitaxial wafers in terms of soft error endurance and other characteristics of 64k bit dynamic RAM”, IEEE Transactions on Electron Devices, Vol.31, pp.1149-1151, 1984 [ 12] H. Iwai, M. R. Pinto, C. S. Rafferty, J. E. Oristian, R. W. Dutton, “Velocity saturation effect on short channel MOS transistor capacitance”, IEEE ELECTRON DEVICE LETTERS, Vol.EDL- 6, No.3, pp.120-122, March, 1985 [ 13] J. Oristian, H. Iwai, J. Walker, R. W. Dutton, “A reply to “Comments to `Small geometry MOS transistor capacitance measurement method using simple on-chip circuits”, IEEE ELECTRON DEVICE LETTERS, Vol.EDL-6, No.1, pp., January, 1985. [ 14] H. Iwai, J. E. Oristian, J. T. Walker, R. W. Dutton, “A scaleable technique for measurement of intrinsic MOS capacitance with atto Farad resolution”, IEEE Transactions on Electron Devices, Vol. ED-32, pp.344-356, 1985 [ 15] H. Iwai, M. R. Pinto, C. S. Raffrety, J. E. Oristian, R. W. Dutton, “Analysis of velocity saturation and other effects on short channel MOS transistor capacitances”, IEEE Transactions on Computer-Aided Design, Vol.6, pp.173-184, 1987 [ 16] M. Matsui, T. Ohtani, J. Tsujimoto, H. Iwai, A. Suzuki, K. Sato, M. Isobe, K. Hashimoto, M. Saitoh, H. Shibata, H. Sasaki, T. Matsuno, J. Matsunaga, T. Iizuka, “A 25-ns 1-Mbit CMOS SRAM with loading-free bit lines”, IEEE Journal of Solid-State Circuits, Vol.22, pp.733-740, 1987 [ 17] Y. Hiruta, H. Iwai, F. Matsuoka, K. Hama, K. Maeguchi, K. Kanzaki, “Interface state generation under long term positive bias temperature stress for a p+ poly gate MOS structure”, IEEE Transactions on Electron Devices, Vol.36, pp.1732-1739, 1989 [ 18] Matsuoka, H. Iwai, K. Hama, H. Itoh, R. Nakata, T. Nakakubo, K. Maeguchi, K. Kanzaki, “Electromigration reliability for tungsten filled via hole structure”, IEEE Transactions on Electron Devices, Vol.37, pp.562-568, 1990 [ 19] F. Matsuoka, H. Iwai, H. Hayashida, K. Hama, Y. Toyoshima, K. Maeguchi, “Analysis of hot carrier induced degradation mode on PMOSFETs”, IEEE Transactions on Electron Devices, Vol.

Upload: others

Post on 22-May-2020

2 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

1

12.博士論文

Two-dimensional fringing effects of scaled-down MOS field effect transistors

(平成 4 年 3 月 東京大学)

13.研究論文: [ 1] T. Inoue, S. Horiuchi, H. Iwai, H. Shimizu, T. Ishida, “Micro-probe Auger analysis of Si

migration in Al metallization for LSI”, Japanese Journal of Applied Physics, Vol.15 pp.63-

69 ,1976

[ 2] K. Natori, M. Ogura, H. Iwai, K. Maeguchi, S. Taguchi, “A 64k bit MOS dynamic random access

memory”, IEEE Journal of Solid-State Circuits, Vol. 14, pp.482-485 , 1979

[ 3] M. Konaka, H. Iwai, Y. Nishi, “Suppression of anomalous drain current in short channel

MOSFET”, Japanese Journal of Applied Physics, Vol.18, Suppl. 18-1, pp.27-33 , 1979

[ 4] L. M. Dang, H. Iwai, Y. Nishi, S. Taguchi, “P-channel versus N-channel in MOS-ICs of

submicron channel lengths”, Japanese Journal of Applied Physics, Vol.19, Suppl. 19-1, pp.107-

112, 1980

[ 5] L. M. Dang, H. Iwai, “Modeling of the impurity profile in an ion-implanted layer of an IGFET

for the calculation of threshold voltages”, IEEE Transactions on Electron Devices, Vol.28,

pp.116-117, 1981

[ 6] K. Taniguchi, M. Kashiwagi, H. Iwai, “Two-dimensional computer simulation models for

MOSLSI fabrication processes”, IEEE Transactions on Electron Devices, Vol.28, pp.574-580 ,

1981

[ 7] H. Otsuka, K. Watanabe, H. Nishimura, H. Iwai, H. Nihira, “The effect of substrate materials on

holding time degradation in MOS dynamic RAM”, IEEE ELECTRON DEVICE LETTERS, Vol.

EDL-3, No.7, pp.182-184, 1982

[ 8] H. Iwai, K. Taniguchi, M. Konaka, S. Maeda, Y. Nishi, “Two-dimensional nature of diffused

layers and certain limitations in scaling-down coplanar structure”, IEEE Transactions on

Electron Devices, Vol.29, pp.625-630 ,1982

[ 9] H. Iwai, S. Kohyama, “On-chip capacitance measurement circuits in VLSI structures”, IEEE

Transactions on Electron Devices, Vol. 29, pp. 1622-1626, 1982

[ 10] J. Oristian, H. Iwai, J. Walker, R. W. Dutton, “Small geometry MOS transistor capacitance

measurement method using simple on-chip circuits”, IEEE ELECTRON DEVICE LETTERS,

Vol.EDL-5, No.10, pp.395-397, October, 1984

[ 11] H. Iwai, H. Otsuka, Y. Matsumoto, K. Hisatomi, K. Aoki, “Comparison of intrinsic gettering

and epitaxial wafers in terms of soft error endurance and other characteristics of 64k bit dynamic

RAM”, IEEE Transactions on Electron Devices, Vol.31, pp.1149-1151, 1984

[ 12] H. Iwai, M. R. Pinto, C. S. Rafferty, J. E. Oristian, R. W. Dutton, “Velocity saturation effect on

short channel MOS transistor capacitance”, IEEE ELECTRON DEVICE LETTERS, Vol.EDL-

6, No.3, pp.120-122, March, 1985

[ 13] J. Oristian, H. Iwai, J. Walker, R. W. Dutton, “A reply to “Comments to `Small geometry MOS

transistor capacitance measurement method using simple on-chip circuits”, IEEE ELECTRON

DEVICE LETTERS, Vol.EDL-6, No.1, pp., January, 1985.

[ 14] H. Iwai, J. E. Oristian, J. T. Walker, R. W. Dutton, “A scaleable technique for measurement of

intrinsic MOS capacitance with atto Farad resolution”, IEEE Transactions on Electron Devices,

Vol. ED-32, pp.344-356, 1985

[ 15] H. Iwai, M. R. Pinto, C. S. Raffrety, J. E. Oristian, R. W. Dutton, “Analysis of velocity saturation

and other effects on short channel MOS transistor capacitances”, IEEE Transactions on

Computer-Aided Design, Vol.6, pp.173-184, 1987

[ 16] M. Matsui, T. Ohtani, J. Tsujimoto, H. Iwai, A. Suzuki, K. Sato, M. Isobe, K. Hashimoto, M.

Saitoh, H. Shibata, H. Sasaki, T. Matsuno, J. Matsunaga, T. Iizuka, “A 25-ns 1-Mbit CMOS

SRAM with loading-free bit lines”, IEEE Journal of Solid-State Circuits, Vol.22, pp.733-740,

1987

[ 17] Y. Hiruta, H. Iwai, F. Matsuoka, K. Hama, K. Maeguchi, K. Kanzaki, “Interface state generation

under long term positive bias temperature stress for a p+ poly gate MOS structure”, IEEE

Transactions on Electron Devices, Vol.36, pp.1732-1739, 1989

[ 18] Matsuoka, H. Iwai, K. Hama, H. Itoh, R. Nakata, T. Nakakubo, K. Maeguchi, K. Kanzaki,

“Electromigration reliability for tungsten filled via hole structure”, IEEE Transactions on

Electron Devices, Vol.37, pp.562-568, 1990

[ 19] F. Matsuoka, H. Iwai, H. Hayashida, K. Hama, Y. Toyoshima, K. Maeguchi, “Analysis of hot

carrier induced degradation mode on PMOSFETs”, IEEE Transactions on Electron Devices, Vol.

Page 2: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

2

37, pp.1487-1495, 1990

[ 20] Y. Toyoshima, H. Iwai, F. Matsuoka, H. Hayashida, K. Maeguchi, K. Kanzaki, “Analysis of gate

oxide thickness dependence of hot carrier induced degradation in thin gate oxide nMOSFETs”,

IEEE Transactions on Electron Devices, Vol.37, pp.1496-1503, 1990

[ 21] Invited Paper: M. Norishima, H. Iwai, Y. Niitsu, K. Maeguchi, “Impurity diffusion behaviors

of bipolar transistor under low-temperature furnace annealing and high-temperature RTA and its

optimization for 0.5μm Bi-CMOS process”, IEEE Transactions on Electron Devices, Vol.39,

pp.33-40, January , 1992

[ 22] B. Baccus, T. Wada, N. Shigyo, M. Norishima, H. Nakajima, K. Inou, T. Iinuma, H. Iwai, “A

study of non-equilibrium diffusion modeling; Application to rapid thermal annealing and

advanced bipolar technologies”, IEEE Transactions on Electron Devices, Vol.ED-39, No.3,

pp.648-661, March, 1992.

[ 23] T. Iijima, A. Nishiyama, Y. Ushiku, T. Ohguro, I. Kunishima, K. Suguro, H. Iwai, “A new

contact plug technique for deep-submicron ULSIs employing selective nickel silicidation of

polysilicon with a titanium nitride stopper”, IEEE Transactions Electron Devices, Vol. 40,

pp.371-377, 1993

[ 24] M. Tsuchiaki, H. Hara, T. Morimoto, H. Iwai, “New Charge Pumping Method for Determining

the Spatial Distribution of Hot-Carrier-Induced Fixed Charge in p-MOSFET's”, IEEE

Transactions Electron Devices, Vol. 40, pp.1768-1779, 1993

[ 25] M. Saito, T. Yoshitomi, H. Hara, M. Ono, Y. Akasaka, H. Nii, S. Matsuda, H. S. Momose, Y.

Katsumata, H. Iwai, “P-MOSFET's with Ultra-Shallow Solid-Phase-Diffused Drain Structure

Produced by Diffusion from BSG Gate-Sidewall”, IEEE Transactions on Electron Devices,

Vol.ED-40, No.12, pp.2264-2272, 1993

[ 26] S. Matsuda, N. Itoh, C. Yoshino, Y. Tsuboi, Y. Katsumata, H. Iwai, “Mechanical Stress Analysis

of Trench Isolation Using a Two-Dimensional Simulation”, IEICE TRANSACTIONS on

Electronics, Vol.E77-C, pp.124-128, 1993

[ 27] Y. Tsuboi, C. Fiegna, E. Sangiorgi, B. Ricco, T. Wada, Y. Katsumata, H. Iwai, “Monte Carlo

Analysis of Velocity Overshoot Effects in Bipolar Devices with and without an i-Layer”, IEICE

TRANSACTIONS on Electronics, Vol.E77-C, pp.174-178, 1993

[ 28] H. S. Momose, T. Morimoto, Y. Ozawa, K. Yamabe, H. Iwai, “Electrical Characteristics of

Rapid Thermal Nitride-Oxide Gate n- and p-MOSFET's with Less Than 1 Atom% Nitrogen

Concentration”, IEEE Transactions on Electron Devices, Vol. 41, pp.546-552, 1994

[ 29] H. S. Momose, H. Iwai, “Analysis of the Temperature Dependence of Hot-Carrier-Induced

Degradation in Bipolar Transistors for Bi-CMOS”, IEEE Transactions on Electron Devices, Vol.

41, pp.978-987, 1994

[ 30] C. Fiegna, H. Iwai, T. Wada, M. Saito, E. Sangiorgi, B. Ricco, “Scaling the MOS transistor

below 0.1μm: methodology, device structures and technology requirements”, IEEE

Transactions on Electron Devices, Vol. 41, pp.941-951, 1994

[ 31] T. Ohguro, S. Nakamura, M. Koike, T. Morimoto, A. Nishiyama, Y. Ushiku, T. Yoshitomi, M.

Ono, M. Saito, H. Iwai, “Analysis of Resistance Behavior in Ti- and Ni- Salicided Polysilicon

Films”, IEEE Transactions on Electron Devices, Vol. 41, pp.2305-2317, December, 1994

[ 32] T. Iinuma, N. Itoh, H. Nakajima, K. Inou, A. Matsuda, C. Yoshino, Y. Tsuboi, Y. Katsumata, H.

Iwai, “Sub-20 ps High-Speed ECL Bipolar Transistor with Low Parasitic Architecture”, IEEE

Transactions on Electron Devices, Vol. 42, pp.399-405, March, 1995

[ 33] H. S. Momose, T. Morimoto, Y. Ozawa, K. Yamabe, H. Iwai, “An Improvement of Hot-Carrier

Reliability in the Stacked Nitride-Oxide Gate n- and p-MISFET's”, IEEE Transactions on

Electron Devices, Vol. 42, pp.704-712,April, 1995

[ 34] T. Morimoto, T. Ohguro, H. S. Momose, T. Iinuma, I. Kunishima, K. Suguro, I. Katakabe, H.

Nakajima, M. Tsuchiaki, M. Ono, Y. Katsumata, H. Iwai, “Self-Aligned Nickel-Mono-Silicide

Technology for High-Speed Deep Submicrometer Logic CMOS ULSI”, IEEE Transactions on

Electron Devices, Vol. 42, pp.915-922, May, 1995

[ 35] H. Iwai, H. S. Momose, M. Saito, M. Ono, Y. Katsumata, “The future of ultra-small-geometry

MOSFETs beyond 0.1 micron”, Microelectronic Engineering, Vol.28 pp.147-154, June, 1995

[ 36] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro, H. S. Momose, H. Iwai, “Fabrication of

sub-50-nm gate length n-metal-oxide semiconductor field effect transistors and their electrical

characteristics”, Journal of Vacuum Science and Technology B, Vol.13, pp.1740-1743, July-

August, 1995

[ 37] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro, H. S. Momose, H. Iwai, “A Study of Hot

Carrier Effects on n-MOSFET's Under High Substrate Impurity Concentration”, IEEE

Page 3: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

3

Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995

[ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro, H. Iwai, “A 40 nm gate length n-

MOSFET”, IEEE Transactions on Electron Devices, Vol. 42, pp.1822-1830, October, 1995

[ 39] H. S. Momose, M. Ono, T. Yoshitomi, T. Ohguro, M. Saito, H. Iwai, “Realization of High-

Performance MOSFETs with gate lengths of 0.1μm or Less”, Electronics and Communication

in Japan, Part 2, Vol.79, pp.67-76, October, 1996

[ 40] H. S. Momose, M. Ono, T. Yoshitomi, T. Ohguro, S. Nakamura, M. Saito, H. Iwai, “1.5 nm

Direct-Tunneling Gate Oxide Si MOSFET's,” IEEE Transactions on Electron Devices, Vol. 43,

pp.1233-1242, August, 1996

[ 41] H. S. Momose, M. Ono, T. Yoshitomi, T. Ohguro, S. Nakamura, M. Saito, H. Iwai, “Prospects

for Low-power, High-speed MPUs Using 1.5 nm Direct-tunneling Gate Oxide MOSFETs”,

Solid-State Electronics, Vol.41, pp. 707-714, May, 1997

[ 42] H. Iwai, H. S. Momose, “Technology towards low power / low voltage and scaling of

MOSFETs”, Microelectronic Engineering, Vol.39, pp.7-30, December, 1997

[ 43] T. Yoshitomi, M. Saito, T. Ohguro, M. Ono, H. S. Momose, E. Morifuji, T. Morimoto, Y.

Katsumata, H. Iwai, “A hot-carrier degradation mechanism and electrical characteristics in of

S4D n-MOSFETs”, IEEE Transactions on Electron Devices, Vol. 44, pp.2053-2058, November,

1997

[ 44] R. Fujimoto, S. Otaka, H. Iwai, H. Tanimoto, “A 1.5GHZ CMOS low noise amplifier”, IEICE

Transactions on Fundamentals, Vol.E81-A, pp.382-388, March, 1998

[ 45] H. S. Momose, S. Nakamura, T. Ohguro, T. Yoshitomi, E. Morifuji, T. Morimoto H. Iwai,

“Study of the manufacturing feasibility of 1.5-nm direct-tunneling gate oxide MOSFET’s:

Uniformity, reliability, and dopant penetration of the gate oxide”, IEEE Transactions on Electron

Devices, Vol. 45, pp.691-700, 1998

[ 46] T. Ohguro, N. Sugiyama, S. Imai, K. Usuda, M. Saito, T. Yoshitomi, M. Ono, H. Kimijima, H.

S. Momose, Y. Katsumata, H. Iwai, “Undoped epitaxial Si channel n-MOSFET grown by UHV-

CVD with preheating”, IEEE Transactions on Electron Devices, Vol. 45, pp.710-716, 1998

[ 47] T. Ohguro, K. Yamada, N. Sugiyama, S. Imai, K. Usuda, T. Yoshitomi, C. Fiegna, M. Ono, M.

Saito, H. S. Momose, Y. Katsumata, H. Iwai, “0.15-μm buried-channel p-MOSFET’s with

ultrathin boron-doped epitaxial Si layer”, IEEE Transactions on Electron Devices, Vol. 45,

pp.717-721, March, 1998

[ 48] M. Saito, M. Ono, R. Fujimoto, H. Tanimoto, N. Ito, T. Yoshitomi, T. Ohguro, H. S. Momose,

H. Iwai, “0.15-μm RF CMOS technology compatible with logic CMOS for low-voltage

operation”, IEEE Transactions on Electron Devices, Vol. 45, pp.737-742, March, 1998

[ 49] D. Esseni, H. Iwai, M. Saito, B. Ricco, “Non-scaling of MOSFETs linear resistance in deep

submicrometer regime”, IEEE ELECTRON DEVICE LETTERS, Vol.19, pp.131-133, April,

1998

[ 50] Invited Paper: H. Iwai, “Downsizing of silicon MOSFETs beyond 0.1μm”, Microelectronics

Journal, Vol.29, pp.671-678, October, 1998

[ 51] T. Yoshitomi, M. Saito, T. Ohguro, M. Ono, H. S. Momose, E. Morifuji, T. Morimoto, Y.

Katsumata, H. Iwai, “High performance of silicided silicon-sidewall source and drain (S4D)

structure”, IEEE Transactions on Electron Devices, Vol. 45, pp.1295-1299, June, 1998

[ 52] H. S. Momose, S. Nakamura, Y. Katsumata, H. Iwai, “Application of direct-tunneling gate

oxides to high-performance CMOS”, Microelectronics Reliability, Vol.38, pp.1413-1423,

September, 1998

[ 53] Invited Paper: H. Iwai, “CMOS Technology – Year 2010 and beyond”, IEEE Journal of Solid-

State Circuits, Vol.34, pp.357-366, March, 1999

[ 54] H. Nii, C. Yoshino, S. Yoshitomi, K. Inoh. H. Furuya, H. Nakajima, H. Sugaya, H. Naruse, Y.

Katsumata, H. Iwai, “An 0.3-μm Si epitaxial base BiCMOS technology with 37-GHz fmax and

10-V BVceo for RF telecommunication”, IEEE Transactions on Electron Devices, Vol. 46, No.4,

pp.712-721, April, 1999

[ 55] T. Ohguro, H. Naruse, H. Sugaya, E. Morifuji, S. Nakamura, T. Yoshitomi, T. Morimoto, H.

Kimijima, H. S. Momose, Y. Katsumata, H. Iwai, “An 0.18-μm CMOS for Mixed Digital and

Analog Applications with Zero-Volt-Vth Epitaxial-Channel MOSFET's”, IEEE Transactions on

Electron Devices, Vol.46, No.6, pp.1378-1383, July, 1999

[ 56] Invited Paper: H. Iwai, “Outlook of MOS Devices into Next Century”, Microelectronic

Engineering, Vol.48, pp.7-14, September, 1999

[ 57] T. Yoshitomi, M. Saito, T. Ohguro, M. Ono, H. S. Momose, E. Morifuji, T. Morimoto, Y.

Katsumata, H. Iwai, “Single-gate 0.15 and 0.12μm CMOS with Co salicide technology”, Solid

Page 4: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

4

State Electronics, Vol.43 No.3, pp.543-546, March, 1999

[ 58] T. Yoshitomi, H. Oguma, T. Ohguro, E. Morifuji, T. Morimoto, H. S. Momose, H. Kimijima, Y.

Katsumata, H. Iwai, “A high performance 0.15μm buried channel pMOSFET with extremely

shallow counter doped channel region using solid phase diffusion”, Solid State Electronics,

Vol.43, No.7, pp.1209-1214, July, 1999

[ 59] T. Yoshitomi, H. Kimijima, S. Ishizuka, Y. Miyahara, T. Ohguro, E. Morifuji, T. Morimoto, H.

S. Momose, Y. Katsumata, H. Iwai, “A study of self-aligned doped channel MOSFET structure

for low power and low 1/f noise operation”, Solid State Electronics, Vol.43, No.7, pp.1219-1224,

July, 1999

[ 60] H. Iwai, T. Ikoma, Y. Kado, “Overview of the ULSI Session and Chapter”, International Journal

of High Speed Electronics and Systems, Vol.10, No.1, pp.171-173, 2000

[ 61] T. Ohguro, M. Saito, E. Morifuji, T. Yoshitomi, T. Morimoto, H. S. Momose, Y. Katsumata, H.

Iwai, “Thermal Stability of CoSi2 Film for CMOS Salicide”, IEEE Transactions on Electron

Devices, Vol.47, No.11, pp.2208-2213, November, 2000

[ 62] T. Ohguro, M. Saito, E. Morifuji, K. Murakami, K. Matsuzaki, T. Yoshitomi, T. Morimoto, H.

S. Momose, Y. Katsumata, H. Iwai, “Power Si-MOSFET Operating with High Efficiency Under

Low Supply Voltage”, IEEE Transactions on Electron Devices, Vol.47, No.12, pp.2385-2391,

December, 2000

[ 63] J.-S. Goo, C.-H. Choi, F. Danneville, E. Morifuji, H. S. Momose, Z. Yu, H. Iwai, T. H. Lee, R.

W. Dutton, “An Accurate and Efficient High Frequency Noise Simulation Technique for Deep

Submicron MOSFETs”, IEEE Transactions on Electron Devices, Vol.47, No.12, pp.2410-2419,

December, 2000

[ 64] H. S. Momose, S. Nakamura, T. Ohguro, T. Yoshitomi, E. Morifuji, T. Morimoto, Y. Katsumata,

H. Iwai, “Hot-carrier reliability of ultra-thin gate oxide CMOS”, Solid-State Electronics, Vol.44,

pp.2035-2044, November,2000

[ 65] H. S. Momose, T. Ohguro, E. Morifuji, H. Sugaya, S. Nakamura, H. Iwai, “Ultrathin Gate Oxide

CMOS with Nondoped Selective Epitaxial Si Channel Layer”, IEEE Transactions on Electron

Devices, Vol.48, No.6, pp.1136-1144, June, 2001

[ 66] H. S. Momose, E. Morifuji, T. Yoshitomi, T. Ohguro, M. Saito, H. Iwai, “Cutoff Frequency and

Propagation Delay Time of 1.5-nm Gate Oxide CMOS”, IEEE Transactions on Electron Devices,

Vol.48, No.6, pp.1165-1174, June, 2001

[ 67] Invited Paper: H. Iwai, T. Ohguro, H. Ohmi, “NiSi salicide technology for scaled CMOS”,

Microelectronic Engineering, Vol.60, pp.157-169, February, 2002

[ 68] Invited Paper: H. Iwai, S. Ohmi, “Silicon integrated circuit technology from past to future”,

Microelectronics Reliability, Vol.42, pp.465-491, April, 2002

[ 69] H. Iwai, S. Ohmi, “Trend of CMOS downsizing and its reliability”, Microelectronics Reliability,

Vol.42, No.9-11, pp.1251-1258, September-November, 2002

[ 70] H. S. Momose, T. Ohguro, S. Nakamura, Y. Toyoshima, H. Ishiuchi, H. Iwai, “Ultrathin Gate

Oxide CMOS on (111) Surface-Oriented Si Substrate”, IEEE Transactions on Electron Devices,

Vol.49, No.9, pp.1597-1605, September, 2002

[ 71] J.O.Borland, V.Moroz, H.Wang, W.Maszara, H.Iwai, “High-tilt implant and diffusion-less

activation for lateral graded S/D engineering”, Solid State Technology,Vol.2003-06, pp.52-58,

2003

[ 72] F. Lime, K. Oshima, M. Casse, G. Ghibaudo, S. Cristoloveanu, B. Guillaumot, H. Iwai, “Carrier

mobility in advanced CMOS devices with metal gate and HfO2 gate dieletric” , Solid-State

Electronics, Vol.47, pp.1617-1621, October, 2003

[ 73] J.Tonotani, T.Iwamoto, F.Sato, K.Hattori, S.Ohmi, H.Iwai, “Dry etching characteristics of TiN

film using Ar/CHF3, Ar/Cl2, and Ar/BCl3 gas chemistries in an inductively coupled plasma” ,

Journal of Vacuum Science and Technology B (JVST B), Second Series, Vol.21, No.5, pp.2163-

2168, September-October, 2003

[ 74] H.Iwai, “CMOS downsizing toward sub-10nm”, Solid-State Electronics, Vol.48, pp.497-503,

September, 2003

[ 75] C.Ohshima, J.Taguchi, I.Kashiwagi, H.Yamamoto, S.Ohmi, H.Iwai, “Effect of surface treatment

of Si substrates and annealing condition on high-k rare earth oxide gate dielectrics” ,Applied

Surface Science, Vol.216 pp.302-306, June, 2003

[ 76] H.Nohira, T.Shiraishi, T.Nakamura, K.Takahashi, M.Takeda, S.Ohmi, H.Iwai, T.Hattori,

“Chemical and electronic structures of Lu2O3/Si interfacial transition layer”, Applied Surface Science,Vol.216, pp. 234-238, June, 2003

[ 77] S.Ohmi, C.Kobayashi, I.Kashiwagi, C.Ohshima, H.Ishiwara, H.Iwai, “Characterization of

Page 5: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

5

La2O3 and Yb2O3 Thin Films for High-k Gate Insulator Application”, Journal of The

Electrochemical Society, Vol.150, No. 7, F134-F140, July, 2003

[ 78] J. O. Borland, H. Iwai, W. Maszara, H. Wang, “Extending the life of planar CMOS with

multigate CMOS devices”, Solid-State Technology, Vol.46, pp.26, March, 2003

[ 79] T.Hattori, T.Yoshida, T.Shiraishi, K.Takahashi, H.Nohira, S.Joumori, K.Nakajima, M.Suzuki,

K.Kimura, I.Kashiwagi, C.Ohshima, S.Ohmi, H.Iwai, “Composition,chemical structure, and

electronic band structure of rare earth oxide/Si(100) interfacial transition layer” ,Microelectronic

Engineering, Vol.72, pp.283-287, April, 2004

[ 80] S.Ohmi, H.Yamamoto, J.Taguchi, K.Tsutsui, H.Iwai, “Effects of Post Dielectric Deposition and

Post Metallization Annealing Processes on Metal/Dy2O3/Si(100) Diode

Characteristics”,Japanese Journal of Applied Physics,Vol.43, No.4B, pp.1873-1878, April, 2004

[ 81] S.Ohmi, M.Takeda, H.Ishiwara, H. Iwai, “Electrical Characteristics for Lu2O3 Thin Films

Fabricated by E-Beam Deposition Method”, Journal of The Electrochemical Society, Vol.151,

No.4,pp.G279-283, 2004

[ 82] H. Iwai, “The Future of CMOS Downscaling”, Future Trends in Microelectronics The Nano, the

Giga, and Ultra, pp.23-33, The Institute of Electrical and Electronics Engineers, Inc., New York,

2004

[ 83] H. Nohira, T. Shiraishi, K. Takahashi, T. Hattori, I. Kashiwagi, C. Ohshima, S. Ohmi, H. Iwai,

S. Joumori, K. Nakajima, M. Suzuki, K. Kimura, “Atomic-scale depth profiling of composition,

chemical structure and electronic band structure of La2O3 /Si(100) interfacial transition layer”,

Applied Surface Science, Vol.234, pp.493-496, July, 2004

[ 84] K. Oshima, S. Cristoloveanu, B. Guillaumot, S. Deleonibus, H. Iwai, “SOI MOSFETs with

Buried Alumina : Thermal and Electrical Aspects”, Journal of The Electrochemical Society,

Vol.151, No.4, pp.G257-G261, 2004

[ 85] K. Oshima, S. Cristoloveanu, B. Guillaumot, H. Iwai, S. Deleonibus, “Advanced SOI MOSFETs

with buried alumina and ground plane : self-heating and short-channel effects”, Solid-State

Electronics, Vol.48, pp.907-917, June, 2004

[ 86] Y. Kim, K. Miyauchi, S. Ohmi, K. Tsutsui, H. Iwai, “Electrical properties of vacuum annealed

La2O3 thin films grown by e-beam evaporation”, Microelectronics Journal, Vol.36, No.1, pp.41-

49 January, 2005

[ 87] Y. Kim, S. Ohmi, K. Tsutsui, H. Iwai, “Analysis of variation in leakage currents of Lanthana

thin films”, Solid-State Electronics, Vol.49, pp. 825-833, May, 2005 [ 88] J.A.Ng, Y. Kuroki, N. Sugii, K. Kakushima, S.-I. Ohmi, K. Tsutsui, T. Hattori, H. Iwai, H. Wong,

“Effects of low temperature annealing on the ultrathin La2O3 gate dielectric; comparison of post

deposition annealing and post metallization annealing”, Microelectronic Engineering, Vol.80,

pp. 206-209, June, 2005

[ 89] Y. Sasaki, C.G.Jin, K. Okashita, H. Tamura, H. Ito, B. Mizuno, H. Sauddin, R. Higaki, T. Satoh,

K. Majima, Y. Fukagawa, K. Takagi, I. Aiba, K. Tsutsui, H. Iwai, “New method of Plasma

doping with in-situ Helium pre-amorphization”, Nuclear Instruments and Methods in Physics

Reseach B237, pp.41-45, August, 2005

[ 90] A. Kuriyama, S. Ohmi, K. Tsutsui, H. Iwai, “Effect of Post-Metallization Annealing on

Electrical Characteristics of La2O3 Gate Thin Films”, Japanese Journal of Applied Physics, Vol.

44, No.2, pp.1045-1051, February, 2005

[ 91] Y. Kim, S. Ohmi, K. Tsutsui, H. Iwai, “Space-Charge-Limited Currents in La203Thin Films

Deposited by E-Beam Evaporation after Low Temperature Dry-Nitrogen Annealing”, Japanese

Journal of Applied Physics, Vol. 44, No.6A, pp.4032-4042, June, 2005

[ 92] H. Wong, H. Iwai, “The Road to Miniaturization”, Physics World, Vol. 18, No.9, pp.40-44,

September, 2005

[ 93] D. Misra, H. Iwai, H. Wong,“High-k Gate Dielectrics”, Interface, Vol.14, No.2, Summer, 2005 [ 94] H. Nohira, T. Yoshida, H .Okamoto, W. Sakai, K. Nakajima, M. Suzuki, K. Kimura, Ng Jin Aun,

Y. Kobayashi, S. Ohmi, H. Iwai, E. Ikenaga, K. Kobayashi, Y. Takata, T. Hattori,

“Thermal Stability of Lanthanum Oxide/Si (100) Interfacial Transitionlayer”, Physics and

Chemistry of SiO2 and the SiO2Interface-5, Vol. 1, No. 1, pp.87-95, 2005

[ 95] E.Miranda J.Molina, Y.Kim, H. Iwai, “Effects of high-field electrical strees on the conduction

properties of ultra-thin La2O3 Films”, APPLIED PHYSICS LETTERS, Vol.86, 232104, June,

2005

[ 96] E.Miranda J.Molina, Y.Kim, H. Iwai, “Degradation of High-K La2O3 Gate Dielectrics Using Progressive Electrical Stress”, Microelectronics Reliability, Vol.45, pp.1365-1369,

September-November, 2005

Page 6: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

6

[ 97] N. Bresson, S. Cristoloveanu, C. Mazure, F. Letertre, H. Iwai, “Integration of buried insulators

with high thermal conductivity in SOI MOSFETs: Thermal properties and short channel effects”,

Solid-State Electronics, Vol.49, pp.1522-1528, September, 2005

[ 98] C. G. Jin, Y. Sasaki, K. Okashita, H. Tamura, H. Ito, B. Mizuno, K. Tsutsui, S. Ohmi, H. Iwai,

“Ultra shallow p+/n junction formation by plasma doping (PD) and long pulse all solid-state laser

annealing (ASLA) with selective absorption modulation”, Nuclear Instruments and Methods in

Physics Research B237, pp.58-61, August, 2005

[ 99] K. Tsutsui, R. Higaki, Y. Sasaki, T. Sato, H. Tamura, K. Okashita, B. Mizuno, H. Iwai, “Doping

effects from neutral B2H6 gas phase on plasma pretreated Si substrates as a possible process in

plasma doping”, Japanese Journal of Applied Physics Part 1-Regular Papers Short Notes &

Review Papers, Vol.44, 6A, pp.3903-3907, June, 2005

[ 100] J. Tonotani, S. Ohmi, H. Iwai, “Dry etching of Cr2O3/Cr stacked film during resist ashing

by oxygen plasma”, Japanese Journal of Applied Physics Part 1-Regular Papers Short Notes &

Review Papers, Vol.44, 1A, pp.114-117, January, 2005

[ 101] E.Miranda, J.Molina, Y.Kim, H. Iwai, “Tunneling in sub-5nm La2O3 Deposited by E-

beam Evaporation”, Journal of Non-Crystalline Solids, Vol. 352, pp.92-97, January, 2006 [ 102] J.A.Ng, N. Sugii, K. Kakushima, P. Ahmet, K. Tsutsui, T. Hattori, H. Iwai “Effective

Mobility and Interface-state Density of La2O3 nMisFETs after post deposition annealing”, IEICE

2006 Electronics Express, Vol.3, No.13, pp. 316-321, July, 2006

[ 103] H. Nohira, T. Yoshida, H. Okamoto, S. Shinagawa, W. Sakai, K. Nakajima, M. Suzuki, K

Kimura, NJ. Aun, Y. Kobayashi, S. Ohmi, H. Iwai, E. Ikenaga, Y. Tanaka, K. Kobayashi, T.

Hattori, “Thermal stability of Gd2O3/Si(100) interfacial transition layer”, JOURNAL DE

PHYSIQUE IV, Vol.132, pp.273-277, March, 2006

[ 104] H. Wong, H. Iwai, “On the scaling issues and high-k replacement of ultrathin gate

dielectrics for nanoscale MOS transistors ”, Microelectronic Engineering, Vol.83, pp.1867-1904,

October, 2006 [ 105] K. Kakushima, H. Wong, H. Iwai, “Challenges for Future Semiconductor Manufacturing”,

International Journal of High Speed Electronics and Systems, Vol.16, No.1, pp.43-81, 2006

[ 106] Y. Kuroki, J.A.Ng, K. Kakushima, N.Sugii, K.Tsutsui, H. Iwai, “Al/La2O3Analysis of Post

Metallization Annealed MISFETs by XPS”, ECS Transactions,Vol.1, No.5, pp.239-247, 2006

[ 107] J. Molina, K. Kakushima, P.Ahmet, N. Sugii, K. Tsutsui, H. Iwai, “Breakdown and

Reliability of Metal Gate- La2O3 Thin Films After Post-Deposition Annealing In N2”, ECS

Transactions, Vol.1, No.5, pp.757-765, 2006

[ 108] H. Wong, H. Iwai, “Modeling and characterization of direct-tunneling current in dual-layer

ultrathin-gate dielectric films”, Journal of Vacuum Science and Technology B, Vol.24, No.4,

July-August, 2006

[ 109] K. Kakushima, K. Tsutsui, S. Ohmi, P. Ahmet, H. Iwai, “Rare Earth Oxides in

Microelectronics”, Rare Earth Oxide Thin Films, Topics in Applied Physics, Vol.106, pp.345-

365, 2007

[ 110] B. Sen, H. Wong, J.Molina, H. Iwai, J.A.Ng, K.Kakushima, C.K.Sarkar, “Trapping

Characteristics of lanthanum oxide gate dielectric film explored from temperature dependent

current-voltage and capacitance-voltage measurements”, Solid-State Electronics, Vol.51,

pp.475-480, March, 2007

[ 111] J.Song, K.Kakushima, P.Ahmet, K.Tsutsui, N.Sugii, T.Hattori, H.Iwai,

“CHARACTERISTICS of Ultrathin Lanthanum Oxide Films on Germanium Substrate:

Comparison with Those on Silicon Substrate”, Japanese Journal of Applied Physics, Vol.46,

No.16, pp.L376-L378, April, 2007

[ 112] N.Umezawa, K.Shiraishi, S.Sugino,A.Tachibana, K.Ohmori, K.Kakushima, H.Iwai,

T.Chikyow, T.Ohno, Y.Nara, K.yamada,“Suppression of Oxygen Vacancy Formation in Hf-

based High-k Dielectrics by Lanthanum Incorporation”,APPLIED PHYSICS LETTERS,

Vol.91, No.132904, September, 2007

[ 113] E.Miranda, H.Iwai,“Postbreakdown Conduction in Ultrathin La2O3 Gate Dieclectrics”, IEEE

Transactions on Device and Materials Reliability, Vol.7, No.2, pp.333-339, June, 2007

[ 114] Y. Kobayashi, C. Raghunathan Manoj, K. Tsutsui,Venkanarayan Hariharan, K. Kakushima, V.

Ramgopal Rao, P. Ahmet,H. Iwai, “Parasitic Effects in Multi-Gate MOSFETs”, IEICE

TRANSACTIONS on Electronics, Vol.E90-C, No.10, pp.2051-2056, October, 2007

[ 115] A. Kuriyama, J. Mitard, O. Faynot, L. Brevard, L. Lclerc, A. Tozzo, V. Vidal, S. Deleonibus, H. Iwai, S.Cristoloveanu, “A systematic investigation of work function in advanced metal gate-

HfO2-SiO2 structures with bevel oxide” , Solid-State Electronics, Vol.51, pp.1515-1522, November-

Page 7: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

7

December, 2007

[ 116] B. Sen, B. L. Yang, H. Wong, P. K. Chu, A. Huang, K. Kakushima, H. Iwai, “Aluminium

incorporation in lanthanum oxide films by using plasma immersion ion implantation”,

Proceedings of IEEE Conference on Electron Devices and Solid-State Circuits 2007, Taiwan,

Vol. 1, pp. 173.

[ 117] B. Sen, B. L. Yang, H.Wong, C. W. Kok, M. K. Bera, P. K. Chu, A. Huang, K. Kakushima,

H. Iwai, “Electrical stability improvement for lanthanum oxide films by nitrogen incorporation

using plasma immersion ion implantation”, Proceedings of IEEE Conference on Electron

Devices and Solid-State Circuits 2007, Taiwan, Vol. 2, pp. 6

[ 118] S. Sato, K. Tachi, K. Kakushima, P. Ahmet, K. Tsutsui, N. Sugii, T. Hattori, H. Iwai, “Thermal-

stability improvement of LaON thin film formed using nitrogen radicals” , Microelectronic

Engineering, Vol.84, pp.1894-1897, September-October, 2007

[ 119] J. Song, K. Kakushima, P. Ahmet, K. Tsutsui, N. Sugii, T. Hattori, H. Iwai, “Improvement of

interfacial properties with interfacial layer in La2O3 / Ge structure”, Microelectronic

Engineering, Vol.84, pp.2336-2339, September-October, 2007

[ 120] T. Kawanago, K.Tachi, J.Song, K. Kakushima, P. Ahmet, K.Tsutsui, N. Sugii, T. Hattori,

H.Iwai, “Electrical characterization of directly deposited La-Sc oxides complex for gate insulator

application”, Microelectronic Engineering, Vol.84, pp.2335-2338, September-October, 2007

[ 121] Y.C.Ong, D.S.Ang, K.L.Pey, S.J.O’Shea, K.E.J.Goh, C.Troadec, C.H.Thung, T. Kawanago, K.

Kakushima, H. Iwai, “Bilayer gate dielectric study by scanning tunneling microscopy”,

APPLIED PHYSICS LETTERS, Vol.91, 102905, September, 2007

[ 122] J. Molina, K. Kakushima, P. Ahmet, K. Tsutsui, N. Sugii, T. Hattori, H. Iwai, “Carrier

separation and Vth measurements of W-La2O3 gated MOSFET structures after electrical stress”,

IEICE Electronics Express, Vol.4, No.6, pp.185-191, March, 2007

[ 123] J. Molina, K. Tachi, K. Kakushima, P. Ahmet, K. Tsutsui, N. Sugii, T. Hattori, H. Iwai, “Effects

of N2-Based Annealing on the Reliability Characteristics of Tungsten/ La2O3/Silicon Capacitors”,

Journal of The Electrochemical Society, Vol.154, No.5, pp.G110-G116, March, 2007

[ 124] K. Doi, Y. Mikazuki, S. Shinya, T. Doi, P. Szarek, M. Senami, K. Shiraishi, H. Iwai, N.

Umezawa, T. Chikyo, K. Yamada, A. Tachibana, “Electronic structure study of local dielectric

properties of lanthanoid oxide, clusters”, Japanese Journal of APPlied Physics, Vol. 47, pp.205-

211, January, 2008

[ 125] K. Kakushima, K. Okamoto, K. Tachi, J. Song, S. Sato, T. Kawanago, K. Tsutsui, N. Sugii, P.

Ahmet, T. Hattori, H. Iwai, “Observation of band bending of metal/high-k Si capacitor with high

energy x-ray photoemission spectroscopy and its application to interface dipole measurement”,

JOURNAL OF APPLIED PHYSICS, Vol.104, No.10, Article Number: 104908, November,

2008

[ 126] P. Ahmet, T. Shiozawa, K. Nagahiro, T. Nagata, K. Kakushima, K. Tsutsui, T. Chikyow, H.

Iwai, “Thermal stability of Ni silicide films on heavily doped n+ and p+ Si substrates”

Microelectronic Engineering, Vol. 85, pp 1642-1646, July, 2008

[ 127] K. Kakushima, K. Okamoto, M. Adachi, K. Tachi, J. Song, S. Sato, T. Kawanago, P. Ahmet, K.

Tsutsui, N. Sugii, T. Hattori, H. Iwai, “Band bending measurement of HfO2/SiO2/Si capacitor

with ultra-thin La2O3 insertion by XPS”, Applied Surface Science, Vol.254, pp. 6106-6108, July,

2008

[ 128] D. S. Ang, Y. C. Ong, S. J. O'Shea, K. L. Pey, C. H. Tung, T. Kawanago, K. Kakushima, H.

Iwai, “Polarity dependent breakdown of the high-kappa/SiOx gate stack: A phenomenological

explanation by scanning tunneling microscopy”, APPLIED PHYSICS LETTERS, Vol.92,

Article Number 192904, May, 2008

[ 129] Y. C. Ong, D. S. Ang, K. L. Pey, Z. R. Wang, S. J. O'Shea, C. H. Tung, T. Kawanago, K.

Kakushima, H. Iwai, “Electronic trap characterization of the Sc2O3/La2O3 high-kappa gate stack

by scanning tunneling microscopy”, APPLIED PHYSICS LETTERS, Vol. 92, Article Number

022904, January, 2008

[ 130] K. Kakushima, K. Okamoto, M. Adachi, K. Tachi, P. Ahmet, K. Tsutsui, N. Sugii, T. Hattori,

H. Iwai, “Origin of flat band voltage shift in HfO2 gate dielectric with La2O3 insertion”, Solid-

State Electronics, Vol. 52, pp. 1280-1284, September, 2008

[ 131] N. Urushihara, S. Iida, N. Sanada, M. Suzuki, D. F. Paul, S. Bryan, Y. Nakajima, T. Hanajiri,

K. Kakushima, P. Ahmet, K. Tsutsui, H. Iwai, “Three dimensional image construction and

spectrum extraction from two dimensional elemental mapping in Auger electron spectroscopy”, Journal of Vacuum Science and Technology A, Vol.26, pp. 668-672, July-August, 2008

[ 132] J. Molina, A. Torres, W. Calleja, K. Kakushima, P. Ahmet, K. Tsutsui, N.Sugii, T. Hattori, H.

Iwai, “Degradation and breakdown of W-La2O3 stack after annealing in N-2”, Japanese Journal

Page 8: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

8

of Applied Physics, Vol.47, No.9, pp. 7076-7080, September, 2008

[ 133] K. Tsutsui, Ruifei Xiang, K. Nagahiro, T. Shiozawa, P. Ahmet, Y. Okuno, M. Matsumoto, M.

Kubota, K. Kakushima, H. Iwai, “Analysis of irregular increase in sheet resistance of Ni silicides

on transition from NiSi to NiSi2”, Microelectronic Engineering, Vol.85, pp.315-319, February,

2008

[ 134] K. Tsutsui, T. Shiozawa, K. Nagahiro, Y. Ohishi, K. Kakushima, P. Ahmet, N. Urushihara, M.

Suzuki, H. Iwai, “Improvement of Thermal Stability of Ni Silicide on N+-Si by Direct

Deposition of Group III Element (Al, B) Thin Film at Ni/Si Interface”, Microelectronic

Engineering, Vol.85, pp.2000-2004, October, 2008

[ 135] K. Tsutsui, T. Matsuda, M. Watanabe, Cheng-Guo Jin, Y. Sasaki, B. Mizuno, E. Ikenaga, K.

Kakushima, P. Ahmet, T. Maruizumi, H. Nohira, T. Hattori, H. Iwai, “Activated Boron and its

Concentration Profiles in Heavily Doped Si Studied by Soft X-ray Photoelectron Spectroscopy

and Hall Measurements”, Journal of Applied Physics, Vol.104, 093709, November, 2008

[ 136] P. Ahmet, K. Nakagawa, K. Kakushima, H. Nohira, K. Tsutsui, N. Sugii, T. Hattori, H. Iwai,

“Electrical characteristics of MOSFETs with La2O3/Y2O3 gate stack”, Microelectronics

Reliability, Vol.48, pp.1769-1771, November-December, 2008

[ 137] D.S.Ang, Y.C.Ong, S.J. O’Shea, K.L.Pey, K.Kakushima, H.Iwai, “Study of trap generation in

the Sc2 O 3/La2O3/SiOxgate dielectric stack by scanning tunneling microscopy”, APPLIED

PHYSICS LETTERS, Vol. 93, Article Number 242904, December, 2008

[ 138] H.Iwai, “Roadmap for 22nm and beyond”, Microelectronic Engineering, Vol.86, pp.1520-1528,

July-September, 2009

[ 139] J. Song, K. Kakushima, P.Ahmet, K. Tsutsui, N. Sugii, T. Hattori, H. Iwai, “Post metallization

annealing study in La2O3/Ge MOS structure”, Microelectronic Engineering, Vol.86, pp.1638-

1641, July-September, 2009

[ 140] T. Kawanago, J. Song, K. Kakushima, P. Ahmet, K. Tsutsui, N. Sugii, T. Hattori, H. Iwai,

“Experimental Study for High Efffective Mobility with directly deposited HfO2/La2O3

MOSFET”, Microelectronic Engineering, Vol. 86, pp.1629-1631, July-September, 2009

[ 141] B. Sen, H.Wong, B. L. Yang, P. K. Chu, K. Kakushima, H. Iwai, “Effects of nitrogen

incorporation into lanthana film by plasma immersion ion implantation”, Solid-State Electronics,

Vol.53, pp.355-358, March, 2009

[ 142] S.-L. Siu, H. Wong, W.-S. Tam, K. Kakusima, H. Iwai, “Subthreshold parameters of radio-

frequency multi-finger nanometer MOS transistors”, Microelectronics Reliability, Vol.49,

pp.387391, April, 2009

[ 143] T. Koyanagi, K. Tachi, K. Okamoto, K. Kakushima, P. Ahmet, K. Tsutsui, N. Sugii, T. Hattori,

H. Iwai, “Electrical Characterization of La2O3-Gated Metal Oxide Semiconductor Field Effect

Transistor with Mg Incorporation”, Japanese Journal of Applied Physics, Vol.48, May, 2009

[ 144] K.Kakushima, K. Tachi, J. Song, S. Sato, H. Nohira, E. Ikenaga, P. Ahmet, K. Tsutsui, N. Sugii,

T. Hattori, H. Iwai, “Comprehensive x-ray photoelectron spectroscopy study on compositional

gradient lanthanum silicate film”, Journal of Applied Physics, Vol.106, December, 2009

[ 145] K. Kakushima, K. Okamoto, T. Koyanagi, M. Kouda, K. Tachi, T. Kawanago, J. Song, P.

Ahmet, K. Tsutsui, N. Sugii, T. Hattori, H. Iwai, “Selection of rare earth silicates for highly

scaled gate dielectrics”, Microelectronic Engineering,

doi:10.1016/j.mee.2009.11.001, ,2009

[ 146] H. Wong, H. Iwai, K. Kakushima, B.L. Yang and P. K. Chu, “XPS Study of the Bonding

Properties of Lanthanum Oxide/Silicon Interface with a Trace Amount of Nitrogen

Incorporation”, Journal of Electrochemical Society,2010

[ 147] Y.Kobayashi, K. Kakushima, P. Ahmet, V. Rampogal Rao, K. Tsutsui, H. Iwai, “Analysis of

dependence of short-channel effects in double-gate MOSFETs on channel thickness”,

Microelectronics Reliability, Vol.50, pp.332-337, March, 2010

[ 148] K. Kakushima, K. Okamoto, T. Koyanagi, M. Kouda, K. Tachi, T. Kawanago, J. Somg, P.

Ahmet, H. Nohira, K. Tsutsui, N. Sugii, T. Hattori, H. Iwai, “SrO capping effect for La2O3/ Ce-

Silicate gate dielectrics”, Microelectronics Reliability,Vol.50, pp.356-359,March, 2010

[ 149] Y. Kobayashi, K. Tsutsui, K. Kakushima, P. Ahmet, V. Ramgopal Rao, H. Iwai, “Analysis of

Threshold Voltage Variation in Fin Field Effect Transistors (FinFETs) Separating Role of Short

Channel Effects”, Japanese Journal of Applied Physics, Vol.49, pp.044201-1-044201-6, April,

2010

[ 150] H. Shimomura, K. Kakushima, H. Iwai, “Effect of High Frequency Noise Current Sources on Noise Figure for Sub-50 nm Node MOSFETs”, IEICE TRANSACTIONS on Electronics, Vol.

E93-C No.5, pp.678-684, May, 2010

[ 151] K. Kakushima, M. Nakagawa, P. Ahmet, K. Tsutsui, N. Sugii, T. Hattori, H Iwai, “Radio-

Page 9: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

9

frequency performance of a sub-100 nm metal-oxide field-effect transistor with high-k gate

dielectric”, Semiconductor Science and Technology, Vol. 25, No. 4, 045029, 2010

[ 152] A. Uedono, K. Tsutsui, S. Ishibashi, H. Watanabe, S. Kubota, Y. Nakagawa, B. Mizuno, T.

Hattori, H. Iwai, “Vacancy-Boron Complexes in Plasama Immersion lon-lmplanted Si Probed

by a Monoenergetic Positron Beam”, Japanese Journal of Applied Physics, Vol.49, 051301, May,

2010

[ 153] M. K. Bera, J. Song, P. Ahmet, K. Kakushima, N. Sugii, T. Hattori, H. Iwai, “Yttrium-scandium

oxide as high-k gate dielectric for germanium metal-oxide-semiconductor devices”,

Semiconductor Science and Technology , Vol.25, No. 6, 065008 ,May, 2010

[ 154] Y. Lee, K. Natori, H. Iwai, K. Kakushima, K. Shiraishi, “Size-Dependent Properties of Ballistic

Silicon Nanowire Field Effect Transistors”, JOURNAL OF APPLIED PHYSICS,Vol.107,

No.11,pp.113705, June, 2010

[ 155] S. Inamoto, J. Yamasaki, E. Okunishi, K. Kakushima, H. Iwai, N. Tanaka, “Annealing effects

on a high-k lanthanum oxide film on Si(001) analyzed by aberration-corrected transmission

electron microscopy/scanning transmission electron microscopy and electron energy loss

spectroscopy”, JOURNAL OF APPLIED PHYSICS,Vol.107, 124510, June, 2010

[ 156] K. Kakushima, K. Tachi, P. Ahmet, K. Tsutsui, N. Sugii, T. Hattori, H. Iwai, “Advantage of

further scaling in gate dielectrics below 0.5 nm of equivalent oxide thickness with La2O3 gate

dielectrics”, Microelectronics Reliability, Vol.50(6), pp.790-793, June, 2010

[ 157] Y. Lee, K. Kakushima, K. Shiraishi, K. Natori, H. Iwai, “Trade-off between density of states

and gate capacitance in size-dependent injection velocity of ballistic n-channel silicon nanowire

transistors”, APPLIED PHYSICS LETTERS, Vol.97(3), Art. No. 032101, July 19, 2010

[ 158] K. Kakushima, T. Koyanagi, K. Tachi, J. Song, P. Ahmet, K. Tsutsui, N. Sugii, T. Hattori, H.

Iwai, “Characterization of flatband voltage roll-off and roll-up behavior in La2O3/silicate gate

dielectric”, Solid-State Electronics, Vol. 54, No.7, pp. 720-723, July, 2010

[ 159] K. Kakushima, K. Tachi, M. Adachi, K. Okamoto, S. Sato, J. Song, T. Kawanago, P. Ahmet, K.

Tsutsui, N. Sugii, T. Hattori, H. Iwai, “Interface and electrical properties of La-silicate for direct

contact of high-k with silicon”, Solid-State Electronics, Vol. 54, pp. 715-719, July, 2010

[ 160] S. Sato, H. Kamimura, H. Arai, K. Kakushima, P. Ahmet, K. Ohmori, K. Yamada, H. Iwai,

“Electrical characterization of Si nanowire field-effect transistors with semi gate-around

structure suitable for integration”, Solid-State Electronics, Vol. 54, No.9, pp. 925-928,

September, 2010

[ 161] H. Shimomura, K. Kakushima, H. Iwai, “Equivalent Noise Temperature Representation for

Scaled MOSFETs”, IEICE TRANSACTIONS on Electronics, Vol. E93-C, No.10, pp.1550-1552,

October, 2010

[ 162] K. Kakushima, K. Okamoto, T. Koyanagi, M. Kouda, K. Tachi, T. Kawanago, J. Song, P.

Ahmet, K. Tsutsui, N. Sugii, T. Hattori, H. Iwai, “Selection of rare earth silicates for highly

scaled gate dielectrics”, Microelectronic Engineering, Vol.87, No.10, pp.1868-1871, October,

2010

[ 163] H. Wong, CK Wong, J. Liu, H. Iwai, “Growth of Dielectric-Embedded Silicon Nanocrystallites

for Light-Emitting Device Application”, JOURNAL of NANOSCIENCE and

NANOTECHNOLOGY, Vol.10, No.11, pp.7244-7249, November, 2010

[ 164] K. Shubhakar, K.L. Pey, S.S. Kushvaha, S.J. O’Shea, N. Raghavan, M. Bosman, M. Kouda, K.

Kakushima, H. Iwai, “Grain boundary assisted degradation and breakdown study in cerium oxide

gate dielectric using scanning tunneling microscopy”, APPLIED PHYSICS LETTERS, Vol.98,

Art.No. 072902, February, 2011

[ 165] S. Sato, K. Ohmori, K. Kakushima, P. Ahmet, K. Natori, K. Yamada, H. Iwai, “Experimental

Characterization of Quasi-Fermi Pontential Profile in the Channel of a Silicon Nanowire Field-

Effect Transistor with Four-Terminal Geometry”, Applied Physics Express, Vol.4, Art. No.

044201, April, 2011

[ 166] D. Zade, S. Sato, K. Kakushima, A. Srivastava, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii,

K. Natori, T. Hattori, C.K. Sarkar, H. Iwai, “Effects of La2O3 incorporation in HfO2 gated

nMOSFETs on low-frequency noise”, Microelectronics Reliability, Vol. 51, pp. 746-750, April,

2011

[ 167] H.Iwai, K. Natori, K. Shiraishi, J. Iwata, A. Oshiyama, K. Yamada, K. Ohmori, K. Kakushima,

P. Ahmet, “Si nanowire FET and its modeling”, Science China, Vol.54, No.5, pp. 1004-1011,

May, 2011 [ 168] S. Sato, K. Kakushima, P. Ahmet, K. Ohmori, K. Natori, K. Yamada, H. Iwai, “Structural

advantages of rectangular-like channel cross-section on electrical characteristics of silicon

nanowire field-effect transistors”, Microelectronics Reliability, Vol. 51, pp.879-884, May 2011

Page 10: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

10

[ 169] K. Tachi, S. Barraud, K. Kakushima, H. Iwai, S. Cristoloveanu, T. Ernst, “Comparison of low-

temperature electrical characteristics of gate-all-around nanowire FETs, Fin FETs and fully-

depleted SOI FETs”, Microelectronics Reliability, Vol. 51, pp.885-888, May, 2011

[ 170] Y. Lee, K. Kakushima, K. Natori, H. Iwai, “Corner effects on phonon-limited mobility in

rectangular silicon nanowire metal-oxide-semiconductor field-effect transistors based on

spatially resolved mobility analysis”, JOURNAL OF APPLIED PHYSICS,Vol.109, 113712,

June, 2011

[ 171] H.D.Trinh, G. Brammertz, E. Y. Chang, Senior Member, IEEE, C. I. Kuo, C. Y. Lu, Y. C. Lin,

H. Q. Nguyen, Y. Y. Wong, B.T. Tran, K. Kakushima, H. Iwai, “Electrical Characterization of

Al2O3 /n-InAs Metal-Oxide-Semiconductor Capacitors With Various Surface Treatments”,

IEEE ELECTRON DEVICE LETTERS, Vol.32, No.6, June 2011

[ 172] S. Sato, W. Li, K. Kakushima, K. Ohmori, K. Natori, K.Yamada, H. Iwai, “Eatraction of

additional interfacial states of silicon nanowire field-effect transistors”, APPLIED PHYSICS

LETTERS 98, 233506, June, 2011

[ 173] D. Zade, K. Kakushima, T. Kanda, Y.C.Lin, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, E.Y.

Chang, K. Natori, T. Hattori, H. Iwai, “Improving electrical characteristics of

W/HfO2/Ino.53Gao.47As gate stacks by altering deposition techniques”, Microelectronic

Engineering, Vol.88, No.7, pp.1109-1112, July, 2011

[ 174] D. Kitayama, T. Koyanagi, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K.

Natori, T. Hattori, H. Iwai, “Effect of thin Si insertion at metal gate/high-k interface on electrical

characteristics of MOS device with La2 O3”, Microelectronic Engineering, Vol.88, No.7,

pp.1330-1333, July 2011

[ 175] K. Tachi, N. Vulliet, S. Barraud, K. Kakushima, H. Iwai, S. Cristoloveanu, T. Ernst, “Influence

of source/drain formation process on resistance and effective mobility for scaled multi-channel

MOSFET”, Solid-State Electronics, Vol.65-66, pp.16-21, November-December, 2011

[ 176] H. Wong, Y. B.L, K. Kakushima,H. Iwai, “Subthreshold Characteristics of MOS Transistors

With CeO(2)/La(2)O(3) Stacked Gate Dielectric”, IEEE ELECTRON DEVICE LETTERS,

Vol.32, No.8, pp.1002-1004, August, 2011

[ 177] H. L. Qin, C.Troadec, K.E.J. Goh, K. Kakushima, H. Iwai, M. Bosman, K.L.Pey, “Electronic

properties of ultrathin high-k dielectrics studied by ballistic electron emission microscopy”,

Journal of Vacuum Science and Technology B, Vol.29, No.5, pp.052201-1-5, September, 2011

[ 178] M. Kouda, K. Ozawa, K. Kakushima, P. Ahmet, H. Iwai, Y. Urabe, T. Yasuda, “Preparation

and Electrical Characterization of CeO2 Films for Gate Dielectrics Application: Comparative

Study of Chemical Vapor Deposition and Atomic Layer Deposition Processes”, Japanese Journal

of Applied Physics,Vol.50, No.10, pp.10PA06-1-4, October, 2011

[ 179] M. Kouda, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori, T. Hattori,

H. Iwai, “Rare Earth Oxide Capping Effect on La2O3 Gate Dielectrics for Equivalent Oxide

Thickness Scaling toward 0.5nm”, Japanese Journal of Applied Physics, Vol.50, No.10,

pp.10PA04-1-4, October, 2011

[ 180] A. Abudukelimu, W. Yasenjiang, K. Kakushima, P. Ahmet, M. Geni, K. Natori, H. Iwai,

“Effects of Scattering Direction of Hot Electrons in the Drain of Ballistic n+–i–n+ Diode”,

Japanese Journal of Applied Physics,Vol.50, No.10, pp.104301-1-3, October, 2011

[ 181] D. Zade, T. Kanda, K. Yamashita, K. Kakushima, H. Nohira, P. Ahmet, K. Tsutsui, A.

Nishiyama, N. Sugii, K. Natori, T. Hattori, H. Iwai, “Capacitance-Voltage Characterization of

La2O3 Metal-Oxide-Semiconductor Structures on Ino.53Ga.0.47As Substrate with Different

Surface Treatment Methods”, Japanese Journal of Applied Physics, Vol.50, No.10, pp.10PD03-

1-4, October, 2011

[ 182] D. Kitayama, T. Kubota, T. Koyonagi, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N.

Sugii, K. Natori, T. Hattori, H. Iwai, “Silicate Reaction Control at Lanthanum Oxide and Silicon

Interface for Equivalent Oxide Thickness of 0.5nm* Adjustment of Amount of Residual Oxygen

Atoms in Metal Layer”, Japanese Journal of Applied Physics, Vol.50, No.10, pp.10PA05-1-5,

October, 2011

[ 183] K. Yamashita, Y. Numajiri, M. Watanabe, K. Kakushima, H. Iwai, H. Nohira, “Study of High-

k/Ino.53Ga.0.47As interface by Hard X-ray Photoemission Spectroscopy”, Japanese Journal of

Applied Physics, Vol.50, No.10, pp.10PD02-1-5, October, 2011

[ 184] M. Kouda, T. Kawanago, P. Ahmet, K. Natori, T. hattori, H. Iwai, “Interface and electrical properties of Tm2O3 gate dielectrics for gate oxide scaling in MOS devices”, Journal of Vacuum

Science and Technology B, Vol.29, No.6, pp.062202-1-4, November, 2011

[ 185] S. Sato, K. Kakushima, P. Ahmet, K. Ohmori, K. Natori, K. Yamada, H. Iwai, “Effects of corner

Page 11: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

11

angle of trapezoidal and triangular channel cross-sections on electrical performance of silicon

nanowire field-effect transistors with semi gate-around structure”, Solid-State Electronics,

Vol.65-66, pp.2-8, November-December, 2011

[ 186] S. Sato, K. Kakushima, K. Ohmori, K. Natori, K. Yamada, H. Iwai, “Electrical characteristics

of asymmetrical silicon nanowire field-effect transistors”, APPLIED PHYSICS LETTERS,

Vol.99, No.22, pp.223518-1-3, November, 2011

[ 187] T. Kawanago, Y. Lee, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori,

T. Hattori, H. Iwai, “EOT of 0.62 nm and High Electron Mobility in La-silicate/Si Structure

Based nMOSFETs Achieved by Utilizing Metal-Inserted Poly-Si Stacks and Annealing at High

Temperature”, IEEE Transactions on Electron Devices, Vol. 59, No.2, pp.269-276, February,

2012

[ 188] T. Kawanago, T. Suzuki, Y. Lee, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii,

K. Natori, T. Hattori, H. Iwai, “Compensation of oxygen defects in La-silicate gate dielectrics

for improving effective mobility in high-k/metal gate MOSFET using oxygen annealing process”,

Solid-State Electronics, Vol.68, pp.68-72, February, 2012

[ 189] H. Wong, B. L. Yang, K. Kakushima, P. Ahmet, H. Iwai, “Properties of CeOx/La2O3 gate

dielectric and its effects on the MOS transistor characteristics”, Vacuum, vol.86, No.7, pp.990-

993, February, 2012

[ 190] H. Wong, B. L. Yang, K. Kakushima, P. Ahmet, H. Iwai, “Effects of aluminum doping on

lanthanum oxide gate dielectric films,” Vacuum, vol.86, No.7, pp.929-932, February, 2012

[ 191] H.D. Trinh, Y. C. Lin, H.C. Wang, C.H. Chang, K. Kakushima, H. Iwai, T. Kawanago, Y. G.

Lin, C.M. Chen, Y. Y. Wong, G. N. Huang, M. Hudait, E. Y. Chang, “Effect of Postdeposition,

Annealing Temperatures on Electrical Characteristics of Molecular-Beam-Deposited HfO2 on

n-InAs/InGaAs Metal-Oxide-Semiconductor Capacitors”, Applied Physics Express Vol.5, No.2,

pp.021104-1-3, February, 2012

[ 192] T. Kawanago, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori, T.

Hattori, H. Iwai, “Covalent Nature in La-Silicate Gate Dielectrics for Oxygen Vacancy

Removal”, IEEE ELECTRON DEVICE LETTERS, Vol.33, No.3, pp.423-425, March, 2012

[ 193] M. Mamatrishat, M. Kouda, T. Kawanago, K. Kakushima, P. Ahmet, K. Tsutsui, Y. Kataoka,

A. Nishiyama, N. Sugii, K. Natori, T. Hattori, H. Iwai, “The effect of remote Coulomb scattering

on electron mobility in La2O3 gate stacked MOSFETs”, Semiconductor Science and Technology,

Vol.27, No.4, 045014, March, 2012

[ 194] M. Mamatrishat, M. Kouda, K. Kakushima, H. Nohira, P. Ahmet, Y. Kataoka, A. Nishiyama,

K. Tsutsui, N. Sugii, K. Natori, T. Hattori, H. Iwai, “Valance number transition and silicate

formation of cerrium oxide on Si(100)”, Vacuum, Vol.86, No.10, pp.1513-1516, April, 2012

[ 195] Y. Lee, K. Kakushima, K. Natori, H. Iwai, “Gate Capacitance Modeling and Diamater-

Drpendent Performance of Nanowire MOSFETs”, IEEE Transactions on Electron Deviices,

Vol.59, No.4, pp.1037-1045, April, 2012

[ 196] C. Dou, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori, T. Hattori, H.

Iwai, “Resistive switching behavior of a CeO2 based ReRAM cell incorporated with Si buffer

layer”, Microelectronics Reliability Vol.32, No.4, pp.688-691, April, 2012

[ 197] W. Feng, R. Hettiarachchi, S. Sato, K. Kakushima, M. Niwa, H. Iwai, K. Yamada, K. Ohmori,

“Advantages of Silicon Nanowire Metal-Oxide-Semiconductor Field-Effect Transistors over

Planar Ones in Noise Properties”, Japanese Journal of Applied Physics, Vol.51, pp.04DC06-1-

04DC06-5, April, 2012

[ 198] A. Abudukelimu, W. Yasenjiang, K. Kakushima, P. Ahmet, M. Geni, K. Natori, H. Iwai,

“Influence of strained drain on performance of ballistic channel devices”, Semiconductor

Science and Technology, Vol.27, No.5, 055001-1-5, May, 2012

[ 199] M. Mamatrishat T. Kubota, T. Seki, K. Kakushima, P. Ahmet, K. Tsutsui, Y. Kataoka, A.

Nishiyama, N. Sugii, K. Natori, T. Hattori, H. Iwai, “Oxide and interface trap densities

estimation in ultrathin W/ La2O3/Si MOS capacitors”, Microelectronics Reliability, Vol.52, No.6,

pp.1039-1042, June, 2012

[ 200] T. Suzuki, M. Kouda, P. Ahmet, H. Iwai, “La2O3 gate insulators prepared by atomic layer

deposition: Optimal growth conditions and MgO/La2O3 stacks for improved metal-oxide-

semiconductor characteristics”, Journal of Vacuum Science & Technology A, Vol.30, No.5,

pp.051507-1-8, July, 2012

[ 201] T. Kawanago, Y. Lee, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori, T. Hattori, H. Iwai, “Experimental study of electron mobility characterization in direct contact

La-silicate/Si structure based nMOSFETs”, Solid-State Electronics, Vol.74, pp.2-6, August,

2012

Page 12: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

12

[ 202] B.L. Yang, H. Wong, K. Kakushima, H. Iwai, “Improving the electrical characteristics of MOS

transistors with CeO2/ La2O3 stacked gate dielectric”, Microelectronics Realiability, Vol.52,

pp.1613-1616, August, 2012

[ 203] S.-L. Siu, W.-S. Tam, H. Wong, C.-W. Kok, K. Kakushima, H. Iwai, “Influence of multi-finger

layout on the subthreshold behavior of nanometer MOS transistors”, Microelectronics

Realiability, Vol.52, pp.1606-1609, August, 2012

[ 204] E. Miranda, T. Kawanago, K. Kakushima, J. Sune, H. Iwai, “Analysis and modeling of the gate

leakage current in advanced nMOSFET devices with severe gate–to-drain dielectric breakdown”,

Microelectronics Reliability, Vol.52, pp.1909-1912, September-October, 2012

[ 205] E. Miranda, S. Kano, C. Dou, K. Kakushima, J. Sune, H. Iwai, “Nonlinear conductance

quantization effects in CeO/SiO-based resistive switching devices”, APPLIED PHYSICS

LETTERS 101, 012910, 2012

[ 206] M. Kouda, T. Suzuki, K. Kakushima, P. Ahmet, H. Iwai, T. Yasuda, “Electrical Properties of

CeO2/La2O3 Stacked Gate Dielectrics Fabricated by Chemical Vapor Deposition and Atomic

Layer Deposition”, Japanese Journal of Applied Physics, Vol.51, pp.121101-1-121101-5,

December, 2012

[ 207] H. Wong, B.L. Yang, S. Dong, H. Iwai, K. Kakushima, P. Ahmet, “Current conduction and

stability of CeO2/La2O3 stacked gate dielectric”, APPLIED PHYSICS LETTERS 101, 233507,

December, 2012

[ 208] Y. Wu, C. Dou, F. Wei, K. Kakushima, K. Ohmori, P. Ahmet, T. Watanabe, K. Tsutsui, A.

Nishiyama, N. Sugii, K. Natori, K. Yamada, Y. Kataoka, T. Hattori, H. Iwai, “Influence of

Structural Parameters on Electrical Characteristics of Schottky Tunneling Field-Effect

Transistor and Its Scalability”, Japanese Journal of Applied Physics, Vol.52, pp.04CC28-1-

04CC28-5, April, 2013

[ 209] D.H. Zadeh, H. Oomine, Y. Suzuki, K. Kakushima, P. Ahmet, H. Nohira, Y. Kataoka, A.

Nishiyama, N. Sugii, K. Tsutsui, K. Natori, H. Iwai, “La2O3/Ino.53Ga.0.47As metal-oxide-

semiconductor capacitor with low interface state density using TiN/W gate alectrode”, Solid-

State Electronics, Vol.82, pp.29-33, April, 2013

[ 210] E. Miranda, S. Kano, C. Dou, J. Sune, K. Kakushima, H. Iwai, “Effect of an ultrathin SiO2

interfacial layer on the hysteretic current-voltage characteristics of CeOx-based metal-insulator-

metal structures”, Thin Solid Films, Vol.533, pp.38-42, April, 2013

[ 211] R. Tomita, H. Kimura, M. Yasuda, K. Maeda, S. Ueno, T. Tomizawa, Y. Kunimune, H.

Nakamura, M. Moritoki, H. Iwai, “Formation of high resistivity phases of nickel silicide at small

area”, Microelectronics Realiability, Vol.53, pp.659-664, April, 2013

[ 212] R. Tomita, H. Kimura, M. Yasuda, K. Maeda, S. Ueno, T. Tonegawa, T. Fujimoto, M. Moritoki,

H. Iwai, “Improvement on sheet resistance uniformity of nickel silicide by optimization of

silicidation conditions”,Microelectronics Realiability, Vol.53, pp.665-669, April, 2013

[ 213] M. Koyama, M. Casse, R. Coquand, S. Barraud, C. Vizioz , C. Comboroure, P. Perreau, V.

Maffini-Alvaro, C. Tabone, L. Tosti, S. Barnola, V. Delaye, F. Aussenac, G. Ghibaudo, H. Iwai,

G. Reimbold, “Study of carrier transport in strained and unstrained SOI tri-gate and omega-gate

silicon nanowire MOSFETs”, Solid-State Electronics, Vol.84, pp.46-52, June, 2013

[ 214] T. Kawanago, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama, N. Sugii, K. Tsutsui, K.

Natori, T. Hattori, H. Iwai, “Comparative study of electrical characteristics in(100) and

(110)surface-oriented nMOSFETs with direct contact La-silicate/Si interface structure”, Solid-

State Electronics, Vol.84, pp.53-57, June, 2013

[ 215] E. Miranda, T. Kawanago, K. Kakushima, J. Sune, H. Iwai, “Analysis and Simulation of the

Postbreakdown I-V Characteristics of n-MOS Transistors in the Linear Response Regime”, IEEE

ELECTRON DEVICE LETTERS, Vol.34, No.6, pp.798-800, June, 2013

[ 216] A. Nakajima, P. Liu, M. Ogura, T. Makino, S. Nishizawa, S. Yamasaki, H. Ohashi, K.

Kakushima, H. Iwai, “Temperature-Independent Two-Dimensional Hole Gas Confined at

GaN/AlGaN Heterointerface”, Applied Physics Express, Vol.6, pp.091002-1-091002-4, 2013

[ 217] C. Dou, T. Shoji,K. Nakajima, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama, N. Sugii,

H. Wakabayashi, K. Tsutsui, K. Natori, H. Iwai, “Characterization of interface state density of

three-dimensional Si nanostructure by charge pumping measurement”, Microelectronics

Realiability, Vol. , pp. , , 2013

[ 218] K. Tuokedaerhan, R. Tan, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama, N. Sugii, H.

Wakabayashi, K. Tsutsui, K. Natori, T. Hattori, H. Iwai, “Stacked sputtering process for Ti, Ta, and W carbide formation for gate metal application”, APPLIED PHYSICS LETTERS 103,

11908, September, 2013

[ 219] A. Nakajima, P. Liu, M. Ogura, T. Makino, S. Nishizawa, S. Yamasaki, H. Ohashi, K.

Page 13: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

13

Kakushima, H. Iwai, “Temperature-Independent Two-Dimensional Hole Gas Confined at

GaN/AlGaN Heterointerface”, Applied Physics Express Vol.6, No.9, pp.091002-1-4, September,

2013

[ 220] E. Miranda, T. Kawanago, K. Kakushima, J. Sune, H. Iwai, “Modeling of the output

characteristics of advanced n-MOSFETs after a severe gate-to-channel dielectric breakdown”,

Microelectronic Engineering, Vol.109, pp.322-325, September, 2013

[ 221] Y. C. Lin, H. D. Trinh, T. W. Chuang, H. Iwai, K. Kakushima, P. Ahmet, C. H. Lin, C. H. Diaz,

H. C. Chang, S. M. Jang, E. Y. Chang, “Electrical Characterization and Materials Stability

Analysis of La2O3/HfO2 Composite Oxides on n-In0.53Ga0.47As MOS Capacitors With Different

Annealing Temperatures”, IEEE ELECTRON DEVICE LETTERS, Vol.34, No.10, pp.1229-

1231, October, 2013

[ 222] Y. Sakurai, K. Kakushima, K. Ohmori, K. Yamada, H. Iwai, K. Shiraishi, S. Nomura,

“Photoluminescence characterization in silicon nanowire fabricated by thermal oxidation of

nano-scale Si fin structure”, Optics Express, Vol.22, Issue 2, pp.1997-2006, January, 2014

[ 223] K. Tuokedaerhan,K. Kakushima, Y. Kataoka, A. Nishiyama, N. Sugii, H. Wakabayashi, K.

Tsutsui, K. Natori, H. Iwai, “Atomically flat La-silicate/Si interface using tungsten carbide gate

electrode with nano-sized grain”, APPLIED PHYSICS LETTERS 104, 2, January 13, 2014

[ 224] K. Nayak, M. Bajaj, A. Konar, P.J. Oldiges, H. Iwai, K.V.R.M.Murali, V.R. Rao, “Negative

differential conductivity and carrier heating in gate-all-around Si nanowire FETs and its impact

on CMOS logic circuits”, Japanese Journal of Applied Physics, Vol.53, pp.04EC16-04EC16-7,

February, 2014

[ 225] T. Kawanago, K. Kakushima, Y. Kataoka, A. Nishiyama, N. Sugii, H. Wakabayashi, K. Tsutsui,

K. Natori, H. Iwai, “Gate Technology Contributions to Collapse of Drain Current in

AlGaN/GaN Schottky HEMT”, IEEE Transactions on Electron Deviices, Vol.61, No.3, pp.785-

792, March, 2014

[ 226]

14.国際学会発表リスト [1] T. Inoue, S. Horiuchi, H. Iwai, H. Shimizu, T. Ishida, “Micro-probeAuger analysis of Si

migration in Al metallization for LSI”, Proceedings of the 7th Conference on Solid State

Devices, 1975, Tokyo, Japan

[2] M. Konaka, H. Iwai, Y. Nishi, “Suppression of anomalous draincurrent in short channel

MOSFET”, Proceedings of the 10th Conference on Solid State Devices, 1978, Tokyo, Japan

[3] H. Nihira, M. Konaka, H. Iwai, Y. Nishi, “Anomalous drain currentin N-MOSFET's and its

suppression by deep ion implantation”, IEDM Tech. Dig. pp.487-491, 1978

[4] L. M. Dang, H. Iwai, Y. Nishi, S. Taguchi, “P-channel versus N-channel in MOS-ICs of

submicron channel lengths”, Proceedings of the 11thConference on Solid State Devices, 1979,

Tokyo, Japan

[5] H. Iwai, S. Kohyama, “Capacitance measurement technique in high density MOS structures”,

IEDM Tech. Dig. pp.235-238, 1980

[6] H. Iwai, K. Taniguchi, M. Konaka, S. Maeda, Y. Nishi, “Two dimensional nature of diffused

line capacitance in coplanar structures”, IEDM Tech. Dig. pp.728-731, 1980

[7] S. Onga, M. Konaka, K. Taniguchi, H. Iwai, L. M. Dang, “SUBMODAN -a composite

process/device simulation system for short-channel MOSFETs”, Proceedings of the 4th

International Symposium on Silicon Materials Science and Technolology, Vol. 81-5, pp.1020-

1028, 1981 [8] H. Otsuka, K. Watanabe, H. Nishimura, H. Iwai, H. Nihira, “Effect of intrinsic gettering on MOS

dynamic charge storage characteristics”, Extended Abstracts, Electrochemical Society Fall

Page 14: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

14

Meeting, Vol. 81-2, pp.962-964, 1981, Denver, USA

[9] S. Sawada, S. Maeda, Y. Matsumoto, H. Iwai, H. Nihira, O. Ozawa, “Degradation of thin gate

oxide under process induced electrical stress”, 162nd ECS Fall Meeting, Recent Newspapers,

October, 1982, Detroit, USA

[10] J. Oristian, H. Iwai, J. Walker, R. Dutton, “Small geometry MOS intrinsic and extrinsic

capacitance measurement test structure for VLSI”, IEEE Workshop on VLSI Test Chip structure,

February, 1984, San Diego,USA

[11] H. Iwai, J. Oristian, J. Walker, R. Dutton, “Small geometry MOS transistor measurements and

observed short and narrow channel effects”, Digest of Technical Papers, VLSI Symposium on

Technology, pp.78-79, June, 1984, San Diego, USA

[12] M. Pinto, R. Dutton, H. Iwai, C. Rafferty, “Computer-aids for analysis and scaling of extrinsic

devices”, IEDM Tech. Dig. pp.288-291, December, 1984

[13] H. Iwai, M. R. Pinto, C. S. Raffrety, J. E. Oristian, R. W. Dutton, “Analysis of short channel

effects on MOS transistor capacitance by two-dimensional simulation”, VLSI Process/Device

Modeling Workshop, May, 1985, Kobe, Japan

[14] Y. Niitsu, S. Taguchi, H. Fuji, Y. Shimamune, H. Iwai, K. Kanzaki, “Latch-up free CMOS

structure using shallow trench isolation”, IEDM Tech. Dig., pp.509-512, December, 1985

[15] T. Ohtani, K. Hashimoto, M. Matsui, J. Tsujimoto, H. Iwai, M. Saitoh, H. Shibata, H. Sasaki, M.

Isobe, J. Matsunaga, T. Iizuka, “A 25ns 1Mb CMOS SRAM”, ISSCC Digest of Technical Papers,

pp.264-265, February, 1987

[16] H. Iwai, Y. Niitsu, G. Sasaki, M. Norishima, K. Shino, Y. Sugimoto, K. Kanzaki, “1.2 mm high

performance direct ion-implanted emitter Bi-CMOS technology in comparison with poly

emitter”, ECS Spring Meeting, Recent Newspapers, May, 1987, Philadelphia, USA

[17] H. Iwai, Y. Niitsu, G. Sasaki, M. Norishima, K. Shino, Y. Unno, K. Tsugaru, H. Hara, Y.

Sugimoto, K. Kanzaki, “1.2μm Bi-CMOS technology with high performance ECL”, 17th

European Solid State Device Research Conference, pp.29-32, 1987, Bologna, Italy : also in Solid

State Devices, edited by E. Soncini and P. U. Calzolari, Elsevier Science Publishers B. V. (North-

Holland), pp.199-202, 1988

[18] H. Iwai, G. Sasaki, Y. Unno, Y. Niitsu, M. Norishima, Y. Sugimoto, K. Kanzaki, “0.8μm Bi-

CMOS technology with high fT ion-implanted emitter bipolar transistor”, IEDM Tech. Dig. ,

pp.28-31, December, 1987

[19] Y. Hiruta, F. Matsuoka, K. Hama, H. Iwai, K. Maeguchi, K. Kanzaki, “+BT instability in P+

poly gate MOS structure”, IEDM Tech. Dig., pp.28-31, December, 1987

[20] Y. Toyoshima, F. Matsuoka, H. Hayashida, H. Iwai, K. Kanzaki, “A study on gate oxide

thickness dependence of hot carrier induced degradation for n-MOSFETs”, Digest of

Technical Papers, Symposium on VLSI Technology, pp.39-40, May, 1988, San Diego, USA

[21] F. Matsuoka, K. Hama, H. Itoh, R. Nakata, H. Iwai, K. Kanzaki, “Elecromigration and related

resistance increase phenomenon on a tungsten filled via hole structure”, Proc. IEEE VLSI

Multilevel Interconnection Conference, pp.491-497, June, 1988, Santa Clara, USA

[22] F. Matsuoka, H. Hayashida, K. Hama, Y. Toyoshima, H. Iwai, K. Maeguchi, “Drain avalanche

hot hole injection mode on PMOSFETs”, in IEDM Tech. Dig., pp.18-21, December, 1988

[23] H. Hara, Y. Sugimoto, M. Noda, T. Nagamatsu, Y. Watanabe, H. Iwai, Y. Niitsu, G. Sasaki, K.

Maeguchi, “A 350 ps 50K 0.8μm BiCMOS gate array with shared bipolar cell structure”, IEEE

CICC, pp.8.5.1-8.5.4, May, 1989 , San Diego,USA

[24] H. Hayashida, Y. Toyoshima, Y. Suizu, K. Mitsuhashi, H. Iwai, K. Maeguchi, “Dopant

redistribution in dual gate W-polycide CMOS and its improvement by RTA”, Digest of

Technical Papers, VLSI Symposium on Technology, pp.29-30, May, 1989, Kyoto, Japan

[25] J. Wenstrand, H. Iwai, M. Norishima, G. Sasaki, Y. Niitsu, H. Tanimoto, T. Wada, “A

manufacturing-oriented design environment for fabrication process”, in Work Note, 6h VLSI

Process/Device Modeling Workshop, pp.27-28, May, 1989, Osaka, Japan

[26] Y. Hiruta, H. Oyamatsu, H. S. Momose, H. Iwai, K. Maeguchi, “Gate oxide thickness

dependence of hot carrier induced degradation on pMOSFETs”, 19th European Solid State

Device Conference, pp.732-735, September, 1989, Berlin, Germany

[27] Y. Niitsu, M. Norishima, G. Sasaki, H. Iwai, K. Maeguchi, “Comparison between poly emitter

bipolar characteristics with and without native oxide layers under various processes”, IEEE

Bipolar Circuit and Technology Meeting, pp.48-51, September, 1989, Mineapolis, USA

[28] H. S. Momose, Y. Niitsu, H. Iwai, K. Maeguchi, “Temperature dependence of emitter-base

reverse stress degradation and its mechanism analyzed by MOS structure”, IEEE Bipolar Circuit

and Technology Meeting, pp.98-101, September, 1989, Mineapolis, USA.

Page 15: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

15

[29] K. Tsugaru, M. Noda, G. Sasaki, H. Iwai, Y. Sugimoto, Y. Suwa, “A 10bit 40MHz ADC using

0.8μm Bi-CMOS technology”, IEEE Bipolar Circuit and Technology Meeting, pp.140-143,

September, 1989, Mineapolis, USA.

[30] J. Wenstrand, H. Iwai, R. W. Dutton, “A manufacturing-oriented environment for synthesis of

fabrication processes”, in Dig. Tech., IEEE International Conference on Computer-Aided

Design (ICCAD), pp.376-379, November, 1989, Santa Clara, USA.

[31] M. Norishima, Y. Niitsu, H. Iwai, K. Maeguchi, “Bipolar transistor design for low process-

temperature 0.5μm Bi-CMOS”, IEDM Tech. Dig., pp.231-240, December, 1989

[32] H. S. Momose, S. Kitagawa, K. Yamabe, H. Iwai, “Hot carrier related phenomena for n- and p-

channel MOSFETs with nitrided gate oxide by RTA”, IEDM Tech. Dig., pp.267-270, December,

1989

[33] H. S. Momose, S. Takagi, S. Kitagawa, K. Yamabe, H. Iwai, “Field dependent mobilities at RT

and 77K for n- and p-MOSFETs with nitrided gate oxide by RTP”, 20th IEEE Semiconductor

Interface Specialists Conference, p.I.5, December,1989, Ft. Lauderdale, Florida, USA

[34] H. Iwai, F. Matsuoka, H. Oyamatsu, H. S. Momose, K. Hama, Y. Toyoshima, H. Hayashida,

“BT Reliability for thin gate oxide n+ and p+ poly MOSFETs”, 20th IEEE Semiconductor

Interface Specialists Conference, p.II.5, December, 1989, Ft. Lauderdale, Florida, USA

[35] J. Wenstrand, H. Iwai, M. Norishima, H. Tanimoto, T. Wada, R. W. Dutton, “Intelligent

simulation for optimization of fabrication process”, Workshop on Numerical Modeling of

Process and Devices for Integrated Circuits: NUPAD III, pp.15-16, June, 1990, Honolulu,

Hawaii, USA.

[36] H. Iwai, H. S. Momose, S. Takagi, T. Morimoto, S. Kitagawa, S. Kambayashi, K. Yamabe, S.

Onga, “Analysis of an ONO gate film effect on n- and p-MOSFET mobilities”, Digest of

Technical Papers, VLSI Symposium on Technology, pp.131-132, June, 1990, Honolulu, Hawaii,

USA

[37] H. S. Momose, T. Morimoto, S. Takagi, K. Yamabe, S. Onga, H. Iwai, “Mechanical sterss

induced threshold voltage shifts for nitrided oxide gate n- and p-MOSFETs”, International

Conference on Solid State Device and Materials, pp.279-283, August, 1990, Sendai, Japan

[38] T. Morimoto, H. S. Momose, K. Yamabe, H. Iwai, “Ultra thin nitride gate MISFET operating

with tunneling gate current”, International Conference on Solid State Device and Materials,

pp.361-364, August, 1990, Sendai, Japan,

[39] H. Iwai, H. S. Momose, T. Morimoto, S. Takagi, K. Yamabe, “Comparison of hot carrier

degradations for n- and p-MOSFETs with various nitride-oxide gate films”, ESSDERC 90,

pp.287-290, September, 1990, Nottingham, England

[40] H. S. Momose, T. Morimoto, S. Takagi, K. Yamabe, S. Onga, H. Iwai, “New short-channel

effects on nitrided oxide gate MOSFETs”, ESSDERC 90, pp.149-152, September, 1990,

Nottingham, England

[41] T. Morimoto, H. S. Momose, K. Yamabe, H. Iwai, “Prevention of boron penetration from pt

poly gate by RTP produced thin gate oxide”, ESSDERC 90, pp.73-76, September, 1990,

Nottingham, England

[42] H. S. Momose, T. Morimoto, K. Yamabe, H. Iwai, “Relationship between mobility and residual-

mechanical-stress as measuredby Raman spectroscopy for nitrided-oxide-gate MOSFETs”,

IEDM Tech. Dig., pp.65-68, December, 1990

[43] H. Iwai, H. S. Momose, T. Morimoto, Y. Ozawa, K. Yamabe, “Stacked-nitride oxide gate

MISFET with high hot-carrier-immunity”, IEDM Tech. Dig., pp.235-238, December, 1990

[44] T. Morimoto, H. S. Momose, Y. Ozawa, K. Yamabe, H. Iwai, “Effects of boron penetration and

resultant limitations in ultra thinpure-oxide and nitrided-oxide gate-films”, IEDM Tech. Dig.,

pp.429-432, December, 1990

[45] Invited Talk: H. Iwai, “Hot carreir induced degradation mode in thin gate insulator dual gate

MISFETs”, Workshop on "The Physics of Hot-Carrier Degradation in Silicon MOSFETs" in

1991 INFOS, April, 1991, Liverpool, UK, : also Edited by W. Eccleston and M. Uren,

"Insulating Films on Semiconductors 1991," pp.83-92, 1991, Adam Hilger, Bristol, Philadelphia

and New York

[46] M. Tsuchiaki, H. S. Momose, T. Morimoto, H. Iwai, “New charge pumping method fordirect

measurement of spatial distribution of fixed charge”, Digest of Technical Papers, VLSI

Symposium on Technology, pp.19-20, May, 1991, Oiso, Japan

[47] T. Morimoto, H. S. Momose, Y. Ozawa, K. Yamabe, H. Iwai, “Limits on gate insulator thickness

for MISFET operation in pure-oxide and nitrided-oxide gate cases”, International Conference on

Solid State Device and Materials, pp.23-25, August, 1991, Yokohama, Japan

Page 16: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

16

[48] Y. Katsumata, I. Katakabe, N. Itoh, E. Tsukioka, Y. Yoshino, H. Iwai, “Stress analysis around

trench isolation for bipolar LSIs”, IEEE Bipolar Circuit and Technology Meeting, pp.271-274,

September, 1991, Mineapolis, USA

[49] B. Baccus, T. Wada, N. Shigyo, M. Norishima, H. Iwai, “Impact of ion-implantation damage

and transient enhanced diffusion on advanced bipolar technologies - comparisons between

experiments and non-equilibrium diffusion modeling”, IEEE Bipolar Circuit and Technology

Meeting, pp.275-278, September, 1991, Mineapolis, USA

[50] H. S. Momose, T. Morimoto, Y. Ozawa, M. Tsuchiaki, M. Ono, K. Yamabe, H. Iwai, “Very

lightly nitrided oxide gate MOSFETs for deep-sub-micron CMOS devices”, IEDM Tech. Dig.,

pp.359-362, December, 1991

[51] T. Morimoto, H. S. Momose, T. Iinuma, I. Kunishima, K. Suguro, H. Okano, I. Katakabe, H.

Nakajima, M. Tsuchiaki, M. Ono, Y. Katsumata, H. Iwai, “A NiSi salicide technology for

advanced logic devices”, IEDM Tech. Dig., pp.653-656, December, 1991

[52] T. Iizima, A. Nishiyama, Y. Ushiku, T. Ohguro, I. Kunishima, K. Suguro, H. Iwai, “A novel

selective Ni3Si contact plug technique for deep-submicron ULSIs”, VLSI Symposium on

Technology, pp.70-71, June, 1992, Siattle, Washington, USA

[53] T. Iinuma, K. Inou, H. Nakajima, S. Matsuda, I. Kunishima, K. Suguro, Y. Katsumata, H. Iwai,

“A self-aligned emitter base NiSi electrode technology for advanced high speed bipolar LSIs”,

IEEE Bipolar/BiCMOS Circuits and Technology Meeting, pp.92-95, October, 1992, Mineapolis,

USA

[54] N. Itoh, Yoshino, S. Matsuda, Y. Tsuboi, K. Inou, Y. Katsumata, H. Iwai, “Optimization of

shallow and deep trench isolation structureses for ultra-high-speed bipolar LSIs”, IEEE

Bipolar/BiCMOS Circuits and Technology Meeting, pp.104-107, October, 1992, Mineapolis,

USA

[55] K. Inou, M. Kondo, N. Itoh, Y. Tsuboi, Y. Yoshino, H. Nakajima, Y. Katsumata, H. Iwai,

“Analysis of process margins for emitter-base self-aligned structures by combination of

simulation and experiment”, IEEE Bipolar/BiCMOS Circuits and Technology Meeting, pp.113-

116, October, 1992, Mineapolis, USA

[56] M. Saito, T. Yoshitomi, M. Ono, Y. Akasaka, H. Nii, S. Matsuda, H. S. Momose, Y. Katsumata,

Y. Ushiku, H. Iwai, “An SPDD p-MOSFET structure suitable for 0.1 and sub 0.1 micron channel

length and its electrical characteristics”, in IEDM Tech. Dig., pp.897-900, December, 1992, San

Francisco, USA

[57] Invited Talk: H. Iwai, “Application of T-CAD to Advanced Silicon Devices: Its Usage”,

Requirements and Problem, in Symposium on Semiconductor Modeling and Simulation Tech.

Dig., pp.11-14, March, 1993, Taipei, Taiwan, ROC

[58] C.Fiegna, H.Iwai, T Kimura, S.Nakamura, E.Sangiorgi, B.Riccò, “Monte Carlo Analysis of Hot

Carrier Effects in Ultra Small Geometry MOSFETs”, International Workshop on VLSI Process

and Device Modeling:VPAD, pp.102-103, May,1993. Nara, Japan.

[59] Y.Tsuboi, C. Fiegna, E.Sangiorgi, B.Riccò, T.Wada, Y.Katsumata, H.Iwai, “Analysis of

Collector Signal Delay in Bipolar Devices Using a Monte Carlo Method”, International

Workshop on VLSI Process and Device Modeling:VPAD,pp.98-99,May,1993, Nara, Japan

[60] S.Matsuda N.Itoh, C.Yoshino, Y.Tsuboi, Y.Katsumata, H.Iwai, “Analysis of Mechanical Stress

Associated with Trench Isolation Using a Two-Dimensional Simulation”, International

Workshop on VLSI Process and Device Modeling: VPAD, pp.64-65,May,1993 Nara, Japan

[61] Y.Ushiku, H.Ono, T.Iijima, N.Ninomiya, A.Nishiyama, H.Iwai, H.Hara, “Planarized Silver

Interconnect Technology with a Ti Self-Passivation Technique for Deep Sub-micron ULSIs”,

Digest of Technical Papers, Symposium on VLSI Technology, pp.121-122, May, 1993, Kyoto,

Japan

[62] T.Yoshitomi, M.Saito, H.Oguma, Y.Akasaka, M.Ono, H.Nii, Y.Ushiku, H.Iwai, H.Hara, “Ultra-

Shallow Buried-Channel P-MOSFET with Extremely High Transconductance”, Digest of

Technical Papers, Symposium on VLSI Technology, pp.99-100, May, 1993, Kyoto, Japan

[63] S.Matsuda, N.Itoh, H.Nakajima, K.Inou, T.Iinuma,C.Yoshino, Y.Tsuboi, Y.Katsumata, H.Hara,

H.Iwai, “A Low Stress Trench Isolation Structure and Its Electrical Characteristics of 20 ps

High-Speed ECL”, Digest of Technical Papers, Symposium on VLSI Technology, pp.73-74,

May, 1993, Kyoto, Japan

[64] C.Fiegna, H.Iwai, T.Wada, T.Saito, E.Sangiorgi, B.Riccò, “A New Scaling Methodology for the

0.1-025µm MOSFET”, Digest of Technical Papers, Symposium on VLSI Technology , pp.33-

34, May, 1993, Kyoto, Japan

[65] T.Ohguro,T.Morimoto,Y.Ushiku, H.Iwai, “Analysis of Anomalously Large Junction Leakage

Page 17: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

17

Current of Nickel Silicided N-Type Diffused Layer and Its Improvement”, International

Conference on Solid State Devices and Materials, pp.192-194, August,1993, Chiba, Japan

[66] T.Iijima, H.Ono, N.Ninomiya, Y.Ushiku,T.Hatanaka,A.Nishiyama, H.Iwai, “Analysis of Ti

Self-Passivation on Silver Interconnects for ULSIs Applications”, International Conference on

Solid State Devices and Materials, pp.183-185,August ,1993, Chiba, Japan

[67] N.Itoh, Y.Katsumata, H.Iwai, “Noise figure degradation under emitter-base reverse stress for

high-frequency bipolar Ics”, ESSDERC 93, pp. 727-730, September,1993, Grenoble, France

[68] C.Fiegna, H.Iwai, E.Sangiorgi, B.Ricco, “Analysis of Carrier Transport and Heating in Ultra-

Small SOI N-MOSFETs”, ESSDERC 93, pp. 675-678, September,1993, Grenoble, France

[69] Invited Talk: H.Iwai, “CMOS Device Architecture and Technology for the 0.25Micron to 0.025

Micron Generation”, ESSDERC 93, pp. 513-520, September,1993, Grenoble, France

[70] T.Ohguro,T.Morimoto, A.Nishiyama, Y.Ushiku, H.Iwai, “Comparison of Ti and Ni salicide as

regards the electrical conductance of silicided films”, ESSDERC 93, pp. 481-484,

September,1993, Grenoble, France

[71] Y.Katsumata, N.Itoh, H.Nakajima, K.Inou, T.Iinuma, S.Matsuda, C.Yoshino, Y.Tsuboi, H.Iwai,

“Sub-20 ps ECL Bipolar Technology with High Breakdown Voltage”, ESSDERC 93, pp. 133-

136, September,1993, Grenoble, France

[72] M.Ono,M.Saito, T.Yoshitomi, C.Fiegna, T.Ohguro, H.Iwai, “Sub-50 nm Gate Length N-

MOSFETs with 10nm phosphorus Source and Drain Junction,” in IEDM Tech.Dig., pp.119-122,

December, 1993

[73] T.Ohguro, K.Yamada, N.Sugiyama, K.Usuda, Y.Akasaka, T.Yoshitomi, C.Fiegna, M.Ono,

M.Saito, H.Iwai, “Tenth Micron P-MOSFET’s with Ultra-Thin Epitaxial Channel Layer Grown

by Ultra-High Vacuum CVD”, in IEDM Tech.Dig.pp.433-436, December, 1993

[74] M.Ono, M.Saito, T.Yoshitomi, C.Fiegna, T.Ohguro, H.S.Momose, H.Iwai, “Influence of High

Substrate Doping Concentration on the Hot-Carrier and Other Characteristics of Small-

Geometry CMOS Transistors Down to the 0.1µm Generation”, Digest of Technical Papers,

Symposium on VLSI Technology, pp.147-148, June, 1994, Honolulu, Hawaii, USA

[75] Invited Talk: H.Iwai, Y.Katsumata, S.Matsuda, C.Yoshino, “Local Stress Analysis of

Semiconductor Devices”, Proceedings of 22nd Symposium on ULSI Ultra Clean Technology,

pp.252-264,August,1994

[76] K.Inou, S.Matsuda, N.Nakajima, N.Sugiyama, K.Usuda, S.Imai, Y.Kawaguchi, K.Yamada,

Y.Katsumata, H.Iwai, “52 GHz Epitaxial Base Bipolar Transistor with High Early Voltage of

26.5V with Box-like Base and Retrograded Collector Impurity Profiles”, IEEE Bipolar/BiCMOS

Circuits and Technology Meeting, pp.217-220,October,1994, Minneapolis, USA

[77] N.Nakajima, N.Itoh, K.Inou, T.Iinuma, S.Matsuda, C.Yoshino, Y.Katsumata, H.Iwai, “0.5µm

Silicon Bipolar Transistor Technology for Analog Applications”, IEEE Bipolar/BiCMOS

Circuits and Technology Meeting, pp.213-216, October,1994, Minneapolis, USA

[78] N.Itoh, Y.Yoshida, S.Watanabe, Y.Katsumata, H.Iwai, “The Analysis of Silicon Bipolar

Transistor Scaling-Down Scheme for Low Noise and Low Power Analog Application”, IEEE

Bipolar/BiCMOS Circuits and Technology Meeting, pp.60-63, October, 1994, Minneapolis,

USA

[79] Invited Talk: H.Iwai, Y.Katsumata, M.Saito, “Solid-Phase Diffusion Technique”, Technical

Proceedings, SEMI Technology Symposium, pp.80-88, November, 1994

[80] C.Fiegna, H.Iwai, M.Saito, E.Sangiorgi, “Application of Semiclassical Device Simulation to

Trade-Off Studies Sub-0.1µm MOSFETs”, in IEDM Tech.Dig., pp.347-350, December, 1994

[81] S.Matsuda, C.Yoshino, H.Nakajima, K.Inou, T.Yoshitomi, Y.Katsumata, H.Iwai, “Tree-

Dimensional Mechanical Stress Analysis of Trench Isolation along{111}Gliding Planes”, in

IEDM Tech.Dig., pp.885-888, December, 1994

[82] H.S.Momose, M.Ono, T.Yoshitomi, T.Ohguro, S.Nakamura, M.Saito, H.Iwai, “Tunneling gate

oxide approach to ultra-high current drive in small-geometry MOSFETs”, in IEDM Tech.Dig.,

pp.593-596, December, 1994

[83] M.Ono, M.Saito, T.Yoshitomi, C.Fiegna, T.Ohguro, H.Iwai, “Fabrication of Sub-50nm Gate

Length n-MOSFETs and their Electrical Characteristics”, Twenty-second Annual Conference

on the Physics and Chemistry of Semiconductor Interfaces, p.SU1940, January, 1995

[84] T.Ohguro, M.Saito, K.Endo, M.Kakumoto, T.Yoshitomi, M.Ono, H.S.Momose, H.Iwai, “A

High Frequency 0.35 µm Gate Length Power Silicon NMOSFET Operating with Breakdown

Voltage of 13 V”, Proceeding of 1995 International Symposium on Power Semiconductor

Devices & Ics, pp.114-118, May, 1995, Yokohama, Japan

[85] Invited Talk: H.Iwai, H.S.Momose, Y.Katsumata, “Si-MOSFET Scaling Down to Deep-Sub

Page 18: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

18

0.1-Micron Range and Future of Silicon LSI”, Proceeding of Technical Papers, International

Symposium on VLSI Technology, Systems, and Applications, pp.262-267, May, 1995, Taipei,

Taiwan, ROC

[86] C.Yoshino, K.Inou, S.Matsuda, H.Nakajima, Y.Tsuboi, H.Naruse, H.Sugaya, Y.Katsumata,

H.Iwai, “A 62.8 GHz fmax LP-CVD Epitaxially Grown Silicon Base Bipolar Transistor with

Extremely High Early Voltage of 85.7 V”, Digest of Technical Papers, Symposium on VLSI

Technology, pp.131-132, June, 1995, Kyoto, Japan

[87] M.Saito, M.Ono, R.Fujimoto, C.Takahashi, H.Tanimoto, N.Ito, T.Ohguro, T.Yoshitomi,

H.S.Momose, H.Iwai, “Advantage of Small Geometry MOSFETs for High-Frequency Analog

Applications under Low Power Supply Voltage of 0.5 V”, Digest of Technical Papers,

Symposium on VLSI Technology, pp.71-72, June, 1995, Kyoto, Japan

[88] T.Ohguro, N.Sugiyama, K.Imai, K.Usuda, M.Saito, T.Yoshitomi, M.Ono, H.S.Momose,

H.Iwai,”The influence of oxygen at epitaxial Si/Si substrate interface for 0.1 µm epitaxial Si

channel N-MOSFETs grown by UHV-CVD”, Digest of Technical Papers, Symposium on VLSI

Technology, pp.21-22, June, 1995, Kyoto, Japan

[89] T.Yoshitomi, M.Saito, T.Ohguro, M.Ono, H.S.Momose, H.Iwai, “Silicided Silicon-Sidewall

Source and Drain (S4D) structure for high-performance 75-nm gate length pMOSFETs”, Digest

of Technical Papers, Symposium on VLSI Technology, pp.11-12, June, 1995, Kyoto, Japan

[90] Invited Talk: H.Iwai, H.S.Momose, M.Saito, M.Ono, Y.Katsumata, “The future of ultra-small-

geometry MOSFETs beyond 0.1 micron”, in 1995 INFOS, Villard-de-Lans, June, 1995, France :

also Edited by S. Cristoloveanu and N.Gillemot, “Insulating Films on Semiconductors 1995”,

pp.147-154, 1995

[91] T.Yoshitomi, M.Saito, T.Ohguro, M.Ono, H.S.Momose, H.Iwai, “A High Performance 0.15 µm

Single Gate CMOS Technology”, International Conference on Solid State Devices and Materials,

pp.222-224, August, 1995, Osaka, Japan

[92] K.Inou, Y.Katsumata, S.Matsuda, H.Naruse, H.Sugaya, H.Iwai, “Improvement of Narrow

Emitter Bipolar Transistor Performance by In-situ Highly Doped Arsenic Polysilicon

Technique”, IEEE Bipolar/BiCMOS Circuits and Technology Meeting, pp.93-96, September,

1995, Minneapolis, USA

[93] T.Ohguro, S.Nakamura, E.Morifuji, M.Ono, T.Yoshitomi, M.Saito, H.S.Momose, H.Iwai,

“Nitrogen-doped nickel monosilicide technique for deep submicron CMOS salicide”, IEDM

Tech. Dig., pp.453-546, December, 1995

[94] Invited Talk: H.Iwai, “Si-MOSFET downsizing into deep-sub-0.1 µm regime and images of

future silicon LSIs towards 2010s”, FUET‘96, International Symposium on the Basic of Future

Electronics technology, pp.57-64, February, 1996, Oiso, Japan

[95] Plenary Invited Talk: H.Iwai, W.Fichtner, R.W.Dutton, “TCAD for Sub-0.1 Micrometer Era –

Present Status & Future – “, 1996 Semiconductor Technology CAD Workshop & Exhibition,

pp.1-42, May, 1996, Taiwan, ROC

[96] T.Ohguro, E.Morifuji, M.Saito, M.Ono, T.Yoshitomi, H.S.Momose, N.Ito, H.Iwai, “0.2 µm

analog CMOS with very low noise figure at 2 GHz operation”, Digest of Technical Papers,

Symposium on VLSI Technology, pp.132-133, June, 1996, Honolulu, Hawaii, USA

[97] T.Yoshitomi, T.Ohguro, M.Saito, M.Ono, E.Morifuji, H.S.Momose, H.Iwai, “High Performance

0.15 µm Single Gate Co Salicide CMOS”, Digest of Technical Papers, Symposium on VLSI

Technology, pp.34-35, June, 1996, Honolulu, Hawaii, USA

[98] Invited Talk: H.Iwai, “Ultra-small MOSFET Limits and Device Prospects for the Year 2010”,

IEEE Silicon Nanoelectronics Workshop, June, 1996, Honolulu, Hawaii,USA

[99] T.Yoshitomi, M.Saito, T.Ohguro, M.Ono, H.S.Momose, H.Iwai, “Hot-Carrier Reliability of S4D

n-MOSFETs”, ESSDERC ’96, pp.65-68, September, 1996, Bologna, Italy

[100] Plenary Invited Talk: H.Iwai, “Recent advances and future trends of ULSI technologies”,

ESSDERC’96, pp.46-52, September,1996, Bolonga, Italy

[101] H.S.Momose, S.Nakamura, Y.Katsumata, H.Iwai, “Thin Gate Dielectrics for future CMOS

Applications”, 27th IEEE Semiconductor Interface Specialists Conference, 8.1, December, 1996,

San Diego, California,USA

[102] H.S.Momose, E.Morifuji, T.Yoshitomi, T.Ohguro, M.Saito, T.Morimoto, Y.Katsumata, H.Iwai,

“High-frequency AC Characteristics of 1.5 nm Gate Oxide MOSFETs”, IEDM Tech. Dig.,

pp.105-108, December, 1996

[103] T.Ohguro,M.Saito, E.Morifuji, K.Murakami, K.Matsuzaki, T.Yoshitomi, T.Morimoto,

H.S.Momose, Y.Katsumata, H.Iwai, “High efficiency 2 GHz power Si-MOSFET design under

low supply voltage down to 1V”, IEDM Tech. Dig., pp.83-86, December, 1996

Page 19: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

19

[104] Invited Talk: H.Iwai, “Future High Performance Technologies for Sub-0.1 µm Devices”,

Semiconductor Technology Symposium, SEMICON Korea 97, pp.IV 30-39, February, 1997,

Korea

[105] T.Ohguro, S.Nakamura, E.Harakawa, E.Morifuji, T.Yoshitomi, T.Morimoto, H.S.Momose,

Y.Katsumata, H.Iwai, “Salicide Technology for Advanced CMOS Devices”, Semiconductor

technology Symposium, SEMICON Korea 97, pp.Ⅱ57-66, February, 1997, Korea

[106] T.Ohguro, S.Nakamura, M.Saito, M.Ono, H.Harakawa, E.Morifuji, T.Yoshitomi, T.Morimoto,

H.S.Momose, Y.Katsumata, H.Iwai, “Ultra-shallow Junction and Salicide Techniques for

Advanced CMOS Devices”, Proceedings of the Sixth International Symposium on Ultralarge

Scale Integration Science and Technology, Electrochemical Society, pp.275-295, May, 1997

[107] H.S.Momose, S.Nakamura, Y.Katsumata, H.Iwai, “Ultra-thin Gate Oxide Technology for High

Performance CMOS”, Proceedings of the Sixth International Symposium on Ultralarge Scale

Integration Science and Technology, Electrochemical Society, pp.235-246, May, 1997

[108] Invited Talk: H.Iwai, “RF CMOS Technology”, Symposium on ULSI Technology & Systems,

May, 1997, Hsinchu, Taiwan, ROC

[109] H.S.Momose, S.Nakamura,T.Ohguro, Y.Katsumata, H.Iwai, “Uniformity and Reliability of

1.5nm direct tunneling gate oxide MOSFETs”, Symposium on VLSI Technology, pp.15-16,

June, 1997, Kyoto, Japan

[110] T.Ohguro, S.Nakamura, E.Morifuji, Y.Katsumata, H.Iwai, “0.25µm CoSi2 salicide technology

thermally stable upto 1000C with high TDDB reliability”, Symposium on VLSI Technology,

pp.102-103, June, 1997, Kyoto, Japan

[111] H.S.Momose, H.Iwai, “Low power, low voltage integrated circuit”, Internal Summer School on

Advanced Microelectronics, June, 1997,Grenoble, France

[112] H.Iwai, “Silicon MOSFET scaling beyond 0.1µm”, International Microelectronics Conference,

pp.11-18, Nis, September, 1997, Yugoslavia

[113] H.S.Momose, S.Nakamura, Y.Katsmata, H.Iwai, “Tunneking gate oxide MOSFET technology”,

Europian Solid State Device Research Conference (ESSDERC), pp.133-143, September, 1997,

Ludwigberg, Germany

[114] H.Nii, C.Yoshino, H.Nakajima, Y.Katsumata, H.Iwai, “0.3µm BiCMOS technology for mixed

analog/digital application systems”, IEEE bipolar/BiCMOS Circuit and Technology

Conference(BCTM), pp.68-71, September, 1997, Minneapolis, MN, USA

[115] H.Iwai, “CMOS downsizing and future concept of Si-LSI”, International Conference on VCLSI

and CAD, pp.162-167, November, 1997, Seoul, Korea

[116] H.S.Momose, S.Nakamura, T.Ohguro, Y.Katsumata, H.Iwai, “A study of hot-carrier degradation

in n- and p-MOSFETs with ultra-thin gate oxide in the direct-tunneling regime”, IEEE

International Electron Devices Meeting (IEDM), pp.453-456, December, 1997, Washington DC,

USA

[117] T.Ohguro, H.Naruse, H.S.Momose, Y.Katsumata, H.Iwai, “0.18µm low voltage / low power RF

CMOS with zero Vtn analog MOSFEs made by undoped epitaxial channel technique”, IEEE

International Electron Devices Meeting (IEDM), pp.837-840, December, 1997, Washington DC,

USA

[118] Invited Talk: H. Iwai, “The downsizing of silicon devices and the impact on computers and

communications”, International Conference on Computers and Devices for Communications

(CODEC’98), pp.4-13, January, 1998, Calcutta, India

[119] Invited Talk: H. Iwai, “Scaling CMOS below 0.1μm”, A Workshop Honoring of the Career of

Robert H. Dennard on the Occasion of the 320th Anniversary of the 1-Transistor DRAM Memory

Cell, May, 1998, Yorktown Heights, NY, USA

[120] H. Iwai, “CMOS - year 2010 and beyond ; from technological side”, IEEE Custom Integrated

Circuit Conference (CICC’98), pp.141-148, May, 1998, Santa Clara, USA

[121] Invited Talk: Y. Unno, H. Iwai, “Future trends in semiconductor technologies, -- from industrial

view point,” 1998 Advanced Research Workshop, Future Trends in Microelectronis: Off the

Beaten Path, May 31- June 5, 1998, Ile des Embiez, France

[122] H. S. Momose, S. Nakamura, Y. Katsumata, H. Iwai, “Study of direct-tunneling gate oxides fro

CMOS applications”, 3rd International Symposium on Plasma-Induced Damage, pp.30-33, June,

1998, Honolulu, Hawaii, USA

[123] T. Ohguro, H. Narus, H. Sugaya, S. Nakamura, E. Morifuji, H. Kimijima, T. Yoshitomi, T.

Morimoto, H. S. Momose, Y. Katsumata, H. Iwai, “High performance RF characteristics of

raised gate/source/drain CMOS with Co salicide”, Dig. Tech., Symp on VLSI Tech., pp.136-

137, June, 1998, Hololulu, Hawaii, USA

Page 20: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

20

[124] T. Yoshitomi, H. Kimijima, S. Ishizuka, Y. Miyahara, T. Ohguro, E. Morifuji, T. Morimoto, H.

S. Momose, Y. Katsumata, H. Iwai, “A study of self-aligned doped channel structure for low

power and low 1/f noise operation”, Dig. Tech., Symp on VLSI Tech., pp.98-99, June, 1998,

Hololulu, Hawaii, USA

[125] H. Momose, R. Fujimoto, S. Otaka, E. Morifuji, T. Ohguro, T. Yoshitomi, H. Kimijima, S.

Nakamutra, T. Morimoto, Y, Katsumata, H. Tanimito, H. Iwai, “RF noise in 1.5 nm gate oxide

MOSFETs and the evaluation of NMOS LNA circuit integrated on a chip”, Dig. Tech., Symp

on VLSI Tech., pp.96-97, June, 1998 , Honolulu, Hawaii,USA

[126] E. Morifujim C. E. Biber, W. Bachtold, T. Ohguro, T. Yoshitomi, H. Kimijima, T. Morimoto,

H. S. Momose, Y. Katsumata, H. Iwai, “RF noise study of small gate width Si-mOSFETs up to

8 GHz applications”, International Conference on Solid State Devices and Materiasl, pp.80-81,

September, 1998, Hiroshima, Japan

[127] Plenary Invited Talk: H. Iwai, “Current status and future of advanced CMOS technologies –

digital and analog aspects --”, Int Conf. on Advanced Semiconductor Devices and

Microelectronics (ASDAM’98), pp.1-10, October, 1998, Smolenice, Slovakia

[128] Invited Talk: H. Iwai, “CMOS Scaling toward its limits”, Int. Conf. on Solid-State and

Integrated Circuit Technology (ICSICT’98), pp.31-34, October,1998, Beijing, China

[129] Invited Talk: H. Iwai, “Thin film technology for CMOS downsizing towards its limit”,

International Workshop on Development of Thin Film for Future ULSI's, p.17, 1998

[130] Invited Talk: H. Iwai, H. S. Momose, “Ultra-thin gate oxide – performance and reliability”, Dig.

Tech., pp.163-166, December, 1998, San Francisco, USA

[131] E. Morifujim T. Ohguro, T. Yoshitomi, H. Kimijima, T. Morimoto, H. S. Momose, Y. Katsumata,

H. Iwai, “Process induced damage on RFCMOS”, Tech. Dig. IEDM, Dig. Tech., pp.956-968,

December, 1998, San Francisco, USA

[132] T. Ohguro, H. Naruse, H. Sugaya, H. Kimijima, E. Morifuji, T. Yoshitomi, T. Morimoto, H. S.

Momose, Y. Katsumata, H. Iwai, “0.12µm Raised Gate/source/Drain Epitaxial Channel NMOS

Technology”, Tech. Dig. IEDM, Dig. Tech., pp.927-930, December, 1998, San Francisco, USA

[133] H. S. Momose, H. Kimijima, S.Ishizuka, Y,. Miyahara, T. Ohguro, T. Yoshitomi, E. Morifuji, S.

Nakamura, T. Morimoto, Y. Katsumata, H. Iwai, “A study of flicker noise in n- and p-MOSFETs

with ultra-thin gate oxides in the direct-tunneling regime”, Tech. Dig. IEDM, Dig. Tech., pp.923-

926, December, 1998, San Francisco, USA

[134] T. Yoshitomi, Y. Sugawara, E. Morifuji, T. Ohguro, H. Kimijima, T. Morimoto, H. S. Momose,

Y. Katsumata, H. Iwai, “On-chip spiral inductors with diffused shields using channel-stop

implant”, Tech. Dig. IEDM, Dig. Tech., pp.540-543, December, 1998, San Francisco, USA

[135] Y. Katsumata, T. Ohguro, H. S. Momose, E. Morifuji, H. Iwai, “ RF CMOS technology,” 1998

Asa-Pacific Microwave Conference, Workshop, WS2. Leading Edge Silicon Devices and Their

Applications to Microwave/Millimeter-Wave Circuits, pp.3-20, December, 1998, Yokohama,

Japan

[136] Plenary Invited Talk: H. Iwai, “RF CMOS technology”, Int, Electron Devices and Material

Symposia (IEDMS’98), pp.1-01, December, 1998, Tainan, Taiwan, ROC

[137] H. Iwai, “Downsizing of CMOS Towards Deep Sub-0.1 Micro-Meter and its Limitation”, The

6th Korean Conference on Semiconductors, pp.1-4, February, 1999, Korea

[138] H. Kimijima, T. Ohguro, B. Evans、 B. Acker, J. Bloom, H. Mabuchi, D.-L. Kwong, E. Morifuji,

T. Yoshitomi, H.S. Momose, M. Kinugawa, Y. Katsumata, H. Iwai, “Improvement of 1/f noise

by using VHP(Vertical High Pressure) oxynitride gate insulator for deep-sub micron RF and

analog CMOS”, 1999 Symposium on VLSI Technology, pp. 119-120, June,1999, Kyoto, Japan

[139] J.-S. Goo, C.-H. Choi, E. Morifuji, H.S. Momose, Z. Yu, H. Iwai, T.H. Lee, W. Dutton, “RF

Noise Simulation for Submicron MOSFET's Based on Hydrodynamic Model”, 1999 Symposium

on VLSI Technology, pp.153-154, June ,1999, Kyoto, Japan

[140] E. Morifuji, H.S. Momose, T. Ohguro, T. Yoshitomi, H. Kimijima, F. Matsuoka, M. Kinugawa,

Y.Katsumata, H. Iwai, “Future perspective and scaling down roadmap for RF CMOS”, 1999

Symposium on VLSI Technology, pp.163-164, June,1999, Kyoto, Japan

[141] E. Morifuji, T. Ohguro, H. Kimijima, T. Yoshitomi, H. S. Momose, Y. Katsumata, K. Ishimaru,

F. Matsuoka, M. Kinugawa, H. Iwai, “RF modeling for 0.1µm gate length MOSFETS”, 29th

European Solid-State Device Research Conference, pp.656-659, September ,1999, Leuven,

Belgium

[142] Invited Talk: H. Iwai, “Scaling laws of CMOS - How this can be driven?”, Litho Workshop, A

path towards sub-100nm lithography、IMEC, pp.1-14, September,1999, Leuven, Belgium

[143] Invited Talk: H. Iwai、Y. Katsumata, T. Ohguro, E. Morifuji, H.S. Momose, K. Inoh, H. Nii,

Page 21: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

21

“Advanced Silicon BIPOLAR, BICMOS and CMOS technologies for RF Applications”,

EUMW (Europian Microwave)-Workshop 1999, Silicon and SiGe Technologies and Circuits,

pp.4-7, October 1999, Munich, Germany

[144] T. Yoshitomi, Y. Ebuchi, H. Kimijima, T. Ohguro, E. Morifuji, H. S. Momose, K. Kasai, K.

Ishimaru, F. Matsuoka, Y. Katsumata, M. Kinugawa, H. Iwai, “High Performance MIM

Capacitor for RF BiCMOS/CMOS LSIs”, Proceedings of the 1999 BIPOLAR/BiCMOS Circuits

and Technology Meeting (BCTM), pp.133-136, September,1999, Minneapolis, Minnesota, USA

[145] Invited Talk: H. Iwai, T. Ohguro, E. Morifuji, T. Yoshitomi, H. Kimijima, H. S. Momose, K.

Inoh, H. Nii, Y. Katsumata, “Advanced RF CMOS Technology”, Proceedings of SPIE (The

International Society for Optical Engineering)-Electronics and Structures for MEMS, pp.10-20,

October, 1999, Queensland,Australia

[146] T. Ohguro, H. Naruse, H. Sugaya, S. Nakamura, N. Sugiyama, E. Morifuji, H. Kimijima, T.

Yoshitomi, T. Morimoto, H. S. Momose, Y. Katsumata, H. Iwai, “Silicon Epitaxy and Its

Application to RFIC's”, The First Symposium on ULSI Process Integration, Electrochemical

Society Proceedings, Vol.99-18, pp.123-141 in the 196th Meeting of the Electrochemical Society,

pp.123-141, October 1999, Honolulu、 Hawaii, USA

[147] Invited Talk: H. Iwai, “Sub-100nm MOSFET technologies”, International Symposium on

Surface Science for Micro-and Nano-Device Fabrication, pp.5, November, 1999, Tokyo, Japan

[148] Plenary Invited Talk: H. Iwai, “Problems for downsizing of CMOS below 0.1 µm and attempts

for solution by introduction of new materials, structures and planarization”, International CMP

Symposium '99, November, 1999 , Tokyo, Japan

[149] Invited Talk: H. Iwai, “Next-generation RF silicon device technology for mobile

telecommunication”, 1999 The 20th Modern Engineering and Technology Symposium,

December, 1999 , Taipei, Taiwan, ROC

[150] H.S.Momose, E.Morifuji, H.Sugaya, S.Nakamura, T.Yoshitomi, H.Kimijima, T.Morimoto,

F.Matsuoka, Y.Katsumata, H.Ishiuchi, H.Iwai, “Improvement of direct-tunneling gate leakage

current in ultra-thin gate oxide CMOS with TiN gate electrode using non-doped selective

epitaxial Si channel technique”, International Electron Devices Meeting 1999, pp.819-822,

December, 1999, Washington DC, USA

[151] H. Iwai, “Source Drain and Wells”, Sub-100nm CMOS, 1999 IEDM Short Course, pp.1-95,

December,1999, Washington DC, USA

[152] Invited Talk: H. Iwai, “Sub-100nm MOSFET Technologies”, ISSS 2000, February, 2000, India

[153] Invited Talk: H. Iwai, T. Ohguro、E. Morifuji, T. Yoshitomi, H. Kimijima, H. S. Momose, S.

Ohmi, K. Inoh, H. Nii, Y. Katsumata, “CMOS Technologies for High Frequencies”, GHz2000

Symposium, pp.41-45, March, 2000, Göteborg, Sweden

[154] Plenary Invited Talk: H. Iwai, H. S. Momose, S. Ohmi, “Ultra-thin gate SiO² technology”,

proceedings of The Fourth International Symposium on The Physics and Chemistry of SiO²

Interface, Electrochemical Society, pp.3-17, also in the Meeting Abstracts, the 197th Meeting of

the Electrochemical Society, Vol.2000-1, p.443, May 14-18, 2000, Toronto, Canada

[155] Invited Talk: H. Iwai, “CMOS Technology for RF Application”, presented at the 22nd

International Conference On Microelectronics (MIEL 2000), pp.27-34, May, 2000, Niš,

Yugoslavia

[156] Invited Talk: H. Iwai, “High-speed low-power CMOS technology”, conference proceedings of

the SEMICON Kansai 2000, Session 3, pp.42-51, June 1-2, 2000

[157] Invited Talk: H. Iwai, S. Ohmi, “Future CMOS Technology below 0.1µm”, SBMicro2000, pp.2-

17, September 18-24, 2000, Manaus, Amazonas, Brazil

[158] Plenary Invited Talk: H. Iwai, S. Ohmi, “Problems and solutions for downsizing CMOS below

0.1µm”, Proceedings for 2000 IEEE International Conference on Semiconductor Electronics

(ICSE2000), pp.1-19, November 13-15, 2000, Malaysia

[159] Invited Talk: H. Iwai, “Gate Oxide Film and Small-Geometry MOS Devices”, Abstracts, Joint

Workshop of 29th IUVSTA International Workshop on Selective and Functional Film

Deposition Technologies as Applied to ULSI Technology, and 2nd International Workshop on

Development of Thin Films for Future ULSI's and Nano-Scale Process Integration, Ise-Shima,

pp.108-122, November 19-24, 2000, Mie, Japan

[160] R. Fujimura, K.Sato, M. Takeda, S. Ohmi, H. Ishiwara, H. Iwai, “The relation between dielectric

constant and short-channel effects for high-k gate insulator film's MOSFETs down to sub 50nm”,

Abstracts, Joint Workshop of 29th IUVSTA International Workshop on Selective and Functional

Film Deposition Technologies as Applied to ULSI Technology, and 2nd International Workshop

on Development of Thin Films for Future ULSI's and Nano-Scale Process Integration, Ise-Shima,

Page 22: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

22

pp.165-169, November 19-24, 2000, Mie, Japan

[161] K. Osima, E. Tokumitsu, S. Ohmi, H. Iwai, H. Ishiwara, “Electrical Characteristics of High

Dielectric Constant ZrO2 Thin Films Prepared by Ultra High Vacuum-Electron Beam

Evaporation Method”, Abstracts, Joint Workshop of 29th IUVSTA International Workshop on

Selective and Functional Film Deposition Technologies as Applied to ULSI Technology, and

2nd International Workshop on Development of Thin Films for Future ULSI's and Nano-Scale

Process Integration, Ise-Shima, pp.323-326, November 19-24, 2000, Mie, Japan

[162] Invited Talk: H. Iwai, “Silicon Technology-Miniaturization from past to future”, Inauguration

Workshop of the Microtechnology Center at Chalmers, MC2, March 1, 2001 Gőteborg, Sweden

[163] Invited Talk: H. Iwai, T. Ohguro, S. Ohmi, “NiSi Salicide Technology for Scaled CMOS”,

Abstract, European Workshop Materials for Advanced Metallization (MAM2001), p.07.5,

March 5-7, 2001 ,Sigtuna, Sweden

[164] Plenary Invited Talk: H. Iwai, S. Ohmi, “ULSI Process Integration for 2005 and beyond”, ULSI

Process Integration II, Electrochemical Society Proceedings Volume 2001-2, pp.3-33, 2001, also

Abstract No.395, Meeting Abstracts, The 199th Meeting of The Electrochemical Society, March

25-29, 2001

[165] R. Fujimura, M. Takeda, K. Sato, S. Ohmi, H. Ishiwara, H. Iwai, “Enhanced short-channel

effects of sub-50nm gate length MOSFETs with high-k gate insulator films”, ULSI Process

Integration II, Electrochemical Society Proceedings Vol. 2001-2, pp.313-323, 2001, also

Abstract No.421, Meeting Abstracts, The 199th Meeting of The Electrochemical Society, March

25-29, 2001

[166] H. S. Momose, T. Ohguro, S. Nakamura, Y. Toyoshima, H. Ishiuchi, H. Iwai, “Study of wafer

orientation dependence on performance and reliability of CMOS with direct-tunneling gate

oxide”, 2001 Symposium on VLSI Technology, Kyoto, Digest of Technical Papers, pp.77-78,

June 12-14, 2001

[167] H. Iwai, S. Ohmi, “Gate dielectrics for deep sub-0.1 μm CMOS”, FTM 2001 Poster Presentations,

Scientific Program, 2001 Advanced Research Workshop, Future Trends in Microelectronics:

The Nano Millennium, p.45, June 25-29, 2001, Ile de Bendor, France

[168] Keynote Invited Talk: H. Iwai, “Direction of Silicon Technology from Past to Future”, Keynote

address, 8th International Symposium on the Physical & Failure Analysis of Integrated Circuits,

IPFA 2001, Proceedings, pp.1-35, July 9-13, 2001, Singapore

[169] Invited Talk: H. Iwai, S. Ohmi, “Problems and expected solutions for the gate oxide thinning in

miniaturized CMOS ULSI devices”, International Workshop on Device Technology,

Alternatives to SiO2 as Gate Dielectric for Future Si-Based Microelectronics, p.16, September

3-5, 2001, Porto Alegre, Brazil, also 2001 MRS Workshop Series, pp.1-12, 2001

[170] S. Ohmi, C. Kobayashi, E. Tokumitsu, H. Ishiwara, H. Iwai, “Low Leakage La2O3 Gate

Insulator Film with EOTs of 0.8-1.2 nm”, the 2001 International Conference on Solid State

Devices and Materials, pp.496-497, 2001

[171] S. Ohmi, S. Akama, A. Kikuchi, I. Kashiwagi, C. Ohshima, J. Taguchi, H. Yamamoto, C.

Kobayashi, K. Sato, M. Takeda, K. Oshima, H. Ishiwara, H. Iwai, “Rare Earth Metal Oxide Gate

Thin Films Prepared by E-beam Deposition”, International Workshop on Gate Insulator 2001,

pp200-204, November 2001,Tokyo, Japan

[172] Invited Talk: H. Iwai, “Silicon technology trend from past to future”, IEEE Electron Devices

and Solid-State Circuits Society Bangalore Chapter, March, 2002, India

[173] Invited Talk: H. Iwai, S. Ohmi, “CMOS Downsizing and High-k Gate Insulator Technology”,

Fourth IEEE International Caracas Conference on Devices, Circuits and Systems, pp.D049_1-8,

April 17-19, 2002, Aruba

[174] S. Ohmi, S. Akama, A. Kikuchi, I. Kashiwagi, C. Ohshima, J. Taguchi, H. Yamamoto, K. Sato,

M. Takeda, H. Ishiwara, H. Iwai, “Rare earth metal oxides for high-k gate insulator”, 201st ECS

Meeting, abstracts vol.2002-1, pp.585, May 12-17 2002, Philadelphia U.S.A., also Proc. of the

Ninth International Symposium on Silicon Material Science and Technology, Semiconductor

Silicon 2002, pp. 376-383

[175] H. Iwai: Advanced Device Technologies for sub-65nm Node FEOL; Varian semiconductor

equipment vTech 2002, July, 2002

[176] S. Ohmi, M.Takeda, H.Ishiwara, H. Iwai: Characterization of Lu2O3 High-k Thin Films on

Si(100) Fabricated by E-beam Deposition Method; Abstract of ISTC2002, September, 2002

[177] S.Ohmi, I.Kashiwagi, C.Ohshima, J.Taguchi, H.Yamamoto, J.Tonotanim H.Ishiwara, H.Iwai:

Electrical Characteristics of Rare Earth Gate Oxides Improved by Chemical Oxide and Long

Low Temperature Annealing; Abstracts of the 2002 International Conference on SSDM, pp.718-

Page 23: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

23

719, September, 2002

[178] T.Shiraishi, T.Nakamura, K.Takahashi, I.Kashiwagi, C.Ohshima, H.Nohira, S.Ohmi, H.Iwai,

T.Hattori: Depth Profiling of High-K Dielectric/Si Interfacial Transition Layer; abstracts of

international conference of SSDM, pp.758-759, September, 2002

[179] C. Ohshima, I. Kashiwagi, S. Ohmi, H. Iwai, “Electrical Characteristics of Gd2O3 thin film

deposited on Si substrate”, Proceedings of ESSDERC2002, pp.415-418, September, 2002

[180] S. Akama, A. Kikuchi, J. Tonotani, S. Ohmi, H. Iwai:, “Stability of High-k Thin Films in

Moisture Ambience - The Effect of Dissolution Gas from Acryl Apparatus-”, Proceedings of

ESSDERC2002, pp.587-590, September, 2002

[181] J. Taguchi, H. Yamamoto, J. Tonotani, S. Ohmi, H. Iwai, “Annealing Condition Dependence of

Electrical Characteristics for Dy2O3/Si(100) Structures”, Proceedings of ESSDERC2002,

pp.591-594, September, 2002

[182] Invited Talk: H.Iwai, S.Ohmi, “Trend of CMOS downsizing and its reliability”, Proceedings of

ESREF2002, pp.1251-1258, October, 2002

[183] H. Yamamoto, J. Taguchi, S. Ohmi, H. Iwai, “Electrical Characteristics Improvement of Dy2O3

Thin Films by In-situ Vacuum Anneal”, abstracts of ECS 202nd Meeting, October,2002

[184] H. Iwai, S. Ohmi, S. Akama, C. Ohshima, I. Kashiwagi, A. Kikuchi, J. Taguchi, H. Yamamoto,

I. Ueda, A. Kuriyama, J. Tonotani, Y. Kim, Y. Yoshihara, H. Ishiwa, “High Dielectric Constant

Gate Insulator Technology using Rare Earth Oxides”, abstracts of ECS 202nd Meeting, October,

2002

[185] A. Kikuchi, S. Akama, S. Ohmi, H. Iwai, “Stability of High-k Thin Films for Wet Process” ,

abstracts of ECS 202nd Meeting, October, 2002

[186] I. Kashiwagi, C. Ohshima, S. Ohmi, H. Iwai, “Characteristics of High-k Gd2O3 Films Deposited

on Different Orientation of Si Substrate”, abstracts of ECS 202nd Meeting, October, 2002

[187] H. Yamamoto, J. Taguchi, S. Ohmi, H. Iwai, “The Effect of In-situ Vacuum Anneal for High-

Dielectric Dy2O3 Thin Films”, abstracts of μE-ED 2002, pp.16-17, October, 2002

[188] I. Kashiwagi, C. Ohshima, Y. Kim, S. Ohmi, K. Tsutsui, H. Iwai, “Dependence of Gd2O3 Thin

Film Properties on Si Substrate Orientation”, abstracts of μE-ED 2002, pp.14-15, October, 2002

[189] A.Kikuchi, S. Akama, S. Ohmi, K. Tsutsui, H. Iwai, “High-k Gate Insulator Endurance against

Moisture Ambience and Wet Process”, abstracts of IEEE μE-ED 2002, pp.12-13, October ,2002

[190] H. Iwai, “CMOS Downscaling Towards Its Limit”, abstracts of IEEE μE-ED 2002, pp.4-5,

October, 2002

[191] H. Iwai, “CMOS Scaling and Requested New Technologies”, Proceedings of SISC2002,

December, 2002

[192] H. Nohira, T. Shiraishi, T. Nakamura, K. Takahashi, M. Takeda, S. Ohmi, H. Iwai, T. Hattori,

“Chemical and Electronic Structures of Lu2O3 /Si Interfacial Transition Layer”, abstracts of 4th

ISCSI, October, 2002

[193] C. Ohshima, J. Taguchi, I. Kashiwagi, H. Yamamoto, S. Ohmi, H. Iwai, “Effect of Surface

Treatment of Si substrates and Annealing Condition on High-k Rare Earth Oxide Gate

Dielectrics”, abstracts of 4th ISCSI, October, 2002

[194] H. Iwai, “Advanced CMOS Technology for Sub-70 nm and further below”, 1st WIMNACT,

pp.3-98, November, 2002

[195] F.Lime, K.Oshima, M.Cassé, G.Ghibaudo, S.Cristoloveanu, B.Guillaumot, H.Iwai, “Ellectrical

Characterization of Advanced CMOS Devices with Metal Gate and HFO2Gate Dielectric”,

Proceedings WoDIM 2002, pp.81-84, November, 2002

[196] Plenary Invited Talk: H.Iwai, “CMOS Scaling and Requested New Technologies”, 33rd IEEE

SISC 2002, December, 2002

[197] Invited Talk: H.Iwai, S.Ohmi, S.Akama, C.Ohshima, A.Kikuchi, I. Kashiwagi, J.Taguchi,

H.Yamamoto, J.Tonotani, Y.Kim, I.Ueda, A.Kuriyama, Y.Yoshihara,“Advanced Gate

Dielectric Materials for Sub-100nm CMOS”, IEDM 2002, pp.625-628, December, 2002

[198] H.Iwai, “CMOS Downsizing toward sub-10 nm”, ULIS 2003, pp7-10, March, 2003

[199] Invited Talk: H.Iwai, “Prospects and Challenges for Advanced Gate-Stack Materials in Sub-65

nm CMOS”, 2003 MRS Spring Meeting, Abstracts, p.90, April, 2003

[200] Keynote Invited Talk: H.Iwai, “CMOS down scaling and process induced damages”, 2003 8th

International Symposium on Plasma- and Process- Induced Damage, pp1-11, April, 2003

[201] K.Oshima, S.Cristoloveanu, B.Guillaumot, G.le Carval, H.Iwai, C. Mazure, M.S.Kang, Y.H.Bae,

M.W.Kwon, J.H.Lee, S.Deleonibus, “Replacing the BOX with Buried Alumina: Improved Thermal Dissipation in SOI MOSFETs”, 203rd ECS Meeting, Vol.2003-01, Abstract No.815,

2003

Page 24: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

24

[202] J.O.Borland, H.Iwai, W.Masazara, H.Wang, “Extending the Life of Planar Single-Gate CMOS

& the Realization of Double-Gate/Multi-Gate CMOS Devices”, 203rd ECS Meeting, Vol.2003-

01, Abstract No.976, 2003

[203] T.Hattori, T.Yoshida, T.Shiraishi, K.Takahashi, H.Nohira, S.Joumori, K.Nakajima, M.Suzuki,

K.Kimura, I.Kashiwagi, C.Ohshima, S.Ohmi, H.Iwai, “Composition, Chemical Structure and

Electronic Band Structure of Rare Earth Oxide/Si(100) Interfacial Transition Layer”, INFOS

2003-Barcelona, WS1-9, June, 2003

[204] H.Iwai, “The Future of CMOS Downscaling”, FTM(Future Trends in Microelectronics)-2003,

Corsica, France, p46, June, 2003 [205] J.O.Borland, H.Iwai, W.Maszara, H.Wang, “Extending Planar Single-Gate CMOS &

Accelerating the Realization of Double-Gate/Multi-Gate CMOS Devices”, ULSI Process

Integration III, Vol.2003-06, pp.330-345, 2003

[206] Plenary Invited Talk: H.Iwai, Advanced High K Dielectrics”, ESSDERC 2003, p.15, September,

2003, Estoril, Portugal

[207] R.Higaki, K.Tsutsui, Y.Sasaki, S.Akama, B.Mizuno, S.Ohmi, H.Iwai, “Effects of gas phase

absorption into Si substrates on plasma doping process”, ESSDERC 2003, pp.231-234,

September 200 , Estoril, Portugal

[208] Y.Kim, A.Kuriyama, I.Ueda, S. Ohmi, K.Tsutsui, H.Iwai, “Analysis of Electrical Characteristics

of La2O3 Thin Films Annealed in Vacuum and Others”, ESSDERC 2003, pp.569-572,

September, 2003, Estoril, Portugal

[209] S.Ohmi, H.Yamamoto, J.Taguchi, K.Tsutsui, H.Iwai, “Effect of Vacuum Annealing on High-k

Dy2O3 Thin Films Deposited on Si(100)”, SSDM 2003, pp.510-511, September, 2003 ,Tokyo,

Japan

[210] Plenary Invited Talk: H.Iwai, “CMOS Downscaling”, IUMRS-ICAM 2003, p.110, October 8-

13,2003, Yokohama, Japan

[211] I.Ueda, S.Ohmi, H.Iwai, “Electrical Characteristics of High-K Stack Gate Dielectric Thin Films

with La2O3 as Buffer Layer”, 204th ECS Meeting Orlando, Abs.545, October12-16, 2003,

Florida, U.S.A.

[212] H.Sauddin, Y.Yoshihara, S.Ohmi, K.Tsutsui, H.Iwai, “Low-Frequency Noise Characteristics of

MISFET’s with La2O3 Gate Dielectrics”, ECS 204th Meeting Orlando, Abs.546, October12-16,

2003, Florida, USA

[213] A.Kuriyama, S.Ohmi, K.Tsutusi, H.Iwai, “Effect of Post Metallization Annealing for La2O3 Gate

Thin Films on Electrical Characteristics”, ECS 204th Meeting Orlando, Abs.564, October 12-16,

2003 , Florida, U.S.A.

[214] Y.Kim, S.Ohmi, K.Tsusui, H.Iwai, “Electrical Characteristics of High-k La2O3 Thin Film

Deposited by E-Beam Evaporation Method”, ECS 204th Meeting Orlando,Abs.582, October 12-

16, 2003 , Florida, U.S.A.

[215] S.Ohmi, I.Ueda, Y.Kobayashi, K.Tsutsui, H.Iwai, “Electrical Characterstics of rare-earth oxides

stacked-layer structures”, IWGI 2003 Tokyo, pp.28-31, November 6-7,2003, Tokyo. Japan

[216] Invited Talk: H.Iwai, “CMOS Scaling toward sub-10nm regime”, EDMO2003,pp.30-34,

November, 17-18,2003, Orlando, Florida, USA

[217] Plenary Invited Talk: H.Iwai, “Scaling of Advanced CMOS”, IWPSD2003, pp.13-18,

December, 2003, Chennai, India

[218] Plenary Invited Talk: H.Iwai, “CMOS Scaling Challenge to sub-10 nm”, CODEC-04, January

1-3,2004, Kolkata, India

[219] Plenary Invited Talk: H.Iwai, “CMOS Scaling for sub-90 nm to sub-10 nm”, VLSI2004, pp.30-

35, January 5-9, 2004, Mumbai, India

[220] K.Tsusui, R.Higaki, Y.Sasaki, T.Sato, H.Tamura, B.Mizuno, H.Iwai, “Contribution and Control

of Neutral Gas Absorption Effects in the Plasma Doping of Boron into Si”, IWJT-2004 pp.46-

49, March 15-16, 2004, Shanghai, China

[221] C.G.Jin, Y.Sasaki, K.Tsutsui, H.Tamra, B.Mizuno, R.Higaki, T.Satoh, K.Majima, H.Sauddin,

K.Takagi, S.Ohmi, H.Iwai, “Estimation of Ultra-Shallow Plasma Doping (PD) Layer’s Optical

Absorption Properties by Spectroscopic Ellispsometry (SE)”, IWJT-2004, pp.102-103, March

15-16, 2004, Shanghai, China

[222] K.Tsutsui, Y.Sasaki, C.G.Jin, H.Tamura, B.Mizuno, R.Higaki, T.Sato, K.Majima, S.Ohmi,

H.Iwai, “Ultra Shallow p+/n Junctions Fabricated by Plasma Doping and All Solid State Laser

Annealing”, Proceedings of the International Symposium, 205thMeeting of The ElectrochemicalSociety,ProceedingVol.2004-01, pp.106-111, May, 2004, SanAntonio,USA

[223] Plenary Talk: H.Iwai, “FUTURE CMOS SCALING”, Proceeding of the 11th International

Conference, Mixed Design of integrated circuits and systems, pp.19-23, MIXEDS 2004, June

Page 25: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

25

24-26, 2004, Szczecin, Poland

[224] Y.Sasaki, C.G.Jin, H.Tamura, B.Mizuno, R.Higaki, T.Satoh, K.Majima, H.Sauddin, K.Takagi,

S.Ohmi, K.Tsutsui, H.Iwai, “B2H6Plasma Dopong with “In-situ He Pre-amorphization”, 2004

Symposium on VLSI Technology Digest of Technical Papers, pp.180-181, June 15-17, 2004,

Honolulu, USA

[225] Invited Talk: H.Iwai, “RF CMOS Technology”, 2004 Asia-Pacific Radio Science Conference Proceedings, pp.296-298, August 24-27, 2004, Qingdao,China

[226] Invited Talk: H. Iwai, “Future of CMOS Technology”, 2004 Semiconductor Manufacturing

Technology workshop Proceedings, pp.5-8, Sep.9-10, 2004, Taiwan, ROC

[227] Y.Kim, S. Ohmi, K.Tsutsui, H.Iwai, “Space-Charge-Limited Current Conductions in La2O3

Thin Films Deposited by E-Beam Evaporation after Low Temperature Dry-Nitrogen Annealing”,

ESSDERC 2004, Proceeding of the 34th European Solid-State Device Research Conference,

September 21-23, 2004, pp.81-84, 2004, Leuven, Belgium

[228] T. Sato, R. Higaki, H. Tamura, Y. Sasaki, B. Mizuno, K. Tsutsui, H. Iwai, “Effects of Wet

Cleaning Treatment on Dose of Impurity after Plasma Doping”, ESSDERC 2004, Proceeding of

the 34th European Solid-State Device Research Conference, September 21-23, 2004, pp.149-152,

2004

[229] J.Ng, S.Ohmi, K.Tsutsui, H.Iwai, “A Study of Aluminum Gate La2O3 nMISFET with Post

Metallization Anneal”, Dielectrics for Nanosystems: Materials Science, Processing, Reliability,

and Manufactruing, 206th Meeting of The Electrochemical Society, Proceedings Vol. 2004-04,

pp.369-380, October, 2004, Hawaii, USA

[230] Y.Kim, S.Ohmi, K.Tsutsui, H.Iwai, “Electrical Conduction Processes in Lanthana Thin Films

prepared by E-Beam Evaporation”, Dielectrics for Nanosystems: Materials Science, Processing,

Reliability, and Manufactruing, 206th Meeting of The Electrochemical Society, Proceedings Vol.

2004-04, pp.452-463, October, 2004, Hawaii, USA

[231] B. Mizuno, Y. Sasaki, C. Jin, H. Tamura, K. Okashita, H. Ito, K.Tsutsui, H.Iwai, “Plasama

Doping”, 7th International Conference on Solid-State and Integrated Circuits Technology,

Proceedings, October 18-21, 2004, ICSICT 2004, Vol. I pp.423-427, Beijing, China

[232] K. Tsutsui, R. Higaki, T. Sato, Y. Sasaki, H.Tamura, B. Mizuno, H. Iwai, “Effects of Surface

Conditions on Dose Controllability of Plasma Doping Process”, 7th International Conference on

Solid-State and Integrated Circuits Technology, Proceedings October 18-21, 2004, ICSICT 2004,

Vol. I pp.439-444, Beijing, China

[233] Invited Talk: H. Iwai, “CMOS Technology Future”, ICCDCS, pp.179-182, November 3-5, 2004,

Punta Cana, Dominican Republic

[234] C.G. Jin, Y. Sasaki, K. Okashita, H. Tamura, H. Ito, B. Mizuno, K. Tsutsui, S. Ohmi, H. Iwai,

“Ultra Shallow p+/n Junction Formation by Plasma Doping (PD) and All Solid-State Laster

Annealing (ASLA) with Selective Absorption Modulation”, the 15th International Conference

on Ion Implantation Technology, IIT2004, October 25-29, 2004, Taipei, Taiwan, ROC

[235] Y. Sasaki, C.G. Jin, K. Okashita, H. Tamura, H. Ito, B. Mizuno, R. Higaki, T. Satoh, K. Majima,

H.Sauddin, K. Takagi, S. Ohmi, K. Tsutsui, H. Iwai, “New Method of Plasma Doping with In-

Situ Helium Pre-Amorphization”, the 15th International Conference on Ion Implantation

Technology, IIT2004, October 25-29, 2004, Taipei, Taiwan, ROC

[236] Plenary Talk: H. Iwai, “Future Semiconductor Manufacturing - Challenges and Opportunities”,

2004 IEEE International Electron Devices Meeting, December 13-15, 2004, pp.11-16, San

Francisco Hilton and Towers, San Francisco, USA

[237] H. Iwai, “Future of Si integrated devices and its manufacturing”, WOFE 2004 Advanced

Workshop on Frontiers Electronics, J. E. Irausquin Blvd 77 Palm Beach, December 18-22, p.72,

2004, Aruba

[238] K. Miyauchi, K. Nakagawa, K. Tsutsui, H. Iwai, “La2O3/Y2O3 Stack High-K Gate Insulator

Technique”, WOFE 2004 Advanced Workshop on Frontiers Electronics, J. E. Irausquin Blvd 77

Palm Beach, December 18-22, 2004, p.14, Aruba

[239] H. Iwai, “New Technology Study for Future Downscaling CMOS: High-k and Plasma Doping”

6th Workshop and IEEE EDS Mini-colloquia on Nanometer CMOS Technology, National Chiao

Tung University, January 21-22, 2005, pp.1-1 , Taiwan, ROC

[240] Invited Talk: H. Iwai, “Challenges for the CMOS roadmap and nanotechnology beyond CMOS”,

Workshop on Semiconductors and Micro & Nano-Technology SEMANTEC 2005, pp.1-4,

March 4, 2005,Campinas, Brazil [241] Y.Kobayashi, R.Xiang, K.Tsutsumi, H.Iwai, “Formation of heat resistant Ni silicide by

additional Hf layers.”, Materials for Advanced Metallization (MAM2005), March 6-9, 2005,

Dresden, Germany

Page 26: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

26

[242] I. Aiba, Y. Sasaki, K. Okashita, H. Tamura, Y. Fukagawa, K. Tsutsui, H. Ito, K. Kakushima, B.

Mizuno, H. Iwai, “Feasibility Study of Plasma Doping on Si Substrates with Photo-Resist

Patterns”, International Workshop on Junction Technology(IWJT), pp.71-72, June 7-8, 2005,

Osaka, Japan

[243] K. Tsutsui, K. Majima, Y. Fukagawa, Y. Sasaki, K. Okashita, H. Tamura, K. Kakushima, H. Ito,

B. Mizuno, H. Iwai, “Analysis of Conductivity in Ultra-shallow p+ Layers Formed by Plasma

Doping”, International Workshop on Junction Technology(IWJT), pp.73-74, June 7-8,2005,

Osaka, Japan

[244] H. Sauddin, H. Tamura, K. Okashita, Y. Sasaki, H. Ito, B. Mizuno, K. Kakushima, K. Tsutsui,

H. Iwai, “Reverse Current of Plasma Doped p+/n Ultra-Shallow Junction”, International

Workshop on Junction Technology(IWJT), pp.75-76, June 7-8,2005, Osaka, Japan

[245] Tutrial: H. Iwai, “High-K Gate Stack Technology”, ESSDERC 2005, September 12-16, 2005,

Grenoble, France

[246] K. Nakagawa, K. Miyauchi, K. Kakushima, T. Hattori, K. Tsutsui, H. Iwai, “The Effect of Y2O3

Buffer Layer for La2O3 Gate Dielectric Film”, ESSDERC 2005, pp.387-389, September 12-16,

2005, Grenoble, France

[247] S. Yoshizaki, Woei Yuan Chong, M. Nakagawa, Y. Nara, M. Yasuhira, F. Ohtsuka, T. Arikado,

K. Nakamura, K. Kakushima, K. Tsutsui , H. Aoki, H. Iwai, “RF Modeling of Sub‐100 nm

CMOS”, ESSDERC 2005, September 12-16, 2005, Grenoble, France

[248] Keynote Address: H. Iwai, “Silicon Integrated Circuit Technology and MANUFACTURING

Innovations for the Past and the Next 30 Years”, 22nd International VLSI Multilevel

Interconnection Conference, pp.25-27, October 4-6, 2005, Fremont, USA

[249] E.Miranda J.Molina, Y.Kim, H.Iwai, “Degradation of High-K La2O3 gate Dielectrics using

Progressive Electrical Stress”, the 16th European Symposium on Reliability of Electron Devices,

October 12, 2005, Bordeaux, France

[250] H. Nohira, T. Yoshida, H. Okamoto, W. Sakai, K. Nakajima, M. Suzuki, K. Kimura, Ng Jin Aun,

Y. Kobayashi, S. Ohmi, H. Iwai, E. Ikenaga, “THERMAL STABILITY OF LANTHANUM

OXIDE/Si(100) INTERFACIAL TRANSITION LAYER”, ECS 208th Meeting, ECS

Transaction, Vol.1, No.1, pp.87-95, October 16-20, Los Angeles, USA

[251] H. Iwai, “CMOS Scaling and its Future towards Downsizing Limit”, IEEE EDS WIMNACT-9,

2005, October 25, 2005, Yokohama, Japan

[252] Y. Kuroki, Jin-Aun Ng, K. Kakushima, N. Sugii, K. Tsutsui, H. Iwai, “Al/La2O3 Analysis of

Post Metallization Annealed MISFETs by XPS”, ECS 208th Meeting, Vol. 1, No.5, pp.239-247,

October 17-20, 2005, Los Angeles, USA

[253] J. Molina, K.Kakushima, P. Ahmet, S. Nobuyuki, K. Tsutsui, H. Iwai, “BREAKDOWN AND

RELIABILITY OF METAL GATE-La2O3 THIN FILMS AFTER POST-DEPOSITION

ANNEALING IN N2”, ECS 208th Meeting, Vol. 1, No. 5, pp.757-765, October 17-20, 2005, Los

Angeles, USA

[254] Invited Talk: H. Iwai, “Future of CMOS Scaling and Its Manufacturing”, IWPSD-2005, pp.55-

66, December 13-17, 2005, New Delhi, India

[255] Invited Talk: H. Iwai, “Future CMOS Technology and Manufacturing”, EPMDS-2006, Vol.1-

1, January 4-6, 2006, Kolkata, India

[256] H. Iwai, “Recent Status an Nano CMOS and Future Direction”, IWNC2006, pp.1-5, January 30-

31, 2006, Mishima, Japan

[257] K. Tsutsui, Y. Sasaki, K. Majima, Y. Futagawa, I. Aiba, R. Higaki, C. Jin, H. Ito, B. Mizuno,

J.A.Ng, K. Tachi, J. Song, Y. Shiino, K. Kakushima, P. Ahmet, H. Iwai, “Ultra-shallow Junction

and High-k dieletric for Nano CMOS”, IWNC2006, pp.56-68, January 30-31, 2006, Mishima,

Japan

[258] H. Wong, K. Kakushima, H. Iwai, “Material and Interface Instabilities of High-k MOS Gate

Dielectric Films”, IWNC2006, pp.169-174, January 30-31, 2006, Mishima, Japan

[259] Manoj C. R., A. Mangal, V. R. Rao, K. Tsutsui, H. Iwai, “Parasitics Effects in Multi Gate

MOSFETs”, IWNC2006, pp.255-260, January 30-31, 2006, Mishima, Japan

[260] I. Aiba, Cheng-Guo Jinm, Y. Sasaki, K. Tsutsui, H. Tamura, K. Okashita, H. Kakushima, H.

Iwai, “Photo Resist Removal Process Using Wet Treatment After Plasma Doping” , ISTC-

2006, Vol.1, pp.295-296, March 21-22, 2006, Shanghai, China

[261] K. Tsutsui, Y. Sasaki、Cheng-Guo Jin, H. Tamura, K. Okashita, H. Ito, B. Mizuno, H.

Sauddin, K. Majima, T. Satoh, Y. Fukagawa, K. Kakushima, H. Iwai, “Formation of Ultra-shallow Junctions by Plasma Doping”, ISTC-2006, Vol. 1, pp.232-241, March 21-22, 2006,

Shanghai, China

[262] A. Fukuyama, K. Kakuashima, P. Ahmet, A.N.Chandorkar,K. Tsutsui, N. Sugii, T. Hattori, H.

Page 27: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

27

Iwai, “Analysis of Voltage Coefficient and Leakage Current of La2O3 Mim Capacitor”, ISTC-

2006, Vol.1 pp.225-231, March 21-22, 2006, Shanghai, China

[263] R. Xiang, K. Nagahiro, T. Shiozawa, P. Ahmet, K. Tsusui, Y. Okuno, M. Matsumoto, M.

Kubota, K. Kakushima, H. Iwai, “Irregular Increase in Sheet Resistance of Ni Silicides at

Transition Temperature Range from NiSi to NiSi2 Depending on Annealing Time”, ISTC-

2006, March 21-22, 2006, Shanghai, China

[264] P. Ahmet, T. Nagata, D. A. Kukuruznyak, K. Ohmori, K. Kakushima, K. Tsutsui, T.Chikyou,

H.Iwai, “Combinatorial Fabrication and Phase Diagramming of Ternary Composition Spreads”,

ISTC-2006, Vol.1 pp.215-224, March 21-22, 2006, Shanghai, China

[265] Invited Talk: H.Iwai, “CMOS Scaling and Future Manufacturing”, 2006-IWNE, pp.3-47, April

21, 2006, Tainan, Taiwan

[266] Keynote Speech:H.Iwai, “Semiconductor Manufacturing Technology in the 21st Century”,

2006 VLSI-TSA, pp.1-17, April 24-26, 2006, Hsinchu, Taiwan

[267] K.Shiraishi, T.Nakayama, Y.Akasaka, S.Miyazaki, T.Nakaoka, K.Ohmori, P.Ahmet, K.Torii,

H.Watanabe, T.Chikyow, Y.Nara, H.Iwai, K.Yamada, “New Theory of Effective Work

Functions at Metal/High-k Dielectric Interfaces-Application to Metal/High-k HfO2 And La2O3

Dielectric Interfaces-” , ECS 209th Meeting, ECS Transactions, Vol. 2, No.1, pp.25-40, May 7-

12, 2006, Denver, USA

[268] P.Ahmet, T.Nagata, D.A.Kukuruznyak, K.Ohmori, K.Kakushima, K.Tsutsui, T.Chikyow,

H.Iwai, “Combinatorial Fabrication and Characterization of Oxide and Metal Thin Film

Composition Spreads”, ECS 209th Meeting, ECS Transactions, Vol. 2, No.1, pp.79-90, May 7-

12, 2006, Denver, USA

[269] K.Kakushima, P.Ahmet, N.Sugii, K.Tsutsui, T.Hattori, H.Iwai, “Lanthanum Oxides for Gate

Insulator Application”, ECS 209th Meeting, ECS Transactions, Vol. 2, No.1, pp.115-127, May

7-12, 2006, Denver, USA

[270] T.Hattori, K.Kakushima, K.Nakajima, H.Nohira, K.Kimura, H.Iwai, “Angle-Resolved

Photoelectron Spectroscopy Study on Gate Insulators”, ECS 209th Meeting, ECS Transactions,

Vol. 2, No.1, pp.275-286, May 7-12, 2006, Denver, USA

[271] J.A.Ng, N.Sugii, K.Kakushima, P.Ahmet, T.Hattori, K.Tsutsui, H.Iwai, “Mobility Degradation

Analysis for La2O3 nMOSFET”, ECS 209th Meeting, ECS Transactions, Vol. 2, No.1, pp.329-

338, May 7-12, 2006, Denver, USA

[272] K.Tsutusi, R.Xiang, K.Nagahiro, T.Shiozawa, P.Ahmet, Y.Okuno, M.Matsumoto, M.Kubota,

K.Kakushima, H.Iwai, “Irregular Increase in sheet Resistance of Ni Sikicides at Temperature

Range of Transition from NiSi to NiSi2”, IWJT-2006, pp.188-191, May 15-16, 2006, Shanghai,

China

[273] Invited Talk: H. Iwai, “La2O3 Gate Oxide Technology for MOSFETs”, The-E-MRS 2006 Spring

Meeting,May 29, 2006, Nice, France

[274] J.Song, A.Fukuyama, K.Kakushima, P.Ahmet, K.Tsutsui, T.Hattori, H.Iwai, “Characteristics of

La2O3/Ge MIS Capacitors on Annealing Condition”, The-E-MRS 2006 Spring Meeting, Vol. 1-

1, May 29, 2006, Nice, France

[275] Invited Talk, Distinguished Lecture: H.Iwai, “Future CMOS Scaling and Its Manufacturing”,

AdCom &ExCom Meeting Mini-Colloquia, June 1, 2006, Napoli, Italy

[276] K.Kakushima, P.Ahmet, J.A.Ng J.Molina,H.Sauddin,Y.Kuroki, K.Nakagawa,A.Fukuyama, K.Tachi, Y.Shiino, J.Song, K.Tsutsui, N.Sugii, T.Hattori, H.Iwai, “Study of La2O3 Gate

Dielectric Suitability for Future MIM and MOSFETs”, 2006 IEEE Si Nanoelectronics Workshop,

p.113, June 12, 2006, Honolulu, Hawaii, USA

[277] H.Iwai, “Future of nano CMOS and its manufacturing”, FTM, p.47, June 27, 2006, Heraklion,

Crete

[278] Invited Talk, Distinguished Lecture: H.Iwai, “High Dielectric Constant Gate Insulator

Technology”, WIMNACT Mini-Colloquium, July 4, 2006, Singapore

[279] Keynote Speech: H.Iwai, “Future of Nano-CMOS Technology and Its Production”, IPFA2006,

pp.1-17, July 5, 2006, Singapore

[280] E.Miranda, H.Iwai, “Modeling of the Leakage Current in Ultrathin La2O3 Films Using a

Eneralized Power Law Equation”, IPFA2006, pp.306-310 ,July 7, 2006, Singapore

[281] Invited Talk, Distinguished Lecture: H.Iwai, “Nano-CMOS and Its Manufacturing”, EDS

Tsinghua Student Chapter Opening Ceremony, July 10, 2006, Beijing, China

[282] Invited Talk: H. Iwai, “Histrical Trends and Future Perspectives of Silicon Technology Scaling”, SINANO Summer School 2006, Bologna, Italy

[283] A.Kuriyama, O. Faynot, L. Brevard, A. Tozzo, L.Clerc, J.Mitard, V. Vidal, S. Deleonibus,

S.Cristoloveanu, H. Iwai, “Precise Extraction of Metal Gate Work Function from Bevel

Page 28: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

28

Structures”, Solid State Devices and Materials Yokohama 2006, pp.210-211, September 13-15,

2006, Japan

[284] K. Ohmori, P.Ahmet, K. Shiraishi, K. Yamabe, H. Watanabe, Y. Akasaka, N. Umezawa, K.

Nakjima, M.Yoshitake, T. Nakayama, K.-S. Chang, K. Kakushima, Y. Nara, M.L. Green, H.Iwai,

K. Yamada, T. Chikyow, “Wide Controllability of Flatband Voltage in La2O3 Gate Structures-

Remarkable Advantages of La2O3 over HfO 2-”, Solid State Devices and Materials Yokohama

2006, pp.432-433, September 13-15, 2006, Japan

[285] Keynote Talk: H. Iwai, “Academia-Industry collaborations in Japan in the field of

Nanoelectronics”, ENIAC-MEDEA+ Workshop, ESSDERC/ESSCIRC 2006, September 22,

2006, Montreux, Switzerland

[286] Invited Talk: H. Iwai, “CMOS for next 15 years as the mainstream of nano device technology:

problems, solutions and beyond that”, SINANO Workshop, ESSDERC/ESSCIRC 2006,

September 22, 2006, Montreux, Switzerland

[287] M. Nakagawa, J. Song, Y. Nara, M. Yasuhira, F. Ohtsuka, T. Akikado, K. Nakamura,

K.Kakushima, P.Ahmet, K.Tsutsui, H. Iwai, “High Frequency Model of Sub-100nm High-k RF

CMOS”, Satellite workshop to ESSDERC/ESSCIRC 2006, September 22,2006, Montreux,

Switzerland

[288] A.Kuriyama, O. Faynot, L. Brevard, A. Tozzo, L.Clerc, S. Deleonibus, J. Mitard, V. Vidal, S.

Cristoloveanu, H.Iwai, “Work Function Investigation in Advanced Metal Gate-HfO2-SiO2

Systems with Bevel Structures”, ESSDERC 2006, pp.109-112,September 19, 2006, Montreux,

Switzerland

[289] K. Tsutsui, Y. Sasaki, C-G. Jin, H. Sauddin, K. Majima. Y. Fukagawa, I. Aiba, H. Ito, B. Mizuno,

K. Kakushima, P. Ahmet, H. Iwai, “Ultra-Shallow Junction Formation By Plasma Doping And

Flash Lamp Annealing”, RTP-2006, October 11, 2006, Kyoto, Japan

[290] A.N.Chandorkar,Ch.Ragunandan, P. Agashe, D. Sharma, H. Iwai, “Impact of Process variations

on Leakage Power in CMOS Circuits in Nano Era”, ICSICT-2006, Vol.2 pp.1248-1251, October

23-26, 2006, Shanghai, China

[291] K.Shiraishi, H. Takeuchi, Y. Akasaka, T. Nakayama, S. Miyazaki, T. Nakaoka, A. Ohta, H.

Watanabe, N. Umezawa, K. Ohmori, P. Ahmet, K. Toii, T. Chikyow, Y. Nara, T-J. King Liu, H.

Iwai, K. Yamada, “Physics of Interfaces between gate electrodes and high-k dielectrics”,

ICSICT-2006, pp.384-387, October 23-26, 2006, Shanghai, China

[292] P. Ahmet, K. Kakushima, K. Tsutsui, N. Sugii, T.Hattori, H. Iwai, “La-based oxides for High-k

Gate Dielectric Application”, ICSICT-2006, pp.408-411, October 23-26, Shanghai, China

[293] K. Nagahiro, K. Tsutsui, T. Shiozawa, R. Xiang, P. Ahmet, K. Kakushima,Y. Okuno, M.

Matsumoto, M. Kubota, H. Iwai, “Thermal Stability of NiSi Controlled by Post Silicidation

Metal Doping Method”, ICSICT-2006, pp.466-469, October 23-26, 2006, Shanghai, China

[294] H.Sauddin, Y.Sasaki, H. Ito, B. Mizuno, P. Ahmet, K. Kakushima, N. Sugii, K. Tsutsui, H. Iwai,

“Leakage Current Characteristics of Ultra-Shallow Junctions Formed by B2H6 Plasma Doping”,

ECS 210th Meeting, ECS Transactions, Vol.3 No.2, pp.57-65, October 29-November 3, 2006,

Cancun, Mexico

[295] H. Nohira, T. Matsuda, K.Tachi, Y.Shiino, J.Song, Y.Kuroki, Ng Jin Aun, P.Ahmet,

K.Kakushima, K.Tsutsui, E.Ikenaga, K.Kobayashi, H.Iwai, T.Hattori, “ Effect of Deposition

Temperature on Chemical Structure of Lanthanum Oxide/Si Interface Structure”, ECS 210th

Meeting, ECS Transactions,Vol.3 No.2, pp.169-173, October 29-November 3, 2006, Cancun,

Mexico

[296] T. Nakayama, K. Shiraishi, S. Miyazaki, Y. Akasaka, T. Nakaoka, K. Torii, A. Ohta, P. Ahmet,

K. Ohmori, N.Umezawa, H.Watanabe, T.Chikyow, Y.Nara, H.Iwai, K.Yamada, “Physics of

Metal/High-k Interfaces”, ECS 210th Meeting, ECS Transactions, Vol.3 No.3, pp.129-140,

October 29- November 3, 2006, Cancun, Mexico

[297] K.Ohmori, P.Ahmet, K.Shiraishi, K.Yamabe, H.Watanabe, Y.Akasaka, N.Umezawa,

K.Nakajima, M.Yoshitake, T.Nakayama, K.-S. Chang, K.Kakushima, Y.Nara, M.L.Green.

H.Iwai, K.Yamada, T.chikyow, “Wide Controllability of Flatband Voltage in La2O3 Gate Stack

Structures - Remarkable Advantages of La2O3 over HfO2-”, ECS 210th Meeting, ECS

Transactions, Vol.3 No.3, pp.351-363, October 29-November 3, 2006, Cancun, Mexico

[298] K.Tachi, K.Kakushima, P.Ahmet, K.Tsutsui, N.Sugii, T.Hattori, H.Iwai, “Effect of Oxygen for

Ultra-Thin La2O3 Film Deposition”, ECS 210th Meeting, ECS Transactions, Vol.3 No.3,

pp.425-434, October 29- November 3, 2006, Cancun, Mexico [299] Y.Shiino, K.Kakushima, P.Ahmet, K.Tsutsui, N.Sugii, T.Hattori, H.Iwai, “La2O3 Gate Dielectric

Thin Film with Sc2O3 Buffer Layer for High Temperature Annealing”, ECS 210th Meeting,

ECS Transactions , Vol.3 No.3, pp.511-519, October 29-November 3 2006, Cancun, Mexico

Page 29: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

29

[300] Y. Kuroki, Jin-Aun Ng, K. Kakushima, N. Sugii, K. Tsutsui, H. Iwai, “Al/ La2O3 Analysis of

Post Metallization Annealed MISFETs by XPS”, ECS 210th Meeting, ECS Transactions , Vol.1

No.5, pp.239-247, October 29-November 3 2006, Cancun, Mexico

[301] J. Molina, K. Kakushima, P. Ahmet, S. Nobuyuki, K. Tsutsui, H. Iwai, “BREAKDOWN AND

RELIABILITY OF METAL GATE - La2O3 THIN FILMS AFTER POST-DEPOSITION

ANNEALING IN N2”, ECS 210th Meeting, ECS Transactions , Vol.1 No.5, pp.757-765, Oct

ober 29-November 3 2006, Cancun, Mexico

[302] Invited Talk: H. Iwai , H. Wong, “Nano-CMOS Technology for Next Fifteeen Years”, The IEEE

TENCON Nanoscale CMOS Technology, p.9,November 14-17, 2006, Hong Kong

[303] Invited Plenary Talk: H. Iwai, “Nano CMOS Manufacturing”, The Conference on

Optoelectronic and Microelectronic Materials and Devices, December 6-8, 2006, Perth, Western

Australia

[304] Invited Plenary Talk: H. Iwai, “ Nano CMOS Manufacturing”, The International Conference

on Computers and Devices for Communication (CODEC)2006, p.5, December, 18-20 2006,

Kolkata, India

[305] Keynote Speech: H. Iwai, “Nano CMOS Technology and Manufacturing”, The 4th International

Conference on Electrical & Computer Engineering, p.20, December 19-21, 2006, Dhaka,

Bangladesh

[306] Invited Talk, Distinguished Lecture: H. Iwai, “Electron Devices for Human Society”, Inaugural

Ceremony of IEEE Electron Devices Society Bangladesh Chapter, December 19, 2006, Dhaka,

Bangladesh

[307] Invited Talk, Distinguished Lecture: H. Iwai, “Miniaturization of Semiconductor Devices for

Integrated Circuits”, IEEE EDS Mini-Colloquium on Microelectronics & VLSI, pp.5-8, January

3, 2007, Bhubaneswar, India

[308] Invited Talk,Invited Talk :H. Iwai, “Future of Silicon Integrated Circuit Technology”, The 2007

Nano and Giga Challenges Conference, p.97, March 14 2007, Phoesnix, USA

[309] T. Shiozawa, K. Nagahiro, K. Tsutsui, P. Ahmet, K. Kakushima, and H. Iwai, “Improvement of

Thermal Stability of Ni Silicide by Al Interlayer Deposition”, The ECS ISTC 2007, March 20,

2007, pp.43-47, Shnghai, China

[310] Y. Kobayashi, K.Tsutsui, K.Kakushima, V. Hariharan, V. R. Rao, P.Ahmet, H.Iwai, “Parasitic

Effects Depending on Shape of Spacer Region on FinFETs”, ECS 211th Meeting, ECS

Transactions,Vol.6 No.4 pp.83-87, May 8, 2007, Chicago, USA

[311] Invited Talk, Distinguished Lecture:H. Iwai, “Future of Nano CMOS Technology”,

WIMNACT/MQ 1 & IEDST, June 4, 2007, Tsinghua University, Beijing, China

[312] J. Song, K. Kakushima, P. Ahmet, K. Tsutsui, N. Sugii, T. Hattori, H. Iwai, “Improvement of

interfacial properties with interfacial layer in La2O3/Ge Structure”, INFOS2007, Microelectronic

Engineering, pp.2336-2339,June20-23 2007, Glyfada, Athens, Greece

[313] S. Sato, K. Tachi , K. Kakushima , P. Ahmet , K. Tsutsui , N. Sugii , T. Hattori, H. Iwai,

“Thermal-Stability Improvement of LaON Thin Film Formed Using Nitrogen Radicals”,

INFOS2007, Microelectronic Engineering, pp.1894-1897, June20-23 2007, Glyfada, Athens,

Greece

[314] T. Kawanago, K. Tachi , J. Song , K. Kakushima, P. Ahmet, K. Tsutsui , N. Sugii , T. Hattori

H. Iwai, “Electrical Characterization of Directly Deposited La-Sc Oxides Complex for Gate

Insulator Application", INFOS2007, Microelectronic Engineering, pp.2235-2238, June20-23

2007, Glyfada, Athens, Greece

[315] Invited Talk :H. Iwai, “Future of Silicon Integrated Circuit Technology”, Second International

Conference on Industrial and Information systems, August 8-11, 2007, University of Paradeniya,

Sri Lanka

[316] H. Iwai, “Past and Future of Silicon Integrated Circuit Technology”, School of Physics,

September 7, 2007, Xinjiang University, Xinjiang, China

[317] K. Okamoto, M. Adachi, K. Kakushima, P. Ahmet, N. Sugii, K. Tsutsui, T. Hattori, H. Iwai,

“Effective Control of Flat-band Voltage in HfO2 Gate Dielectric with La2O3 Incorporation” ,

ESSDERC 2007, September 11-13, 2007, Munich, Germany

[318] Y.C.Ong,D.S.Ang,S.J.O’Shea,K.L.Pey,T.Kawanago,K.Kakushima,H.Iwai,“Characterization of

the Sc2O3/ La2O3 High-k Gate Stack by STM”, SSDM TSUKUBA2007, September 19-21, 2007,

Tsukuba, Japan

[319] Keynote Speech:H. Iwai, “Gate stack technology for next 25 years”, 4th International Symposium on Advanced Gate Stack Technology, September 26, 2007, Dallas, Texas, USA

[320] K.Tsutsui, K.Nagahiro, T.Shiozawa, P.Ahmet, K.Kakushima, H. Iwai, “Improvement of

Thermal Stability of Ni Silcide by Additive Metals with Specific Introduction Processes”, ECS

Page 30: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

30

212th Meeting, ECS Transactions, Vol.11, No.6, pp.207-213, October 7-12, 2007, Washington,

USA

[321] K.Tachi, K.Kakushima, P.Ahmet, K.Tsutsui, N. Sugii, T. Hattori, H.Iwai, “Improvement of

Interface of W/La2O3/Si MOS Structure Using Al Capping Layer”, ECS 212th Meeting, ECS

Transactions, Vol.11, No.4, pp.191-198, October 7-12, 2007, Washington, USA.

[322] M.Adachi, K.Okamoto, K.Kakushima, P.Ahmet, K.Tsutsui, N. Sugii, T. Hattori, H.Iwai,

“Control of Flat Band Voltage by Partial Incorporation of La2O3 or Sc2O3 into MfO2 in

Metal/MfO2/SiO2/Si MOS Capacitors”, ECS 212th Meeting, ECS Transactions, Vol.11 No.4,

pp.157-167, October 7-12, 2007, Washington, USA

[323] Invited Talk, Distinguished Lecture:H.Iwai, “Future Gate Stack Technology”, Mini-

Colloquium at IBM East Fishkill, December 7, 2007, New York, USA

[324] Golden Jubilee Distinguished Lecture: H.Iwai, “Past and Future Half-Centuries for

Semiconductor Device Development”, Indian Institute of Technology , Bombay, January 11 ,

2008, Bombay, India

[325] H.Iwai, “Advanced Logic Technologies with New Materials and Strucures”, Inadian Institute of

Science, January 18 , 2008, Bangalore, India

[326] H.Iwai, “Past and future for micro-and nano-electronics, focusing on Si integrated circuits

technology”, Workshop and IEEE EDS Mini-colloqiia on Nanometer COMOS Technology

(WIMNACT) , March 6-7, 2008, Sikkim, India

[327] K. Tsutsui, T. Shiozawa, K. Nagahiro, Y. Ohishi, K. Kakushima, P. Ahmet, N. Urushihara, M.

Suzuki, H. Iwai, “Improvement of Thermal Stability of Ni Silicide on N+-Si by Direct

Deposition of Group III Element (Al, B) Thin Film at Ni/Si Interface”, MAM2008, pp.63-64,

March 2-5, Dresden, Germany

[328] Y. Ohishi, K. Noguchi, K. Kakushima, P. Ahmet, K. Tsutsui, N. Sugii, T. Hattori, H. Iwai,

“Schottky Barrier Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt

Layers”, The ECS ISTC 2008, pp.459-462, March 16, 2008, Shnghai, China

[329] Y. Morozumi, K. Kakushima, P. Ahmet, K. Tsutsui,N. Sugii, T. Hattori, K. Natori , H.Iwai, “Properties of Ballistic current in MOSFETs studied by RT model”, The ECS ISTC 2008,

pp.129-132, March 16,2008, Shnghai, China

[330] Keynote Speech: H.Iwai, “CMOS Technology after Reaching the Scale Limit”, IWJT-2008,

pp.1-2, May 15-16, 2008, Shanghai, China

[331] K. Tsutsui, M. Watanabe, Y. Nakagawa, K. Sasaki, T. Kai, Cheng-Guo Jin, Y. Sasaki, K.

Kakushima, P. Ahmet, B. Mizuno, T. Hattori, H.Iwai, “Profiling of Carrier Properties for

Shallow Junctions Using a New Sub-nanometer Step-by-step Etching Technique”, IWJT-2008,

pp.58-61, May 15-16, 2008, Shanghai, China

[332] K. Kakushima, K. Okamoto, K. Tachi, S. Sato, T. Kawanago, J. Song, P. Ahmet, N. Sugii, K.

Tsutsui, T. Hattori, H. Iwai, “Impact of Thin La2O3 Insertion for MfO2 MOSFET”, ECS 213th

Meeting, ECS Transactions, Vol.13, No.2, pp.29-37, May 19, 2008, Phoenix, USA

[333] K. Tsutsui, T. Shinozawa, K. Nagahiro, Y. Ohishi, K. Kakushima, P. Ahmet, N. Urushihara, N.

Suzuki, H. Iwai, “Effects of B Al Interface Layers on Thermal Stability of Ni Silicide on Si”,

ECS 213th Meeting, Vol.13, No.1, pp.413-419, May 21, 2008, Phoenix, USA

[334] N. Umezawa, K, Shiraishi, K. Kakushima, H. Iwai, K. Ohmori, K. Yamada, T. Chikyow,

“Relation between solubility of silicon in high-k oxides and the effect of Fermi level pinning”,

ECS 213th Meeting, ECS Transactions,Vol.13, No.2, pp.15-20, May, 2008, Phoenix, USA

[335] Invited Talk, Distinguished Lecture: H. Iwai, “Past and future for micro-and nano-electronics,

focusing on Si integrated circuits technolog”, IEEE EDS Committee, AdCom &ExCom Meeting

Mini-Colloquium, June 2, 2008, National Technical University at Athenes, Greece

[336] Invited Talk, Distinguished Lecture: H.Iwai, “Past and future for micro- and nano-electronics,

focusing on Si integrated circuits technology”, Mini-Colloquia, Sabanci University, Jun. 4, 2008,

Istanbul, Republic of Turkey

[337] Invited Talk, Distinguished Lecture: H.Iwai, “Future of Nano-CMOS after Scaling Limit”,

Mini-Colloquia, Pontificia Universidad Javeriana, Mini-Colloquium, September 8, 2008,

Bogota, Colombia

[338] Y. Kobayashi, K. Tsutsui, K. Kakushima, P. Ahmet, V. R. Rao, H. Iwai, “Analysis of Threshold

Voltage Variations of FinFETs : Separation of Short Channel Effects and Space Charge Effects”,

Int. Conf. on Solid State Devices and Materials (SSDM2008), September, 2008, Tsukuba, Japan

[339] K. Kakushima, K. Okamoto, K. Tachi, S. Sato, J. Song, T. Kawanago, P. Ahmet, N. Sugii, K. Tsutsui, T. Hattori, H. Iwai, “Interfacial Dipole Measurement of Dielectric/Silicon Interface by

X-ray Photoelectron Spectroscopy”, PRIME 2008: Joint International Meeting, ECS

Transactions, October 14, 2008, Honolulu, Hawaii, USA

Page 31: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

31

[340] H. Nohira,Y. Takenaga,K.Kakushima, P. Ahmet, K. Tsutsui, H. Iwai, “Annealing-temperature

Dependence of Compositional Depth Profile and Chemical Structures of LaOx/ScOx/Si and

ScOx/LaOx/Si Interfacial Transition Layer”, PRIME 2008: Joint International Meeting, ECS

Transactions, ECS Transactions, Vol.16, No.5, pp.171-176, October 14, 2008, Honolulu, Hawaii,

USA

[341] K. Okamoto, K.Kakushima, P.Ahmet, K.Tsutsui, N.Sugii, A.N.Chandorkar, T. Hattori,H.Iwai,

“0.5 nm EOT MOS structure with TaSix/W stacked gate electrode”, PRIME 2008: Joint

International Meeting, ECS Transactions, Vol.16, No.5, pp.203-212, October 14, 2008,

Honolulu, Hawaii, USA

[342] K.Noguchi , W.Hosoda , K.Matano , K.Kakushima, P.Ahmet, K.Tsutsui, N.Sugii, A. N.

Chandorkar, T.Hattori , H.Iwai, “Schottky Barrier Height Modulation by Er Insertion and Its

Application to SB-MOSFETs”, PRIME 2008: Joint International Meeting, ECS Transactions,

October 14, 2008, Honolulu, Hawaii, USA

[343] Y. Lee, T. Nagata, K. Kakushima, K. Shiraishi, H. Iwai, “Electronic Structure Analysis of Silicon

Nanowires for High Conductivity in n- and p-channel Nanowire-FET”, PRIME 2008: Joint

International Meeting, ECS Transactions, October 14, 2008, Honolulu, Hawaii, USA

[344] Y. Kobayashi , A. Sachid, K. Tsutsui, K. Kakushima, P. Ahmet ,V. Rao, H. Iwai, “Analysis of

Threshold Voltage Variations of FinFETs Relating to Short Channel Effects”, PRIME 2008:

Joint International Meeting, ECS Transactions, October 14, 2008, Honolulu, Hawaii, USA

[345] M. Kouda, K. Tachi, K. Kakushima, P. Ahmet, K. Tsutsui, N. Sugii, A. Chandorkar , T. Hattori,

H. Iwai, “Electric Properties of CeOX /La2O3 Stack as Gate Dielectric in Advanced MOSFET

Technology”, PRIME 2008: Joint International Meeting, ECS Transactions, Vol.16 No.5,

pp.153-160, October 14, 2008, Honolulu, Hawaii, USA

[346] J. Song, K. Kakushima, P. Ahmet, K. Tsutsui, N. Sugii, T. Hattori, H. Iwai, “Effect of Ultrathin

Si Passivation Layer for Ge MOS Structure with La2O3 Gate Dielectric”, PRIME 2008: Joint

International Meeting, ECS Transactions, Vol.16, No.5, pp.285-293, October 14, 2008,

Honolulu, Hawaii, USA

[347] M. Hino, K. Nagata, T. Yoshida, D. Kosemura, K. Kakushima, P. Ahmet, K. Tsutsui, N. Sugii,

A. Ogura, T. Hattori, H. Iwai, “Study on Stress Memorization by Argon Implantation and

Annealing”, PRIME 2008: Joint International Meeting, ECS Transactions, Vol.16 No.10,

pp.117-124, October 14, 2008, Honolulu, Hawaii, USA

[348] K. Kakushima, K. Tachi, M. Adachi, K. Okamoto, S. Sato, T. Kawanago, P.Ahmet, K.Tsutsui,

N. Sugii, T. Hattori, H. Iwai, “Advantage of La2O3 Gate Dielectric over HfO2 for Direct Contact

and Mobility Improvment”, ESSDERC 2008, pp. 126-129, October 15-19, 2008, Scotland, UK

[349] K.Tsutsui, M. Watanabe, Y. Nakagawa, T. Matsuda, T.Yoshida, E. Ikenaga, K. Kakushima,

P.Ahmet, H. Nohira, T. Maruzumi, A. Ogura, T. Hattori, H. Iwai, “New Analysis of Heavily

Doped Boron and Arsenic in Shallow Junctions by X-ray Photoelectron Spectroscopy”,

ESSDERC 2008, pp. 142-145, October 15-19 ,2008, Scotland, UK

[350] P.Ahmet, T. Shiozawa, K.Nagahiro, K.Kakushima, K.Tsutsui, T. Chikyow, H.Iwai, “Ni

silicidation on Heavily Doped Si Substrates”, ICSICT2008, Vol.2 pp.1304-1307, October 20-23,

2008, Beijing, China

[351] H.Iwai, “Past and future for micro-and nano-electronics, focusing on Si integrated circuits

technology”, Changchun University of Science and Technology, Mini-Colloquium, October 24,

2008, Changchun, China

[352] H.Iwai, “Technology Scaling and Roadmap for 22nm CMOS logic and beyond”, Dalian

University of Technology, Mini-Colloquium,October 27, 2008, Dalian, China

[353] H.Iwai, “Future of Nano-CMOS after Scaling Limit”, Shenyang University of Technology,

Mini-Colloquium,October 28, 2008, Shenyang, China

[354] Invited Talk: H. Iwai, “Introduction of new materials into Si-integrated Circuits”, C-MRS,

November 23, 2008, South China University of Technology, Guangzhou, China

[355] H. Iwai, “Past and Future of Si integrated Circuit Technology”, Xiangtan University, November

24, 2008, Xiangtan, China

[356] H. Iwai, “Introduction of new materials into Si integrated circuits”, Hunan University, November

27, 2008, Changsha, China

[357] H. Iwai, “Past and future of Si integrated circuit technologies”, Nanjing University, November

28, 2008, Nanjing, China

[358] H. Iwai, “Technology Scaling and Roadmap” 2008 IEDM Short Course : 22nm CMOS Technology, Decemver 14, 2008, San Francisco, USA

[359] H.Iwai, “Downsizing of transistors towards its Limit”, NIT Calicut, January 5, 2009, Calicut,

India

Page 32: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

32

[360] Invited Talk, Distinguished Lecture: H.Iwai, “Technology Scaling and Roadmap for 22nm and

beyond”, Kyungpook National University, Mini-Colloquium, March 13, 2009, Daegu, Korea

[361] H. Fujisawa, A. Srivastava, K. Kakushima, P. Ahmet, K. Tsutsui, N. Sugii, T. Hattori1, C. K.

Sarkar, H. Iwai, “Electrical Characterization of W/HfO2 MOSFETs with La2O3 Incorporation”,

ISTC /CSTIC2009, p.53, March 19,2009, Shanghai, China

[362] H. Kamimura,H. Arai,S. Sato,K. Kakushima, P. Ahmet, K. Tsutsui, N. Sugii, T. Hattori, H.

Iwai, “Evaluation of Lateral Ni Diffusion in Si Nanowire Schottky Contact”, ISTC /CSTIC2009,

p.58, March 19,2009, Shanghai, China

[363] Invited Talk, Distinguished Lecture: H. Iwai, “Technology Scaling and Roadmap for 22nm

CMOS and beyond”, University College of Dublin, EDS Mini-Colloquium on Advanced

Electron Devices modeling and Technology, May 1, 2009, Dublin, Ireland

[364] Invited Talk: H. Iwai, “Technology Roadmap for 22nm CMOS and Beyond”, IEEE/2nd IEEE

International Workshop On Electron Devices And Semiconductor Technology, June 1, 2009,

Indian Institute of Technology Bombay, Mumbai, India

[365] H.Iwai, “Past and Future of Integrated Circuits Technology”, International Conference on

Frontiers of Physics(ICFP 2009), p.60, June 2-5,2009, Kathmandu, Nepal

[366] K.Tachi, T. Ernst, C. Dupre, A. Hubert, S. Becu, H. Iwai, S. Cristoloveanu, O. Faynot,

“Transport Optimization with Width Dependence of 3D-stacked GAA Silicon Nanowire FET

with High-k/Metal Gate Stack”, 2009 Silicon Nanoelectronics Workshop, June 13, 2009, Kyoto,

Japan

[367] H. Iwai, “Nono-CMOS Technology after Reaching Its Scaling Limit”, Future Trends in

Microelectronics(FTM-2009) Workshop, June 16, Sardinia, Italy

[368] P.Ahmet, T. Nagata, K.Kakushima, K.Tsutsui, T. Chikyow, H. Iwai, “On the thermal stability

of nicket silicides”, Future Trends in Microelectronics(FTM-2009) Workshop, June 16, Sardinia,

Italy

[369] M.Kouda, N.Umezawa, K.Kakushima, P.Ahmet, K.Shiraishi, T.Chikyow, K.Yamada, H.Iwai,

“Charged defects reduction in gate insulator with multivalent materials”, 2009 Symposium on

VLSI Technology Digest of Technical Papers, pp.200-201, June 17, 2009, Kyoto, Japan

[370] Plenary Invited Talk: H.Iwai, “Roadmap for 22nm and beyond”, INFOS2009, June 29, 2009,

Clare College, Cambridge, UK

[371] J. Song, K. Kakushima, P.Ahmet, K. Tsutsui, N. Sugii, T. Hattori, H. Iwai, “Post metallization

annealing study in La2O3/Ge MOS structure”, INFOS2009, Microelectronic Engineering, Vol.

86, pp.1638-1641, June 28-July 1, 2009, Cambridege University, UK

[372] T. Kawanago, J. Song, K. Kakushima, P. Ahmet, K. Tsutsui, N. Sugii, T. Hattori, H.

Iwai ,“Experimental Study for High Efffective Mobility with directly deposited HfO2/La2O3

MOSFET”, INFOS2009, Microelectronic Engineering, Vol. 86, pp.1629-1631, June 28-July 1,

2009, Cambridege University, UK

[373] H.Iwai, “Si Nanowire experiment”, G-COE Workshop on Nanoelectronics, July 3, 2009,

University of Cambridege, UK

[374] K.Kakushima, H.Iwai, “High-k Experiment below 0.5-nm EOT”, G-COE Workshop on

Nanoelectronics, July 3, 2009, Cambridege University, UK

[375] Keynote Speech: H.Iwai, “Logic LSI Technology Roadmap for 22nm and Beyond”, IPFA2009,

July 8, Suzhou, China

[376] H.Iwai, “Future nanoelectronic device technologies - high-k, nanowire and alternative channel-”,

NSC-JST Nano Device Workshop, July 23, 2009, National Nano Device Laboratories, Hsinchu,

Taiwan

[377] S. Sato, H. Kamimura, H. Arai, K. Kakushima, P. Ahmet, K. Ohmori, K. Yamada, H. Iwai,

“High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for

Integration”, ESSDERC 2009, 39th European Solid-State Device Research Conference, pp.249-

252, September 14-18, 2009, Athens, Greece

[378] K. Kakushima, K. Okamoto, T. Koyanagi, K. Tachi, M. Kouda, T. Kawanago, J. Song, P. Ahmet,

K. Tsutsui, N. Sugii, T. Hattori, H. Iwai, “Selection of Rare Earth Silicate with SrO Capping for

EOT Scaling below 0.5 nm”, ESSDERC 2009, 39th European Solid-State Device Research

Conference, pp.403-406, September 14-18, 2009, Athens, Greece

[379] H.Iwai, “Roadmap for Nano-CMOS”, ECS 216th Meeting, ECS Transactions, Vol.25, No.7,

pp.67-76, October 7, 2009, Vienna, Austria

[380] K.Kakushima, P.Ahmet, H. Iwai, “Overwhelming the 0.5 nm EOT Level for CMOS Gate Dielectric”, ECS 216th Meeting, ECS Transactions, Vol.25, No.7, pp.171-75, October 4-9, 2009,

Vienna, Austria

[381] M.Mamatrishat, M.Kouda, K. Kakushima, P. Ahmet, K. Tsutsui, N. Sugii, K. Natori, T. Hattori,

Page 33: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

33

H. Iwai, “Analysis of Remote Coulomb Scattering Limited Mobility in MOSFETs with

CeO2/La2O3 Gate Stacks”, ECS 216th Meeting, ECS Transactions,Vol.25, No.7, pp.253-257,

October4-9, 2009, Vienna, Austria

[382] H.Arai, H.Kamimura, S.Sato, K.Kakushima, P. Ahmet, K.Tsutsui, N.Sugii, K.Natori, T.Hattori,

H.Iwai, “Annealing Reaction for Ni Silicidation of Si Nanowire”, ECS 216th Meeting, ECS

Transactions, Vol.25, No.7, pp.447-454, October 4-9, 2009, Vienna, Austria

[383] T.Koyanagi, K.Okamoto, K.Kakushima, P.Ahmet, K.Tsutsui, A. Nishiyama, N.Sugii, K.Natori,

T.Hattori, H.Iwai, “Impact of Alkali Earth Elements Incorporation on Electrical Characteristics

of La2O3 Gated MOS Device”, ECS 216th Meeting, ECS Transactions, Vol.25, No.6, pp.17-22,

October 4-9, 2009, Vienna, Austria

[384] M.K.Bera, J.Song, K.Kakushima, P.Ahmet, K.Tsutsui, N.Sugii, T.Hattori, H.Iwai, “Electrical

Properties of Lanthanum-scandate Gate Dielectric Directly Deposited on Ge”, ECS 216th

Meeting, ECS Transactions,Vol.25, No.6, pp.67-77, October 4-9, 2009, Vienna, Austria

[385] K.Funamizu, Y.C.Lin, K.Kakushima, P.Ahmet, K.Tsutsui, N.Sugii, E.Y.Chang, T.Hattori,

H.Iwai, “Electrical Characteristics of HfO2 and La2O3 Gate Dielectrics for In0.53Ga0.47As MOS

Structure”, ECS 216th Meeting, ECS Transactions, Vol.25, No.6, pp.265-270, October 4-9, 2009,

Vienna, Austria

[386] H.Nohira, Y.Kon, K.Kitamura, M.Kouda, K.Kakushima, H.Iwai, “Annealing-temperature

Dependence of Compositional Depth Profiles and Chemical Bonding States of CeOx / LaOx/Si

and LaOx/CeOx /Si Structure”, ECS 216th Meeting, ECS Transactions,Vol.25, No.6, pp.321-326,

October 4-9, 2009, Vienna, Austria

[387] H.Nakayama, K.Kakushima, P.Ahmet, E.Ikenaga, K.Tsutsui, N.Sugii, T.Hattori, H.Iwai,

“Crystallographic Orientation Dependent Electrical Characteristics of La2O3 MOS Capacitors”,

ECS 216th Meeting, Vol.25, No.6, pp.339-345, October 4-9, 2009, Vienna, Austria

[388] Y. Lee, K. Kakushima, K. Shiraishi, K. Natori, H. Iwai, “Systematic Study on Size Dependences

of Transport Parameters for Ballistic Nanowire-FET with Effective Mass Approximation”, 2009

International Conference on Solid Sate Devices and Materials, E-7-5, October 2009, Sendai

Kokusai hotel, Miyagi, Japan

[389] H. Iwai, “Miniaturization and future prospects of Si devices”, G-COE PICE International

Symposium on Silicon Nano Devices, October 13-14, 2009, Tokyo Institute of Technology,

Japan

[390] M. K. Bera, J. Song, P. Ahmet, K. Kakushima, K. Tsutsui, A. Nishiyama, N. Sugii, T. Hattori,

H. Iwai, “Rare-earth based mixed oxide as high-k gate dielectrics for Ge MOSFET”, G-COE

PICE International Symposium on Silicon Nano Devices, October 13-14, 2009, Tokyo Institute

of Technology, Japan

[391] J. Song, K. Kakushima, P. Ahmet, K. Tsutsui, N. Sugii, T. Hattori and H. Iwai, “Effect of

Ultrathin Si Passivation Layer for La2O3/Ge MOS structure”, G-COE PICE International

Symposium on Silicon Nano Devices, October 13-14, 2009, Tokyo Institute of Technology,

Japan

[392] T. Kawanago, K. Kakushima, P.Ahmet, K.Tsutsui, A. Nishiyama, N. Sugii, K. Natori, T. Hattori,

H. Iwai, “Experimental Investigation of VFB shift and Effective Mobility in La2O3 MOS

Devices”, G-COE PICE International Symposium on Silicon Nano Devices, October 13-14,

2009, Tokyo Institute of Technology, Japan

[393] S. Sato, H. Arai, K. Kakushima, P. Ahmet, H. Iwai, “Evaluation of Channel Potential Profile of

Si Nanowire Field Effect Transistor”, G-COE PICE International Symposium on Silicon Nano

Devices, October 13-14, 2009, Tokyo Institute of Technology, Japan

[394] M. Mamatrishat, M. Kouda, K. Kakushima, P. Ahmet, K. Tsutsui, N. Sugii, K. Natori, T. Hattori,

H. Iwai, “Study on Remote Coulomb Scattering Limited Mobility in MOSFETs with CeO2/

La2O3 Gate Stacks”, G-COE PICE International Symposium on Silicon Nano Devices, October

13-14, 2009, Tokyo Institute of Technology, Japan

[395] A. Abudukelimu, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori, T.

Hattori, H. Iwai, “Current-Voltage Characteristics of Ballistic Nanowire MOSFET by Numerical

Analysis”, G-COE PICE International Symposium on Silicon Nano Devices, October 13-14,

2009, Tokyo Institute of Technology, Japan

[396] M. Kouda, N. Umezawa, K. Kakushima, H. Nohira, P. Ahmet, K. Shiraishi, T. Chikyow, K.

Yamada, H. Iwai, “Charged defects reduction in gate insulator with multivalent materials”, G-

COE PICE International Symposium on Silicon Nano Devices, October 13-14, 2009, Tokyo Institute of Technology, Japan

[397] Y. Lee, K. Kakushima, K. Shiraishi, K. Natori, H. Iwai, “Size-Dependent Transport

Characteristics of Ballistic Silicon Nanowire FETs”, G-COE PICE International Symposium on

Page 34: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

34

Silicon Nano Devices, October 13-14, 2009, Tokyo Institute of Technology, Japan

[398] H. Nakayama, K. Kakushima, P. Ahmet, E. Ikenaga,K. Tsutsui, N. Sugii, T. Hattori, H. Iwai,

“Crystallographic Orientation Dependent Electrical Characteristics of La2O3 MOS Capacitors”,

G-COE PICE International Symposium on Silicon Nano Devices, October 13-14, 2009, Tokyo

Institute of Technology, Japan

[399] H. Arai, H. Kamimura, S. Sato, K. Kakushima, P. Ahmet, A. Nishiyama,K. Tsutsui, N. Sugii, K.

Natori, T. Hattori H. Iwai, “Annealing Reaction for Ni Silicidation of Si Nanowire”, G-COE

PICE International Symposium on Silicon Nano Devices, October 13-14, 2009, Tokyo Institute

of Technology, Japan

[400] K. Funamizu, T. Kanda, Y.C. Lin, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii,

E.Y. Chang, K. Natori, T. Hattori, H. Iwai, “Electrical Characteristics of HfO2 and La2O3 Gate

Dielectrics for In0.53Ga0.47As MOS Structure”, G-COE PICE International Symposium on

Silicon Nano Devices, October 13-14, 2009, Tokyo Institute of Technology, Japan

[401] W.Hosoda, K.Ozawa, K.Kakushima, P.Ahmet, K.Tsutsui, A.Nishiyama, N.Sugii, K.Natori,

T.Hattori, H.Iwai, “A Study of Schottky Barrier Height Modulation of NiSi by Interlayer

Insertion and Its Application to SOI SB-MOSFETs”, G-COE PICE International Symposium on

Silicon Nano Devices, October 13-14, 2009, Tokyo Institute of Technology, Japan

[402] K. Matano, K. Kakushima, P. Ahmet, N. Sugii, K. Tsutsui, T. Hattori, H. Iwai, “Threshold

Voltage Control in p-MOSFET with High-k Gate dielectric”, G-COE PICE International

Symposium on Silicon Nano Devices, October 13-14, 2009, Tokyo Institute of Technology,

Japan

[403] T. Koyanagi, K. Kakushima, P. Ahmet, K. Tsutsui,A. Nishiyama, N. Sugii, K. Natori, T. Hattori,

H. Iwai, “Influence of Alkali Earth Elements Capping on Electrical Characteristics of La2O3

Gated MOS Device”, G-COE PICE International Symposium on Silicon Nano Devices, October

13-14, 2009, Tokyo Institute of Technology, Japan

[404] Y. Kobayashi, K. Kakushima, P. Ahmet, V. Ramgopal Rao, K. Tsutsui, H. Iwai, “Short-channel

effects on FinFETs induced by inappropriate fin widths”, G-COE PICE International

Symposium on Silicon Nano Devices, October 13-14, 2009, Tokyo Institute of Technology,

Japan

[405] Keynote Speech: H. Iwai, “Past and future of Micro/Nano-electronics”, IEEE EDS & SKP

Workshop 2009, Novemver 4, 2009, SKP Engineering College,Tiruvannamalai, India

[406] Invited Talk, Distinguished Lecture: H. Iwai, “Past and future of Micro/Nano-electronics”,

IEEE EDS Mini Colloquia, November 9, 2009, Government Engineering College, Port Blair,

India

[407] K. Tachi, M. Casse, D. Jang, C. Dupre, A. Hubert, N. Vulliet, C. Maffini-Alvaro, C. Vizioz, C.

Carabasse, V. Delaye, J.M.Hartmann, G. Ghibaudo, H. Iwai, S. Cristoloveanu, O. Faynot, Ernst,

“Relationship between mobility and high-k interface properties in advanced Si and SiGe

nanowires”, IEDM 2009, December 8, 2009, Baltimore, USA

[408] Plenary Invited Talk:H. Iwai, “Si MOSFET Roadmap for 22nm and beyond”, CODEC 2009,

December 14, 2009, Hyatt Regency, Kolkata, India

[409] H. Iwai, “Past and Future of Silicon Electronic Devices”, December 15, 2009, National

Symposium on “Science and Technology and the Young (Career, Creativity and Excitement)”

Organized by National Academy of Science, Calcutta University, Kolkata, India

[410] Invited Talk, Distinguished Lecture: H Iwai, “Future nanoelectronic device technologies - high-

k, nanowire and alternative channel”, IEEE AP & ED Joint MQ, January 13, 2010, IIT

Bombay, Bombay, India

[411] K.Matano, K. Funamizu, M. Kouda, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N.

Sugii, K. Natori, T. Hattori, H. Iwai, “Electrical Characteristics of Rare Earth (La, Ce, Pr and

Tm) Oxides/Silicates Gate Dielectric”, China Semiconductor Technology International

Conference,Vol.27, No.1, pp.1129-1134, March 18-19, 2010, Shanghai, China

[412] W. Hosoda, K. Ozawa, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori,

T. Hattori, H. Iwai, “Fabrication of SB-MOSFETs on SOI Substrate Using Ni Silicide

Containing Er Interlayer” China Semiconductor Technology International Conference, Vol.27,

No.1, pp.1105-1110, March 18-19, 2010, Shanghai, China

[413] A. Abudukelimu, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori, T.

Hattori, H. Iwai, “Performance of Silicon Ballistic Nanowire MOSFET with Diverse

Orientations and Diameters”, China Semiconductor Technology International Conference, Vol.27, No.1, pp.1111-1116, March 18-19, 2010, Shanghai, China

[414] H Iwai, “Si Nanoelectronic Device Technology”, March 10, 2010, University of Science and

Page 35: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

35

Technology of China, Hefei, China

[415] H Iwai, “Past and Future of Integrated Circuits Technology”, March 15, 2010, Jiangxi University

of Finance and Economics, Nanchang, China

[416] H Iwai, “Past and Future of Integrated Circuits Technolog”, March 16, 2010, East China Jiaotong

University, Nanchang, China

[417] Invited Talk, Distinguished Lecture:H. Iwai, “Si Nanoelectronic Device Technolohy” , IEEE

EDS DL, Beyond the definition of classical devices & communication technology, Siliguri

Institute of Technology, March 29, 2010, Siliguri Institute of Technology, Siliguri, India

[418] Invited Talk, Distinguished Lecture:H. Iwai, “Si Nanoelectronic Device Technology", IEEE

EDS WIMNACT 23, April 2, 2010, IIT Guwahati, Guwahati, India

[419] Invited Talk, Distinguished Lecture:H. Iwai, “Si Nanoelectronic Device Technology", IEEE

EDS WIMNACT 23, April 5, 2010, North-Eastern Hill University, Shillong, India

[420] Invited Talk, Distinguished Lecture:H. Iwai, “Si Nanoelectronic Device Technology", IEEE

EDS WIMNACT 23, April 8, 2010, NIT Silchar, Silchar, India

[421] Invited Talk, Distinguished Lecture:H. Iwai, “Nanoelectronic Device Technology", IEEE EDS

WIMNACT 23, April 9, 2010, Heritage Institute of Technology, Kolkata, India

[422] P. Ahmet, K. Kakushima, H Iwai, “Towards the Ultimate Scaling of MOSFET Gate Dielectrics

- Direct Contact of High-k and Silicon-", ECS 217th Meeting, Vol.28, No.2, pp.69-73, April 26,

2010, Vancouver, Canada

[423] P. Ahmet, W. Hosoda, K. Noguchi, Y. Ohishi, K. Kakushima, K. Tsutsui, H. Iwai, “Er Inserted

Ni Silicide Metal Source/Drain for Schottky MOSFETs”, IEEE IWJT 2010 Extended Abstracts

2010 International Workshop on Junction Technology, pp.62-64, May 11, 2010, Shanghai,

China

[424] A. Uedono, K. Tsutsui, S. Ishibashi, H. Watanabe, S. Kubota, K. Tenjinbayashi, Y. Nakagawa,

B. Mizuno, T. Hattori, H. Iwai, “Vacancy-Type Defects in Ultra-Shallow Junctions Fabricated

Using Plasma Doping Studied by Positron Annihilation”, IEEE IWJT 2010 Extended Abstracts

2010 International Workshop on Junction Technology, pp.149-154, May 11, 2010, Shanghai,

China

[425] K. Tsutsui, N. Hoshino, Y. Nakagawa, M. Tanaka, H. Nohira, K. Kakushima, P. Ahmet, Y.

Sasaki, B. Mizuno, T. Hattori, H. Iwai, “Depth Profiling of Chemical Bonding States of Impurity

Atoms and Their Correlation with Electrical Activity in Si Shallow Junctions”, IEEE IWJT 2010

Extended Abstracts 2010 International Workshop on Junction Technology, pp.174-177, May 11,

2010, Shanghai, China

[426] K. Tsutsui, Y. Kobayashi, K. Kakushima, P. Ahmet, V. R. Rao, H. Iwai, “Analysis of Threshold

Voltage Variation in Double-gate MOSFETs(FinFETs), International Symposium on

technology Evolution for Silicon Nano-Electronics(ISTESNE), p.53, June 4, 2010, Tokyo

Institute of Technology, Tokyo, Japan

[427] Invited Talk, Distinguished Lecture:H. Iwai, “Past and future of Si integrated circuit device

technologies” , IEEE EDS Mini Colloquium, June 7, 2010, Institute for Microelectronics

Stuttgart(IMS-CHIPS), Stuttgart, Germany

Page 36: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

36

[428] K. Kakushima, T. Koyanagi, D. Kitayama, M. Kouda, J. Song, T. Kawanago, M. Mamatrishat,

K. Tachi, M. K. Bera,P. Ahmet, H. Nohira, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori, T.

Hattori, K. Yamada, H. Iwai, “Direct Contact of High-k/Si Gate Stack for EOT below 0.7 nm

using LaCe-silicate Layer with Vfb controllability”, 2010 Symposium on VLSI Technology,

pp.69-70, June 15, 2010, Honolulu, Hawaii, USA

[429] Keynote Speech:H. Iwai, “Future perspective for the mainstream CMOS technology and their

contribution to green technologies”, 2010 Asia-Pacific Workshop on Fundamentals and

Applications of Advanced Semiconductor Devices, July 1, 2010, Tokyo Institute of Technology,

Japan

[430] H. Iwai, “Nanoelectronic Device Technology - Future perspective for the mainstream CMOS

technology-”, July 15, 2010, Xinjiang University, Xinjiang, China

[431] Invited Talk:H. Iwai,“Si Nanowire Device and its Modeling”, International Conference on

Simulation of Semiconductor Processes and Devices(SISPAD), September 6, 2010, , Bologna,

Italy

[432] T. Kawanago, Y. Lee, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori,

T. Hattori, H. Iwai, “Optimized Oxygen Annealing Process for Vth Tuning of p-MOSFET with

High-k/Metal Gate Stacks”, ESSDERC 2010, 40th European Solid-State Device Research

Conference, September 15, 2010, Seville, Spain

[433] S. Sato,Y. Lee, K. Kakushima, P. Ahmet, K. Ohmori, K. Natori, K. Yamada, H. Iwai, “Gate

Semi-Around Si Nanowire FET Fabricated by Conventional CMOS Process with Very High

Drivability”, ESSDERC 2010, 40th European Solid-State Device Research Conference,

September 16, 2010, Seville, Spain

[434] K. Tachi, N. Vulliet, S. Barraud, B. Guillaumot, V. Maffini-Alvaro, C. Vizioz, C. Arvet, Y.

Campidelli, P. Gautier, J.M. Hartmann, T. Skotnicki, S. Cristoloveanu, H. Iwai, O. Faynot, T.

Ernst, “3D Source/Drain Doping Optimization in Multi-Channel MOSFET, ESSDERC 2010,

40th European Solid-State Device Research Conference, September 16, 2010, Seville, Spain

[435] H. Iwai, “Future Silicon Nanoelectronic Technology”, Shandong University, September 21,

2010, Jinan, China

[436] Invited Talk:H. Iwai, “High-k Gate Stack Technology Beyond 0.5 nm EOT”, 11th IUMRS

International Conference in Asia, September 28, 2010, Qingdao, China

[437] T. Koyanagi, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori, T. Hattori,

H. Iwai, “Impact of Alkali-Earth-Elements Incorporation on Vfb R0ll-Off Characteristics of

La2O3 Gated MOS Device”, ECS 218th Meeting, ECS Transactions,Vol.33, No.3, pp.67-74,

October 11, 2010, Las Vegas, USA

[438] M. Bera, P. Ahmet, K. Kakushima, K. Tsutsui, N. Sugii, A. Nishiyama, T. Hattori, H. Iwai,

“Electrical Properties of Yttrium-Titanium Oxide High-k Gate Dielectric on Ge”, ECS 218th

Meeting, ECS Transactions ,October 11, 2010, Las Vegas, USA

[439] M. Mamatrishat, M. Kouda, T. Kawanago, K. Kakushima, P. Ahmet, A. Aierken, K. Tsutsui, A.

Nishiyama, N. Sugii, K. Natori, H. Iwai, “Effect of Remote-Surface –Roughness Scattering on

Electron Mobility in MOSFETs with High-k Dielectrics”, ECS 218th Meeting, ECS

Page 37: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

37

Transactions, Vol.33, No.3, pp.249-255, October 11, 2010, Las Vegas, USA

[440] N. Shigemori, S. Sato, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori,

T. Hattori, H. Iwai, “Suppression of Lateral Encroachment of Ni Silicide into Si Nanowires using

Nitrogen Incorporation”, ECS 218th Meeting, ECS Transactions, October 11, 2010, Las Vegas,

USA

[441] D. Kitayama, T. Koyamagi, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K.

Natori, T. Hattori, H. Iwai, “TiN Capping Effect on High Temperature Annealed RE-Oxide

MOS Capacitors for Scaled EOT”, ECS 218th Meeting, ECS Transactions, Vo.33, No.3, pp.527-

535, October 11, 2010, Las Vegas, USA

[442] Y. Wu, N. Shigemori, S. Sato, K. Kakushima, P. Ahmet, K. Tsuitsui, N. Sugii, A. Nishiyama,

K. Natori, T. Hattori, H. Iwai, “Observation of Tunneling FET operation in MOSFET with

NiSi/Si Schottky source/channel interface”, ECS 218th Meeting, ECS Transactions, October 11,

2010, Las Vegas, USA

[443] Chia-Hua Chang, Tin-En Shie, Yueh-Chin Lin, K. Kakushima, H. Iwai, Po-Ching Lu, Ting-

Chun Lin, Guan Ning Juang, Edward Yi Chang, “Effect of Thermal Treatments on

HfO2/In0.7Ga0.3As Metal-Oxide-Semiconductor Capacitor Characteristics”, ECS 218th Meeting,

ECS Transactions, Vol.33, No.3, pp.473-478, October 11, 2010, Las Vegas, USA

[444] H. Iwai, “Past and Future of Micro/Nano CMOS Devices”, ICSICT(International Conference on

Solid-State and Integrated Circuit Technology)2010 EDS-Mini Colloquia & Tutorials,

November 1, 2010, Shanghai China

[445] K. Tsutsui, M. Tanaka, N. Hoshino, H. Nohira, K. Kakushima, P. Ahmet, Y. Sasaki, B. Mizuno,

T. Muro, T. Kinoshita, T. Hattori, H. Iwai, “Soft X-ray Photoelectron Spectroscopy Study of

Activation and Deactivation of Impurities in Shallow Junctions”, ICSICT(International

Conference on Solid-State and Integrated Circuit Technology)2010, November 3, 2010,

Shanghai, China

[446] A. Abudukelimu, K. Kakushima, P. Ahmet, M. Geni, K. Tsutsui, A. Nishiyama, N. Sugii, K.

Natori, T. Hattori, H. Iwai, “The Effect of Isotropic and Anisotropic Scattering in Drain Region

of Ballistic Channel Diode”, ICSICT(International Conference on Solid-State and Integrated

Circuit Technology)2010, November 3, 2010, Shanghai, China

[447] P. Ahmet, D. Kitayama, T. Kaneda, T. Suzuki, T. Koyanagi, M. Kouda, M. Mamatrishat, T.

Kawanago, K. Kakushima, H. Iwai, “Scaling of EOT Beyond 0.5nm”, ICSICT(International

Conference on Solid-State and Integrated Circuit Technology)2010, November 4, 2010,

Shanghai, China

[448] K. Ozawa, M. Kouda, Y. Urabe, T. Yasuda, K. Kakushima, P. Ahmet, H. Iwai, “La2O3

insulators prepared by ALD using La(iPrCp)3 source: self-limiting growth conditions and

electrical properties”, ICSICT(International Conference on Solid-State and Integrated Circuit

Technology)2010, November 4, 2010, Shanghai, China

[449] H. Iwai, “Si Nanowire FET Modeling and Technology”, Institute of Microelectronics Chinese

Academy of Sciencee, November 8, 2010, Beijing, China

[450] K. Tachi, M. Casse, S. Barraud, C. Dupre, A. Hubert, N. Vulliet, M.E. Faivre, C. Vizioz, C.

Page 38: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

38

Carabasse, V. Delaye, J.M. Hartmann, H. Iwai, S. Cristoloveanu, O. Faynot, T. Ernst,

“Experimental study on carrier transport limiting phenomena in 10 nm width nanowire CMOS

transistors”, 2010 IEDM, December 8, 2010, San Francisco, USA

[451] T. Nakayama, K. Kakushima, O. Nakatsuka, Y. Machida, S. Sotome, T. Matsuki, K. Ohmori, H.

Iwai, S. Zaima, T. Chikyow, K. Shiraishi, K. Yamada, “Theory of Workfunction Control of

Silicides by Doping for Future Si-Nano-Devices based on Fundamental Physics of Why Silicides

Exist in Nature”, 2010 IEDM, December 8, 2010, San Francisco, USA

[452] H. Iwai, “Past and Future of Micro/Nano-Electronics”, IEEE EDS MINI-COLLOQUIUM on

“Nanoelectronics”, Gandhi Institute of Technology and Management, December 28, 2010,

Bhubaneshwar, Orissa, India

[453] H. Iwai, “Si Nanowire FET Modeling and Technology”, IEEE EDS MINI-COLLOQUIUM on

“Nanoelectronics”, National Institute of Science and Technology, December 30, 2010,

Berhampur, Orissa, India

[454] H. Iwai, “Past and Future of Micro/Nano-Electronics”, Muthayammal Engineering, January 7,

2011, Rasipuram, Tamilnadu, India

[455] M. Kouda, K. Kakushima, P. Ahmet,K. Tsutsui,A, Nishiyama, N. Sugii, K. Natori, T. Hattori,H. Iwai, “Rare earth oxide capping effect on La2O3 gate dielectrics toward EOT of 0.5nm” ,

2011 International Workshop on Dielectric Thin Films for Future ULSI Devices: Science and

Technology(IWDTF-11) , January 20, 2011, Tokyo, Japan

[456] M. Kouda, K. Kakushima, P. Ahmet, K. Tsutsui, A, Nishiyama,N. Sugii, K. Natori, T. Hattori,

H. Iwai, “Preparation and electrical characterization of CeO2 films for gate dielectrics

application: comparative study of CVD and ALD processes”, 2011 International Workshop on

Dielectric Thin Films for Future ULSI Devices: Science and Technology(IWDTF-11) , January

20, 2011, Tokyo, Japan

[457] Y. Lee, K. Kakushima, K. Natori,H. Iwai,“Cross-sectional distribution of phonon-limited

electron mobility in rectangular silicon nanowire field effect transistors”, 2011 International

Workshop on Dielectric Thin Films for Future ULSI Devices: Science and Technology(IWDTF-

11) , January 20, 2011, Tokyo, Japan

[458] D. Hassanzadeh,T. Takashi,K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K.

Natori, T. Hattori, H. Iwai, “Effects of In0.53Ga0.47As Surface Preparation on MOS Device

Electrical Characterization”, 2011 International Workshop on Dielectric Thin Films for Future

ULSI Devices: Science and Technology(IWDTF-11) , January 20, 2011, Tokyo, Japan

[459] Introductory Talk: H. Iwai, “Future of Nano CMOS Technology”, IEEE EDS Mini-colloquium

on Nanometer CMOS Technology (WIMNACT 26), February 9, 2011, Tokyo, Japan

[460] E. Y. Chang, H. Iwai, “III-V MOSFETs for Next Generation-Fabrication of III-V MOS

Capacitor”, Taiwan-Japan Workshop on “Nano Devices”,March 3, 2011, Tokyo, Japan

[461] H. Iwai, “High-K Gate Dielectronics for Future III-V FET”, Taiwan-Japan Workshop on “Nano

Devices”,p.52, March 3, 2011, Tokyo, Japan

[462] K. Tachi, K. Kakushima, H. Iwai, S. Cristoloveanu, T. Ernst, “Characterization of carrier

transport in vertically-stacked Si nanowire FETs”, Taiwan-Japan Workshop on “Nano

Devices”,March 3, 2011, Tokyo, Japan

[463] S. Sato, K. Kakushima, P. Ahmet, K. Ohmori, K. Natori, K. Yamada, H. Iwai, “Influence of the

cross-sectional shape for Si nanowire FETs”, Taiwan-Japan Workshop on “Nano

Devices”,March 3, 2011, Tokyo, Japan

[464] Y. Lee, K. Kakushima, K. Natori, H. Iwai, “Corner Effects on Phonon-Limited Mobility in

Rectangular Si Nanowire MOSFETs”, Taiwan-Japan Workshop on “Nano Devices”,March 3,

2011, Tokyo, Japan

[465] A. Abudukelimu, K. Kakushima, P. Ahmet, K. Natori, H. Iwai, “Influence of Phonon Generation

of Hot Electrons in Drain Region on Ballistic Transport”, Taiwan-Japan Workshop on “Nano

Devices”,March 3, 2011, Tokyo, Japan [466] N. Shigemori, S. Sato, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori,

T. Hattori, H. Iwai, “An effective suppression process for Ni silicide enchroachment into Si

Page 39: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

39

nanowire”, Taiwan-Japan Workshop on “Nano Devices”,March 3, 2011, Tokyo, Japan

[467] M. Koyama, N. Shigemori, H. Arai, S. Sato, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama,

N. Sugii, K. Natori, T. Hattori, H. Iwai, “Lateral encroachment of Ni silicide into silicon

nanowire”, Taiwan-Japan Workshop on “Nano Devices”,March 3, 2011, Tokyo, Japan

[468] K. Nakajima, S. Sato, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori,

T. Hattori, H. Iwai, “Interface State Density Measurement of Three Dimensional Silicon

Structures by Charge Pumping Method”, Taiwan-Japan Workshop on “Nano Devices”,March 3,

2011, Tokyo, Japan

[469] T. Kawanago, T. Suzuki, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori,

T. Hattori, H. Iwai, “An effective process for oxygen defect suppression for La-based oxide gate

dielectric”, Taiwan-Japan Workshop on “Nano Devices”,March 3, 2011, Tokyo, Japan

[470] T. Koyanagi, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori, T. Hattori,

H. Iwai, “Flatband Voltage Shift of La-based Gate Oxides with Alkali-earth-elements

Incorporation”, Taiwan-Japan Workshop on “Nano Devices”,March 3, 2011, Tokyo, Japan

[471] T. Kaneda, M. Kouda, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori,

T. Hattori, H. Iwai, “Effect of rare earth oxide capping for La-based gate oxides”, Taiwan-Japan

Workshop on “Nano Devices”,March 3, 2011, Tokyo, Japan

[472] M. Mamatrishat, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori, H.

Iwai, “Remote-surface-roughness scattering-limited electron, mobility in ultrathin high-k gate

stacked MOSFETs”, Taiwan-Japan Workshop on “Nano Devices”,March 3, 2011, Tokyo, Japan

[473] D. Kitayama, T. Kubota, T. Koyanagi, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N.

Sugii, K. Natori, T. Hattori, H. Iwai, “Precise Control of Silicate Reaction with La2O3 Gate

Dielectrics towards Equivalent Oxide Thickness of 0.5 nm”, Taiwan-Japan Workshop on “Nano

Devices”, March 3, 2011, Tokyo, Japan

[474] T. Kanda, D. Zade, Y. -C. Lin, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, E.

Y. Chang, K. Natori, T. Hattori, H. Iwai, “Annealing Effect on the Electrical Properties of

La2O3/InGaAs MOS Capacitors”, CSTIC2011,March 13, 2011, Shanghai, China

[475] T. Kawanago, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori, T. Hattori,

H. Iwai, “Metal Inserted Poly-Si Stacks with La2O3 Gate Dielectrics for Scaled EOT and VFB

Control by Oxygen Incorporation”, CSTIC2011, March 13, 2011, Shanghai, China

[476] S. Sato, K. Kakushima, P. Ahmet, K. Ohmori, K. Natori, K. Yamada, H. Iwai, “Structural Effects

of Channel Cross-section on a Gate Capacitance of Silicon Nanowire Field-Effect Transistors”,

CSTIC2011, March 14, 2011, Shanghai, China

[477] P. Ahmet, D. Kitayama, T. Kaneda, T. Suzuki, T. Koyanagi, M. Kouda, M. Mamatrishat T.

Kawanago, K. Kakushima, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori, T. Hattori, H. Iwai,

“TiN/W/La2O3 /Si High-k Gate Stack for EOT below o.5nm”, CSTIC2011,March 14, 2011,

Shanghai, China

[478] Invited Talk, Distinguished Lecture:H. Iwai, “Past and Future of Micro/Nano-Electronic

Devices”, One day National Workshop on Electronic Devices, April 7, 2011, Mizoram

University Aizawl, Mizoram, India

[479] Invited Talk:H. Iwai, “Past and Future of Micro/Nano-Electronic Devices”,ISDMISC 2011,

April 12, 2011, Sikkim Manipal Institute of Technology, Sikkim, India

[480] H. Iwai, “Si nanowire FET technology”, ECS 219th Meeting, ECS Transactions,Vol.35, No.3,

pp.33-53, May 2, 2011, Montreal, Canada

[481] P. Ahmet, D. Kitayama, T. Kaneda, T. Suzuki, T. Koyanagi, M. Kouda, M. Mamatrishat, T.

Kawanago, K. Kakushima, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori, T. Hattori, H. Iwai,

“Effects of Metal Layer Insertion on EOT scaling in TiN/Metal/ La2O3 Si High –k Gate Stacks”,

ECS 219th Meeting, ECS Transactions, Vol.35, No.2, pp.305-308, May 3, 2011, Montreal,

Canada

[482] C. Dou, K. Mukai, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori, T.

Hattori, H. Iwai, “Resistive switching behaviors of ReRAM having W/CeO2/Si/TiN structure” ,

ECS 219th Meeting, ECS Transactions,May 4, 2011, Montreal, Canada

[483] Yueh-Chin Lin, Chia-Hua Chang, K. Kakushima, H. Iwai, Tin-En Shie, Guan-Ning Huang, Po-

Ching Lu, Ting-Chun Lin and Edward Yi Chang, “Study of La2O3/HfO2 Gate Dielectric for n-

InAs Metal-Oxide-Semiconductor Capacitor”, ECS 219th Meeting, ECS Transactions, pp.397-

401, May, 2011, Montreal, Canada

[484] Invited Talk, Distinguished Lecture:H. Iwai, “Future of Si Nano-CMOS Technology”,2011

IEEE EDS WIMNACT 29- Taiwan, IEEE EDS Mini-colloquium on Nanometer CMOS

Page 40: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

40

Technology, May 27, 2011, National Chiao Tung University, Taiwang

[485] K. Ohmori, W. Feng, S. Sato, R. Hettiarachchi, M. Sato, T. Matsuki, K. Kakushima, H. Iwai, K.

Yamada, “Direct Real-Time Observation of Channel Potential Fluctuation, Correlated to

Random Telegraph Noise of Drain Current Using Nanowire MOSFETs with Four-Probe

Terminals”, 2011 Symposium on VLSI Technology, June 14-16, 2011, Kyoto, Japan

[486] D. Zade, K. Kakushima, T. Kanda, Y.C.Lin, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, E.Y.

Chang, K. Natori, T. Hattori, H. Iwai, “Improving electrical characteristics of

W/HfO2/Ino.53Gao.47As gate stacks by altering deposition techniques”, Insulating Films on

Semiconductors(INFOS 2011), June 21-24, 2011, Grenoble, France

[487] D. Kitayama, T. Koyanagi, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K.

Natori, T. Hattori, H. Iwai, “Effect of thin Si insertion at metal gate/high-k interface on electrical

characteristics of MOS device with La2 O3”, Insulating Films on Semiconductors(INFOS 2011),

June 21-24, 2011, Grenoble, France

[488] H. Iwai, “Future of Si Nano-CMOS Technology”, ICMAT 2011 (International Conference on

Materials for Advanced Technologies), July 1, 2011, Singapore

[489] T. Kawanago, Y. Lee, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori,

T. Hattori, H. Iwai, “Metal Inserted Poly-Si wirh High Temperature Annealing for Achieving

EOT of 0.62nm in La-silicate MOSFET”, 41st European Solid-State Device Research

Conference, September 12-16, 2011, Helsinki, Finland

[490] M. Koyama, N. Shigemori, K. Ozawa, K. Tachi, K. Kakushima, O. Nakatsuka, K. Ohmori, K.

Tsutsui, A. Nshiyama, N. Sugii, K. Yamada, H. Iwai, “Si/Ni-Silicide Schottky Junctions with

Atomically Flat Interfaces Using NiSi2 Source”, 41st European Solid-State Device Research

Conference, September 12-16, 2011, Helsinki, Finland

[491] Keynote Speech:H. Iwai, “Future of Nano CMOS Technology”, 2011 IEEE Regional

Symposium on Micro and Nanoelectronics(IEEE-RSM 2011), September 29, 2011, Le Meredien

Hotel, Kota Kinabalu, Malaysia

[492] H. Iwai, “Miniaturization and future prospects of Si devices”, G-COE PICE International

Symposium and IEEE EDS Minicolloquium on Advanced Hybrid Nano Devices: Prospects by

World’s Leading Scientists, G-COE PICE International Symposium and IEEE EDS

Minicolloquium on Advanced Hybrid Nano Devices: Prospects by World’s Leading Scientists,

October 4, 2011, Tokyo Institute of Technology, Japan

[493] K. Kakushima, J. Kanehara, T. Hattori, K. Tsutsui, H. Iwai, “Boron depth profile of a plasma

immersed substrate by XPS analysis”, G-COE PICE International Symposium and IEEE EDS

Minicolloquium on Advanced Hybrid Nano Devices: Prospects by World’s Leading Scientists,

October 4-5, 2011, Tokyo Institute of Technology, Japan

[494] T. Kawanago, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, K. Tsutsui, K. Natori, T.

Hattori, H. Iwai, “Nitrogen incorporated La-silicate gate dielectric with high scalability”, G-

COE PICE International Symposium and IEEE EDS Minicolloquium on Advanced Hybrid Nano

Devices: Prospects by World’s Leading Scientists,October 4-5, 2011, Tokyo Institute of

Technology, Japan

[495] M. Mamat, T. Seki, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, K. Tsutsui, K. Natori, T.

Hattori, H. Iwai , “Evaluation of oxide traps in La based oxides for direct high-k/Si capacitor” ,

G-COE PICE International Symposium and IEEE EDS Minicolloquium on Advanced Hybrid

Nano Devices: Prospects by World’s Leading Scientists, October 4-5, 2011, Tokyo Institute of

Technology, Japan

[496] A. Abudukelimu, A. Ablimit*, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, K. Tsutsui, K.

Natori, T. Hattori, H. Iwai, “Electron transport in ballistic diodes: influence of phonon generation

in drain region” , G-COE PICE International Symposium and IEEE EDS Minicolloquium on Advanced Hybrid Nano Devices: Prospects by World’s Leading Scientists, October 4-5, 2011,

Tokyo Institute of Technology, Japan

[497] M. Kouda, K. Ozawa, K. Kakushima, P. Ahmet, H. Iwai, T. Yasuda, “Comparative study of

Page 41: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

41

CeO2 gate dielectrics using chemical vapor deposition and atomic layer deposition” , G-COE

PICE International Symposium and IEEE EDS Minicolloquium on Advanced Hybrid Nano

Devices: Prospects by World’s Leading Scientists, October 4-5, 2011, Tokyo Institute of

Technology, Japan

[498] D. H. Zadeh, Y. Suzuki, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, K. Tsutsui, K. Natori,

T. Hattori, H. Iwai,“Characterization of metal Schottky junction for InGaAs substrate” , G-COE

PICE International Symposium and IEEE EDS Minicolloquium on Advanced Hybrid Nano

Devices: Prospects by World’s Leading Scientists, October 4-5, 2011, Tokyo Institute of

Technology, Japan

[499] C. Dou, S. Sato, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, K. Tsutsui, K. Natori, T.

Hattori, H. Iwai, “Si nanowire FET with asymmetric channel”, G-COE PICE International

Symposium and IEEE EDS Minicolloquium on Advanced Hybrid Nano Devices: Prospects by

World’s Leading Scientists, October 4-5, 2011, Tokyo Institute of Technology, Japan

[500] Y. Wu, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, K. Tsutsui, K. Natori, T. Hattori, H.

Iwai, “An analytical model of a tunnel FET with Schottky junction” , G-COE PICE International

Symposium and IEEE EDS Minicolloquium on Advanced Hybrid Nano Devices: Prospects by

World’s Leading Scientists, October 4-5, 2011, Tokyo Institute of Technology, Japan

[501] K. Tuokedaerhan, T. Kaneda, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, K. Tsutsui, K.

Natori, T. Hattori, H. Iwai, “Impact of annealing ambient for La2O3/Si capacitor” , G-COE PICE

International Symposium and IEEE EDS Minicolloquium on Advanced Hybrid Nano Devices:

Prospects by World’s Leading Scientists, October 4-5, 2011, Tokyo Institute of Technology,

Japan

[502] D. Kitayama, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, K. Tsutsui, K. Natori, T. Hattori,

H. Iwai, “Effect of Silicate Formation at Metal Gate/High-k Interface on Electrical

Characteristics of La2O3 gated MOS Devices” , G-COE PICE International Symposium and

IEEE EDS Minicolloquium on Advanced Hybrid Nano Devices: Prospects by World’s Leading

Scientists, October 4-5, 2011, Tokyo Institute of Technology, Japan

[503] T. Suzuki, M. Kouda, K. Kakushima, P. Ahmet, H. Iwai, T. Yasuda, “Formation and electrical

characterization of MgO - incorporated La2O3 gate insulators by ALD” , G-COE PICE

International Symposium and IEEE EDS Minicolloquium on Advanced Hybrid Nano Devices:

Prospects by World’s Leading Scientists, October 4-5, 2011, Tokyo Institute of Technology,

Japan

[504] K. Nakajima, W. Li, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, K. Tsutsui, K. Natori,

T. Hattori, H. Iwai, “Interface state density measurements of 3D silicon channel by charge

pumping method” , G-COE PICE International Symposium and IEEE EDS Minicolloquium on

Advanced Hybrid Nano Devices: Prospects by World’s Leading Scientists, October 4-5, 2011,

Tokyo Institute of Technology, Japan

[505] R. Hosoi, Y. Suzuki, D. H. Zadeh, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, K. Tsutsui,

K. Natori, T. Hattori, H. Iwai, “A novel interpretation of frequency dispersed capacitances in

InGaAs capacitor by conductance method” , G-COE PICE International Symposium and IEEE

EDS Minicolloquium on Advanced Hybrid Nano Devices: Prospects by World’s Leading

Scientists, October 4-5, 2011, Tokyo Institute of Technology, Japan

[506] W. Li, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, K. Tsutsui, K. Natori, T. Hattori, H.

Iwai, “Interface state density measurements of 3D silicon channel by charge pumping method” ,

G-COE PICE International Symposium and IEEE EDS Minicolloquium on Advanced Hybrid

Nano Devices: Prospects by World’s Leading Scientists, October 4-5, 2011, Tokyo Institute of

Technology, Japan

[507] S. Kano, C. Dou, M. Hadi, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, K. Tsutsui, K.

Natori, T. Hattori, H. Iwai, “Impact of metal electrode material on resistive switching properties

of Ce oxides” , G-COE PICE International Symposium and IEEE EDS Minicolloquium on

Advanced Hybrid Nano Devices: Prospects by World’s Leading Scientists, October 4-5, 2011,

Tokyo Institute of Technology, Japan

[508] Y. Tanaka, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, K. Tsutsui, K. Natori, T. Hattori,

H. Iwai, “Impact of annealing on structural change in amorphous carbon: effect of Fe catalyst” ,

G-COE PICE International Symposium and IEEE EDS Minicolloquium on Advanced Hybrid

Nano Devices: Prospects by World’s Leading Scientists, G-COE PICE International Symposium

and IEEE EDS Minicolloquium on Advanced Hybrid Nano Devices: Prospects by World’s Leading Scientists, October 4-5, 2011, Tokyo Institute of Technology, Japan

[509] Y. Tamura, R. Yoshihara, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, K. Tsutsui, K.

Natori, T. Hattori, H. Iwai ,“A novel Ni silicidation technology for Schottky diode formation” ,

Page 42: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

42

G-COE PICE International Symposium and IEEE EDS Minicolloquium on Advanced Hybrid

Nano Devices: Prospects by World’s Leading Scientists, October 4-5, 2011, Tokyo Institute of

Technology, Japan

[510] K. Tsuneishi, M. Kouda, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, K. Tsutsui, K. Natori,

T. Hattori, H. Iwai,“Electrical properties of Tm2O3 gate dielectric and its scaling issues” , G-

COE PICE International Symposium and IEEE EDS Minicolloquium on Advanced Hybrid Nano

Devices: Prospects by World’s Leading Scientists, October 4-5, 2011, Tokyo Institute of

Technology, Japan

[511] M. Hosoda, Y. Lee, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, K. Tsutsui, K. Natori, T.

Hattori, H. Iwai, “Size dependent phonon limited electron mobility of Si nanowire” , G-COE

PICE International Symposium and IEEE EDS Minicolloquium on Advanced Hybrid Nano

Devices: Prospects by World’s Leading Scientists, October 4-5, 2011, Tokyo Institute of

Technology, Japan

[512] K. Matsumoto, M. Koyama, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, K. Tsutsui, K.

Natori, T. Hattori, H. Iwai, “Ni silicidation for Si fin and nanowire structures” , G-COE PICE

International Symposium and IEEE EDS Minicolloquium on Advanced Hybrid Nano Devices:

Prospects by World’s Leading Scientists, October 4-5, 2011, Tokyo Institute of Technology,

Japan

[513] R. Yoshihara, Y. Tamura, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, K. Tsutsui, K.

Natori, T. Hattori, H. Iwai, “Electrical characterization of atomically flat NiSi2 Schottky diode” ,

G-COE PICE International Symposium and IEEE EDS Minicolloquium on Advanced Hybrid

Nano Devices: Prospects by World’s Leading Scientists, October 4-5, 2011, Tokyo Institute of

Technology, Japan

[514] J. Kanehara, Y. Takei, Y. Miyata, H. Nohira, Y. Izumi, T. Muro, T. Kinoshita, P. Ahmet, K.

Kakushima, K. Tsutsui, T. Hattori, H. Iwai, “Depth Profiling of As with Various Chemical

Bonding States Doped in Si Shallow Junction by Using Soft X-ray Photoelectron Spectroscopy” ,

G-COE PICE International Symposium and IEEE EDS Minicolloquium on Advanced Hybrid

Nano Devices: Prospects by World’s Leading Scientists, October 4-5, 2011, Tokyo Institute of

Technology, Japan

[515] Y. Miyata, K. Akita, J. Kanehara, H. Nohira, Y. Izumi, T. Muro, T. Kinoshita, P. Ahmet, K.

Kakushima, K. Tsutsui, T. Hattori, H. Iwai, “Analysis of Boron Doped in Si Fin Structure by

Soft X-ray Photoelectron Spectroscopy” , G-COE PICE International Symposium and IEEE

EDS Minicolloquium on Advanced Hybrid Nano Devices: Prospects by World’s Leading

Scientists, October 4-5, 2011, Tokyo Institute of Technology, Japan

[516] M. Kouda, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori, T. Hattori,

H. Iwai, “Electrical Properties of Rare-Earth oxides and La2O3 Stacked Gate Dielectrics”, ECS 220th Meeting,ECS Transactions, Vol.41, No.7, pp.119-124, October 9-14,2011, Boston, USA

[517] T. Kaneda, M. Kouda, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori,

T. Hatorri, H. Iwai, “Influence of Flash Lamp Annealing on Electrical Characteristics of MOS

Device with Si/ La2O3/n-Si Structure”, ECS 220th Meeting, ECS Transactions, Vol.41, No.7,

pp.157-164,October 9-14,2011, Boston, USA

[518] K. Nakajima, S. Sato, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori,

T. Hattori, H. Iwai, “Dependence of Interface-State Density on Three Dimensional Silicon

Structure Measured by Charge-Pumping Method”, ECS 220th Meeting, ECS Transactions,Vol.41,

No.7, pp.293-298, October 9-14,2011, Boston, USA

[519] H. Nohira, A. Komatsu, K. Yamashita, K. Kakushima, H. Iwai, Y. Hoshi, K. Sawano, Y. Shiraki,

“XPS Study on Chemical Bonding States of high-k/high-μ Gate Stacks for Advanced CMOS”,

ECS 220th Meeting, ECS Transactions, Vol.41, No.7, pp.137-146, October 9-14,2011, Boston,

USA

[520] Y. Sakurai, K. Ohmori, K. Yamada, K. Shiraishi, K. Kakushima, H. Iwai, S. Nomura,

“Photoluminescence Characterization of the Interface Properties of Si Nanolayers and

Nanowires”, ECS 220th Meeting, October 9-14,2011, Boston, USA

[521] Invited Talk:H. Iwai, “Materials and Structures for Future nano CMOS”, IEEE Nanotechnology

Materials and Devices Conference(NMDC) 2011, October 21, 2011, Jeju, Korea

[522] Y. Tamura, R. Yoshihara, K. Kakushima, O. Nakatsuka, P. Ahmet, H. Nohira, K. Tsutsui, A.

Nishiyama, N. Sugii, K. Natori, T. Hattori, H. Iwai, “Electrical Properties of Ultrathin-Nickel-

Silicide Schottky Diodes on Si(100)”, 15th International Conference on Thin Films, 2011, November 8, 2011, Kyoto, Japan

[523] T. Kawanago, Y. Lee, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori,

T. Hattori, H. Iwai, “Characterization of Effective Electron Mobility in n/MOSFETs with Direct

Page 43: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

43

Contact La-silicate/Si Structure”, 15th International Conference on Thin Films, 2011, November

8, 2011, Kyoto, Japan

[524] Y. Suzuki, D. Zade, R. Hosoi, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K.

Natori, T. Hattori, H. Iwai, “Electrical characteristics of La2O3/In0.53Ga0.47AAs capacitors with

surface nitridation”, 15th International Conference on Thin Films, 2011, November 8, 2011,

Kyoto, Japan

[525] W. Feng, R. Hettiarachchi, Y. Lee, S. Sato, K. Kakushima, M. Sato, K. Fukuda, M. Niwa, K.

Yamabe, K. Shiraishi, H. Iwai, K. Ohmori, “Fundamental origin of excellent low-noise property

in 3D Si-MOSFETs ~Impact of charge-centroid in the channel due to quantum effect on 1/f noise

~”, 2011 IEDM, December 5-7, 2010, Washington, USA

[526] H. Iwai, “Si Nano Electronics”, 2011 Tsukuba Nanotechnology Symposium(TNS’11),

December 15, 2011, University of Tsukuba, Japan

[527] Y. Wu, K. Kakushima, K. Ohmori, A. Nishiyama, H. Iwai, K. Yamada, “A Study on Fabrication

and Analytic Modeling of novel Schottky contact tunneling Transistors”, Tsukuba

Nanotechnology Symposium(TNS’11), December 15, 2011, University of Tsukuba, Japan

[528] C. Dou, S. Sato, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori, T.

Hattori, H. Iwai, “Si nanowire FET with asymmetric channel”, Tsukuba Nanotechnology

Symposium(TNS’11), December 15, 2011, University of Tsukuba, Japan

[529] Invited Talk, Distinguished Lecture:H. Iwai, “Future of Nano CMOS Technology”,

International Workshop on The Future of Nano Electronics Research and Challenges Ahead,

December 26, 2011, SKP Engineering College, Tiruvannamalai, Tamilunadu, India

[530] Invited Talk, Distinguished Lecture: H. Iwai, “Future of Nano CMOS

Technology”,WINMACT 30, December 30, 2011, Sri Rama Krishna Institute, India

[531] Keynote Lecture: H. Iwai, “FUTURE OF NANO CMOS TECHNOLOGY”, International

Conference on Nanoscience and Technology(ICONSAT 2012), January 21, 2012, Hyderabad,

India

[532] Invited Talk, Distinguished Lecture:H. Iwai, “Future of Nano-CMOS Technology”,

WIMNACT Workshop and IEEE EDS Mini-colloquium on Nanometer CMOS Technology 31,

January 30, 2012, Tokyo Institute of Technology, Japan

[533] Invited Talk, Distinguished Lecture:H. Iwai, “Nano CMOS and High-k Technology”, IEEE

EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT, February 10, 2012,

Tokyo Institute of Technology, Japan

[534] H. Iwai, “High-k Gate Dielectrics for Future III-V FET”, IEEE EDS MQ WIMNACT 32 C0-

sponsored by EDS Japan Chapter and TIT, February 10, 2012, Tokyo Institute of Technology,

Japan

[535] K. Kakushima, J. Kanehara, T, Hattori, K. Tsutsui, H. Iwai, “Boron depth profile of a plasma

immersed substrate by XPS analysis”, IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS

Japan Chapter and TIT, February 10, 2012, Tokyo Institute of Technology, Japan

[536] T. Kawanago, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, K. Tsutsui, K. Natori, T.

Hattori, H. Iwai, “Nitrogen incorporated La-silicate gate dielectric with high scalability”, IEEE

EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT, February 10, 2012,

Tokyo Institute of Technology, Japan

[537] M. Mamat, T. Seki, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, K. Tsutsui, K. Natori, T.

Hattori, H. Iwai, “Evaluation of oxide traps in La based oxides for direct high-k/Si capacitor”,

IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT, February 10,

2012, Tokyo Institute of Technology, Japan

[538] A. Abudukelimu, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori, T.

Hattori, H. Iwai, “Influence of Heat Generation within Drain Region on Transport of Hot

Electrons”, IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT,

February 10, 2012, Tokyo Institute of Technology, Japan

[539] M. Kouda, K. Kakushima, P. Ahmet, H. Iwai, T. Yasuda, “Comparative study of CeO2 gate

dielectrics using chemical vapor deposition and atomic layer deposition”, IEEE EDS MQ

WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT, February 10, 2012, Tokyo

Institute of Technology, Japan

[540] M. Kouda, T. Suzuki, K. Kakushima, P. Ahmet, H. Iwai, T. Yasuda, “Stack structures of ALD- La2O3 and CVD-CeO2 : fabrication and mobility improvement effects”, IEEE EDS MQ

WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT, February 10, 2012, Tokyo

Institute of Technology, Japan

Page 44: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

44

[541] Y. Lee, K. Kakushima, K. Natori, H. Iwai, “Corner Effects on Phonon-Limited Mobility in

Rectangular Si Nanowire MOSFETs”, IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS

Japan Chapter and TIT, February 10, 2012, Tokyo Institute of Technology, Japan

[542] D. H. Zadeh, R. Hosoi, Y. Suzuki, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii,K. Tsutsui,

K. Natori, T. Hattori, H. Iwai, “Electrical Characterization and improvement of high-k/InGaAs

devices”, IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT,

February 10, 2012, Tokyo Institute of Technology, Japan

[543] C. Dou, S. Sato, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori, T.

Hattori, H. Iwai, “Si nanowire FET with asymmetric channel”, IEEE EDS MQ WIMNACT 32

C0-sponsored by EDS Japan Chapter and TIT, February 10, 2012, Tokyo Institute of Technology,

Japan

[544] Y. Wu, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii,K. Tsutsui, K. Natori, T. Hattori, H.

Iwai, “An analytical model of a tunnel FET with Schottky junction”, IEEE EDS MQ WIMNACT

32 C0-sponsored by EDS Japan Chapter and TIT, February 10, 2012, Tokyo Institute of

Technology, Japan

[545] W. Li, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, K. Tsutsui, K. Natori, T. Hattori, H.

Iwai, “Interface state density measurements of 3D silicon channel by conductance

method” ,IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT,

February 10, 2012, Tokyo Institute of Technology, Japan

[546] K. Tuokedaerhan, T. Kaneda, M. Mamat, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama,

N. Sugii, K. Natori, T. Hattori, H. Iwai, “Impact of Annealing Ambient for La2O3/Si Capacitor”,

IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT, February 10,

2012, Tokyo Institute of Technology, Japan

[547] D. Kitayama, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, K. Tsutsui, K. Natori, T. Hattori,

H. Iwai, “Effect of Silicate Formation at Metal Gate/High-k Interface on Electrical

Characteristics of La2O3 gated MOS Devices”, IEEE EDS MQ WIMNACT 32 C0-sponsored by

EDS Japan Chapter and TIT, February 10, 2012, Tokyo Institute of Technology, Japan

[548] K. Nakajima, W. Li, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori,

T. Hattori, H. Iwai, “Interface state density measurements of 3D silicon channel by charge

pumping method”, IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and

TIT, February 10, 2012, Tokyo Institute of Technology, Japan

[549] R. Hosoi, Y. Suzuki, D. H. Zadeh, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, K. Tsutsui,

K. Natori, T. Hattori, H. Iwai, “A novel interpretation of frequency dispersed capacitances in

InGaAs capacitor by conductance method”, IEEE EDS MQ WIMNACT 32 C0-sponsored by

EDS Japan Chapter and TIT, February 10, 2012, Tokyo Institute of Technology, Japan

[550] T. Suzuki, M. Kouda, K. Kakushima, P. Ahmet, H. Iwai, T. Yasuda, “Formation and electrical

characterization of MgO - incorporated La2O3 gate insulators by ALD” , IEEE EDS MQ

WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT, February 10, 2012, Tokyo

Institute of Technology, Japan

[551] S. Kano, C. Dou, M. Hadi, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, K. Tsutsui, K.

Natori, T. Hattori, H. Iwai, “Impact of metal electrode material on resistive swirching properties

of Ce oxides”, IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT,

February 10, 2012, Tokyo Institute of Technology, Japan

[552] Y. Tamura, R. Yoshihara, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, K. Tsutsui, K.

Natori, T. Hattori, H. Iwai, “A novel Ni silicidation technology for Schottky diode formation”,

IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT, February 10,

2012, Tokyo Institute of Technology, Japan

[553] Y. Tanaka, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, K. Tsutsui, K. Natori, T. Hattori,

H. Iwai, “Impact of annealing on structural change in amorphous carbon: effect of Fe catalyst”,

IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT, February 10,

2012, Tokyo Institute of Technology, Japan

[554] K. Matsumoto, M. Koyama, Y. Wu, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N.

Sugii, K. Natori, T. Hattori, H. Iwai, “Ni silicidation for Si Fin and nanowire strucures” IEEE

EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT, February 10, 2012,

Tokyo Institute of Technology, Japan

[555] M. Hosoda, Y. Lee, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori, H.

Iwai, “Size-dependent phonon-limited electron mobility in Si Nanowire MOSFETs”, IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT, February 10, 2012, Tokyo

Institute of Technology, Japan

[556] K. Tsuneishi, M. Kouda, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, K. Tsutsui, K. Natori,

Page 45: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

45

T. Hattori, H. Iwai, “Electrical properties of Tm2O3 gate dielectric and its scaling issues”, IEEE

EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT, February 10, 2012,

Tokyo Institute of Technology, Japan

[557] R, Yoshihara, Y. Tamura, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, K. Tsutsui, K.

Natori, T. Hattori, H. Iwai, “Electrical characterization of atomically flat NiSi2 Schottky diode”,

Ni silicidation for Si Fin and nanowire strucures” IEEE EDS MQ WIMNACT 32 C0-sponsored

by EDS Japan Chapter and TIT, February 10, 2012, Tokyo Institute of Technology, Japan

[558] H. Iwai, “High-k, Metal Gate, Channel Materials New material integration in CMOS

technologies”, ULIS 2012, Tutorial, March 5, 2012, Grenoble, France

[559] K. Kakushima, Y. Suzuki, D. Zade, T. Kawanago, H. Iwai, “High-k Gate Dielectrics for InGaAs

Substrates”, International Symposium on “Development of Core Technologies for Green

Nanoelectronics”, March 14, 2012, Miraican Hall, National Museum of Emerging Science and

Innovation, Japan

[560] R. Hosoi, Y. Suzuki, D. Zadeh, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama, N. Sugii,

K. Tsutsui, K. Natori, T. Hattori, H. Iwai, “Characterization of matal Schottky junction for

In0.53Ga0.47AAs substrates”, CSTIC 2012, March 18-19, 2012, Shanghai, China

[561] S. Kano, C. Dou, M. Hadi, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, L. Tsutsui, Y.

Kataoka, K. Natori, E. Miranda, T. Hattori, H. Iwai, “Influence electrode materials on CeOx

based resistive switching”, CSTIC 2012, March 18-19, 2012, Shanghai, China

[562] W. Li, K. Nakajima, C. Dou, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, K. Tsutsui, Y.

Kataoka, K. Natori, T. Hattori, H. Iwai, “Evaluation of Interfacial State Density of MOS

Capacitor with Three-Dimensional Channel by Conductance Method”, CSTIC 2012, March 18-

19, 2012, Shanghai, China

[563] Invited Talk, Distinguished Lecture:H. Iwai, “Past and Future of Micro/Nano-Electronic

Devices”, IEEE EDS, April 28, 2012, Institute of Engineering & Management, Kolkata, India

[564] Invited Talk, Distinguished Lecture:H. Iwai, “Past and Future of Micro/Nano-Electronic

Devices”, IEEE EDS, April 30, 2012, NIT Manipur, Imphal, India

[565] K. Ohmori, W. Feng, R. Hettiarachchi, Y. Lee, S. Sato, K. Kakushima, M. Sato, K. Fukuda, M.

Niwa, K. Yamabe, K. Shiraishi, H. Iwai, K. Yamada, “Low-frequency noise reduction in Si

Nanowire MOSFETs”, ECS 221st Meeting, ECS Transactions,Vol.45, No.3, pp.437-442, May 9,

2012, Seattle, WA, USA

[566] E. Miranda, S. Kano, C. Dou, J. Sune, K. Kakushima, H. Iwai, “New experimental evidences of

conductance quantization in electroformed oxide stacks”, E-MRS 2012 Spring Meeting, May,

2012, Strasbourg, France

[567] Y. Miyata, J. Kanehara, H. Nohira, Y. Izumi, T. Muro, T. Kinoshita, P. Ahmet, K. Kakushima,

K. Tsutsui, T. Hattori, H. Iwai, “Soft X-ray Photoelectron Spectroscopy Study of Boron Doped

on Top Surfaces and Sidewalls of Si Fin Structures”, 2012 12th International Workshop on

Junction Technology(IWJT2012), May 14-15, 2012, Shanghai, China

[568] H. Iwai, “Evolution of Si CMOS Technologies to Sub-10 nm Generation”, Electron Devices

Colloquium, June 4, 2012, Imec, Leuven, Belgium

[569] H. Iwai, “Future of Si devices”, 2012 Advanced Resesaerch Workshop Future Trends In

Microelectronics: Into the Cross Currents, June 27, 2012, Corsica, France

[570] Y. Sakurai, K. Ohmori, K. Yamada, K. Kakushima, T. Tayagaki, H. Iwai, Y. Kanemitsu, K.

Asakawa, K. Shiraishi, S. Nomura, “Photoluminescence Properties of Si Nanolayers and Si

Nanowires”, Tsukuba Nanotechnology Symposium 2012(TNS’12), July 26-27,2012, Japan

[571] T. Kawanago, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama, N. Sugii, K. Tsutsui, K.

Natori, T. Hattori, H. Iwai, “(100)-and (110)-oriented nMOSFETs with highly Scaled EOT in

La-silicate/Si Interface for Multi-gate Architecture”, ESSDERC 2012, September 18, 2012,

Bordeaux, France

[572] M. Koyama, M. Casse, R. Coquand, S. Barraud, H. Iwai, G. Ghibaudo, G. Reimbold, “Study of

Carrier Transport in Strained and Unstrained SOI Tri-gate and Omega-gate Si Nanowire

MOSFETs”, ESSDERC 2012, September 18, 2012, Bordeaux, France

[573] D. H. Zadeh, H. Ohmine, Y. Suzuki, K. Kakuhisma, P. Ahmet, Y. Kataoka, A. Nisiyama, N.

Sugii, K. Tsutsui, K. Natori, T. Hattori, H. Iwai, “La2O3/ Ino.53 Ga0.47 AS metal-oxide-

semiconductor capacitor with low interface state density using TiN/W gate electrode”, SSDM

2012, September, 2012, Kyoto, Japan

[574] H. Iwai, “Future of Nanoelectronics and Devices”, 2012 International Semiconductor Conference Dresden – Grenoble(ISCDG), September 25, 2012, Grenoble, France

[575] H. Iwai, B. de Salvo, “Scaling and Beyond for Logic and Memories. Which perspectives?”, 2012

International Semiconductor Conference Dresden – Grenoble(ISCDG), Short Course,

Page 46: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

46

September 26, 2012, Grenoble, France

[576] K. Kakushima, Y. Tamura, R. Yoshihara, K. Tsutsui, H. Iwai, “Interface Controlled Stacked Ni

Silicidation Process with Schottky Barrier Height Controllability”, IUMRS-ICEM 2012,

September 28, 2012, Yokohama, Japan

[577] H. Iwai, “Introduction of New Materials into CMOS Devices”, ECS 222nd Meeting, ECS

Transactions, Vol.50, No.5, pp.13-20, October 10, 2012, Honolulu, Hawaii

[578] H. Iwai, “Si Nanowire Technology”, ECS 222nd Meeting, ECS Transactions, Vol.50, No.4,

pp.251-260, October 10, 2012, Honolulu, Hawaii

[579] Y. Tamura, R. Yoshihara, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama, N. Sugii, K.

Tsutsui, K. Natori, T. Hattori, H. Iwai, “A Proposal of Schottky Barrire Height Tuning Method

with Interface Controlled Ni/Si Stacked Silicidation Process”, ECS 222nd Meeting, ECS

Transactions, Vol. , No. , pp. , October 8, 2012, Honolulu, Hawaii

[580] Y. Tanaka, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama, N. Sugii, K. Tsutsui, K. Natori,

T. Hattori, S. Yamasaki, H. Iwai, “TiC Electrode Formed by Multi-Stacking Process for

Diamond Contact Metal”, ECS 222nd Meeting, ECS Transactions, Vol. , No. , pp. ,

October 9, 2012, Honolulu, Hawaii

[581] Y. Suzuki, D. H. Zadeh, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama, N. Sugii, K.

Tsutsui, K. Natori, T. Hattori, H. Iwai, “Effect of In0.53Ga0.47AAs surface nitridation on electrical

characteristics of high-k/capacitors”, ECS 222nd Meeting, ECS Transactions, Vol.50, No.4,

pp.145-150, October 9, 2012, Honolulu, Hawaii

[582] R. Yoshihara, Y. Tamura, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama, N. Sugii, K.

Tsutsui, K. Natori, T. Hattori, H. Iwai, “Thermally stable NiSi2 for Ge contact with Schottky

barrirer height modulation capability”, ECS 222nd Meeting, ECS Transactions, Vol.50, No.9,

pp.217-221, October 9, 2012, Honolulu, Hawaii

[583] K. Tuokedaerhan, R. Tan, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama, N. Sugii, K.

Tsutsui, K. Natori, T. Hattori, H. Iwai, ”Interface Properties of La-silicate MOS Capacitors with

Tungsten Carbide Gate Electrode for Scaled EOT”, ECS 222nd Meeting, ECS Transactions,

Vol.50, No.4, pp.281-284, October 10, 2012, Honolulu, Hawaii

[584] J. Chen, K. Tsuneishi, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama, N. Sugii, K. Tsutsui,

K. Natori, T. Hattori, H. Iwai, “Thickness dependent electrical characteristics of AlGaN/GaN

MOSHEMT with La2O3 gate dielectrics”, ECS 222nd Meeting, ECS Transactions, Vol.50, No.3,

pp.353-357, October 10, 2012, Honolulu, Hawaii

[585] K. Tsuneishi, J. Chen, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama, N. Sugii, K. Tsutsui,

K. Natori, T. Hattori, H. Iwai, “Ti silicide electrodes low contact resistance for undoped

AlGaN/GaN structure”, ECS 222nd Meeting, ECS Transactions, Vol.50, No.3, pp.447-450,

October 11, 2012, Honolulu, Hawaii

[586] E. Miranda, T. Kawanago, K. Kakushima, J. Sune, H. Iwai, “Analysis and modeling of the gate

leakage current in advanced nMOSFET devices with severe gate–to-drain dielectric breakdown”,

ESREF2012, October, 2012, Cagliari, Italy

[587] Distinguished Lecture:H. Iwai, “Evolution of Si CMOS Technologies to Sub-10 nm

Generation”, Workshop and IEEE EDS Mini-colloquim on Nanometer CMOS

Technology(WIMNACT 35), November 29, 2012, Nanyang Technological University,

Singapore

[588] Distinguished Lecture:H. Iwai, “Evolution of Si CMOS Technologies to Sub-10 nm

Generation”, Workshop and IEEE EDS Mini-colloquim on Nanometer CMOS

Technology(WIMNACT 36), November 30, 2012, Penang Skills and Development Center,

Penang, Malaysia

[589] H. Iwai, “Future of nano CMOS technology”, EDSSC2012, December 4, 2012, Thailand

[590] H. Iwai, “Past and Future of Micro/Nano Electronic Devices”, IEEE Mini Colloquium on The

Future of Nano Electronics, December 27, 2012, Dhanalakshmi Srinivasan Engineering College,

Tiruvannamalai, Tamil Nadu, India

[591] H. Iwai, “Future of nano CMOS Technology”, ICEVENT2013, January 8, 2013, Tiruvannamalai,

India

[592] Poster:T. Kawanago, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama, N. Sugii, K. Tsutsui,

K. Natori, T. Hattori, H. Iwai, “Interface properties of La-silicate gate dielectrics on

Si(110)surface”, Workshop and IEEE EDS Mini-colloquium on Nanometer CMOS Technology

(WIMNACT 37), February 18, 2013, Royal Blue Hall and Kuramae Hall, Tokyo Institute of Technology, Japan

[593] Poster:D.H.Zade, H. Oomine, Y. Suzuki, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama,

N. Sugii, K. Tsutsui, K. Natori, T. Hattori, H. Iwai, “La2O3/In0.53Ga0.47AAs metal-oxide-

Page 47: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

47

semiconductor capacitor with low interface state density using TiN/W gate electrode”,

Workshop and IEEE EDS Mini-colloquium on Nanometer CMOS Technology (WIMNACT 37),

February 18, 2013, Royal Blue Hall and Kuramae Hall, Tokyo Institute of Technology,

Japan

[594] Poster:M. Hadi, S. Kano, C. Dou, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, K. Tsutsui,

K. Natori, T. Hattori, H. Iwai, “Resistive Switching Device using Ce-oxide with Ni-silicide

Electrodes”, Workshop and IEEE EDS Mini-colloquium on Nanometer CMOS Technology

(WIMNACT 37), February 18, 2013, Royal Blue Hall and Kuramae Hall, Tokyo Institute

of Technology, Japan

[595] Poster:K. Tuokedaerhan, S. Hosoda, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama, N.

Sugii, K. Tsutsui, K. Natori, T. Hattori, H. Iwai, “Work Function Extraction of W,Ta and Ti

Carbides Formed by Multi Stacked Process”, Workshop and IEEE EDS Mini-colloquium on

Nanometer CMOS Technology (WIMNACT 37), February 18, 2013, Royal Blue Hall and Kuramae Hall, Tokyo Institute of Technology, Japan

[596] Poster:W. Li, K. Nakajima, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K.

Natori, T. Hattori, H. Iwai, “Extraction of Interface State Density of 3-dimensional Si channel”,

Workshop and IEEE EDS Mini-colloquium on Nanometer CMOS Technology (WIMNACT 37),

February 18, 2013, Royal Blue Hall and Kuramae Hall, Tokyo Institute of Technology,

Japan

[597] Poster:J. Chen, G. Lu, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama, N. Sugii, K. Tsutsui,

K. Natori, T. Hattori, H. Iwai, “Electrical characteristics of AlGaN/GaN HEMT with La-oxide

gate dielectrics”, Workshop and IEEE EDS Mini-colloquium on Nanometer CMOS Technology

(WIMNACT 37), February 18, 2013, Royal Blue Hall and Kuramae Hall, Tokyo Institute

of Technology, Japan

[598] Poster:S. Kano, C. Dou, M. Hadi, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, K. Tsutsui,

K. Natori, T. Hattori, H. Iwai, “Transient Switching Characteristics of Ce-oxide Resistive

Switching Devices”, Workshop and IEEE EDS Mini-colloquium on Nanometer CMOS

Technology (WIMNACT 37), February 18, 2013, Royal Blue Hall and Kuramae Hall, Tokyo Institute of Technology, Japan

[599] Poster:Y. Suzuki, D.H.Zadeh, H. Oomine, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama,

N. Sugii, K. Tsutsui, K. Natori, T. Hattori, H. Iwai, “Interface Engineering of La2O3/InGaAs

Capacitors with High Temperature Stability”, Workshop and IEEE EDS Mini-colloquium on

Nanometer CMOS Technology (WIMNACT 37), February 18, 2013, Royal Blue Hall and Kuramae Hall, Tokyo Institute of Technology, Japan

[600] Poster:Y. Tanaka, K. Kakushima, P. Ahmet, Y. Kataoka, K. Tsutsui, A. Nishiyama, N. Sugii,

K. Natori, T. Hattori, H. Iwai, “Interface controlled metal contact for n-type diamonds”,

Workshop and IEEE EDS Mini-colloquium on Nanometer CMOS Technology (WIMNACT 37),

February 18, 2013, Royal Blue Hall and Kuramae Hall, Tokyo Institute of Technology,

Japan

[601] Poster:Y. Tamura, R. Yoshihara, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama, N. Sugii,

K. Tsutsui, K. Natori, T. Hattori, H. Iwai, “Stacked Ni-Silicidation Process for Schottky Barrier

FET”, Workshop and IEEE EDS Mini-colloquium on Nanometer CMOS Technology

(WIMNACT 37), February 18, 2013, Royal Blue Hall and Kuramae Hall, Tokyo Institute

of Technology, Japan

[602] Poster:K. Tsuneishi, J. Chen, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama, N. Sugii, K.

Tsutsui, K. Natori, T. Hattori, H. Iwai, “A Robust Ohmic Contact Process for AlGaN/GaN using

Ti-silicide electrodes” , Workshop and IEEE EDS Mini-colloquium on Nanometer CMOS

Technology (WIMNACT 37), February 18, 2013, Royal Blue Hall and Kuramae Hall, Tokyo Institute of Technology, Japan

[603] Poster:M. Hosoda, K. Kakushima, K. Natori, S. Yamasaki, H. Ohashi, H. Iwai, “Carrier

transport modeling in diamonds”, Workshop and IEEE EDS Mini-colloquium on Nanometer

CMOS Technology (WIMNACT 37), February 18, 2013, Royal Blue Hall and Kuramae Hall, Tokyo Institute of Technology, Japan

[604] Poster:K. Matsumoto, M. Koyama, Y. Wu, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama,

N. Sugii, K. Tsutsui, K. Natori, T. Hattori, H. Iwai, “Size dependent resistivity change of Ni-

silicides in nano-region”, Workshop and IEEE EDS Mini-colloquium on Nanometer CMOS

Technology (WIMNACT 37), February 18, 2013, Royal Blue Hall and Kuramae Hall, Tokyo Institute of Technology, Japan

[605] Poster:Y. Xhao, M. Maimaitrishat, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii,

K. Natori, T. Hattori, H. Iwai, “Separation of bulk and interface traps of La-silicate on Si(100)

Page 48: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

48

surface”, Workshop and IEEE EDS Mini-colloquium on Nanometer CMOS Technology

(WIMNACT 37), February 18, 2013, Royal Blue Hall and Kuramae Hall, Tokyo Institute

of Technology, Japan

[606] Poster:T. Inamura, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama, N. Sugii, K. Tsutsui,

K. Natori, T. Hattori, H. Iwai, “Formation of Fe-silicides using Multi-Stacking Sputtering

Process”, Workshop and IEEE EDS Mini-colloquium on Nanometer CMOS Technology

(WIMNACT 37), February 18, 2013, Royal Blue Hall and Kuramae Hall, Tokyo Institute

of Technology, Japan

[607] Poster:H. Oomine, D.H.Zadeh, Y. Suzuki, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama,

N. Sugii, K. Tsutsui, K. Natori, T. Hattori, H. Iwai, “La-Oxide Gate Dielectrics for InGaAs

Substrates formed by Chemical Vapor Deposition”, Workshop and IEEE EDS Mini-

colloquium on Nanometer CMOS Technology (WIMNACT 37), February 18, 2013, Royal Blue Hall and Kuramae Hall, Tokyo Institute of Technology, Japan

[608] Poster: T. Seki, T. Kawanago, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama, N. Sugii,

K. Tsutsui, K. Natori, T. Hattori, H. Iwai, “Infrared absorption study of La-silicate gate

dielectrics”, Workshop and IEEE EDS Mini-colloquium on Nanometer CMOS Technology

(WIMNACT 37), February 18, 2013, Royal Blue Hall and Kuramae Hall, Tokyo Institute

of Technology, Japan

[609] Poster: A. Takemasa,K. Kakushima, P. Ahmet, Y. Kataoka, K. Tsutsui, A. Nishiyama, N. Sugii,

K. Natori, T. Hattori, H. Iwai, “Impact of Surface Treatments for Metal Contact on p-type

Diamonds”, Workshop and IEEE EDS Mini-colloquium on Nanometer CMOS Technology

(WIMNACT 37), February 18, 2013, Royal Blue Hall and Kuramae Hall, Tokyo Institute

of Technology, Japan

[610] Poster: S. Hosoda, K. Tuokedaerhan, K. Kakushima, P. Ahmet, K. Tsuitsui, Y. Kataoka, A.

Nishiyama, N. Sugii, K. Natori, T. Hattori, H. Iwai, “Improvenents in interface, states with W-

carbide metal gate for La2O3/si MOS Capacitor”, Workshop and IEEE EDS Mini-colloquium on

Nanometer CMOS Technology (WIMNACT 37), February 18, 2013, Royal Blue Hall and Kuramae Hall, Tokyo Institute of Technology, Japan

[611] Poster: R. Yoshihara, Y. Tamura, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama, N. Sugii,

K. Tsutsui, K. Natori, T. Hattori, H. Iwai, “A Novel Ohmic Contact Process for n-Ge Substrates”,

Workshop and IEEE EDS Mini-colloquium on Nanometer CMOS Technology (WIMNACT 37),

February 18, 2013, Royal Blue Hall and Kuramae Hall, Tokyo Institute of Technology, Japan

[612] Poster: J. Song, M. Koyama, K. Matsumoto, K. Kakushima, O. Nakatsuka, K. Ohmori, K.

Tsutsui, A. Nishiyama, N. Sugii, K. Yamada, H. Iwai, “Atomically flat Ni-silicide/Si interface

using NiSi2 sputtering”, Workshop and IEEE EDS Mini-colloquium on Nanometer CMOS

Technology (WIMNACT 37), February 18, 2013, Royal Blue Hall and Kuramae Hall, Tokyo Institute of Technology, Japan

[613] Poster: P. Liu, K. Kakushima, H. Iwai, “Transport characteristics of 2-dimensional hole gas in

AlGaN/GaN”, Workshop and IEEE EDS Mini-colloquium on Nanometer CMOS Technology

(WIMNACT 37), February 18, 2013, Royal Blue Hall and Kuramae Hall, Tokyo Institute

of Technology, Japan

[614] Poster: M. Okamoto, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama, N. Sugii, K. Tsutsui,

K. Natori, T. Hattori, H. Iwai, “Extraction of Energy Band Diagram of AlGaN/GaN with SiO2

Capped Annealing using X-ray Photoelectron Spectroscopy”, Workshop and IEEE EDS Mini-

colloquium on Nanometer CMOS Technology (WIMNACT 37), February 18, 2013, Royal Blue Hall and Kuramae Hall, Tokyo Institute of Technology, Japan

[615] T. Seki, T. Kawanago, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama, N. Sugii, K. Tsutsui,

K. Natori, T. Hattori, H. Iwai, “Electrical and Infrared Absorption Studies on La-silicate/Si

Interface”, International Symposium on Next-Generation Electronics(ISNE 2013), February 25,

2013, I-Shou University, Kaoh siung, Taiwan

[616] M. Hosoda, K. Kakushima, K. Natori, S. Yamasaki, H. Ohashi, H. Iwai, “On the electron

conduction in n-diamond”, International Symposium on Next-Generation Electronics(ISNE

2013),February 25, 2013, I-Shou University, Kaoh siung, Taiwan

[617] K. Matsumoto, M. Koyama, Y. Wu, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama, N.

Sugii, K. Tsutsui, K. Natori, T. Hattori, H. Iwai, “Electrical Analyses of Nickel Silicide Formed

on Si Nanowires with 10-nm-width”, International Symposium on Next-Generation

Electronics(ISNE 2013),February 25, 2013, I-Shou University, Kaoh siung, Taiwan [618] H. Iwai, “III-V MOSFET for Next Generation”, Taiwan-Japan Workshop on Nano Devices

2013, March 5, 2013, National Chiao Tung University, Taiwan

[619] Invited Talk: H. Iwai, “Future of Nano CMOS Technology”, China Semiconductor Technology

Page 49: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

49

International Conference (CSTIC) 2013, March 17, 2013, Shanghai, China

[620] E. Y. Chang, H. D. Trinh, Y. C. Lin, H. Iwai, “Development of High k/III-V (InGaAs, InAs,

InSb) Structures for Future Low Power, High Speed Device Applications”, 2013 MRS Spring

Meeting, April 2, 2013, San Francisco, California, USA

[621] M. Casse, S. Barraud, R. Coquand, M. Koyama, D. Cooper, C. Vizioz, C. Comboroure, P.

Perreau, V. Maffini-Alvaro, C. Tabone, L. Tosti, S. Barnola, V. Delaye, F. Aussenac, G.

Ghibaudo, H. Iwai, G. Reimbold, “Strain-Enhanced Performance of Si-Nanowire FETs”, ECS

223nd Meeting, ECS Transactions, Vol.53, No.3, pp.125-136, May 14, 2013, Toronto, Canada

[622] K. Kakushima, R. Yoshihara, K. Tsutsui, H. Iwai, “A Low Temperature Ohmic Contact Process

for n-type Ge Substrates”, 2013 13th International Workshop on Junction

Technology(IWJT2013), June 6, 2013, Kyoto, Japan

[623] Poster: K. Kakushima, H. Wakabayashi, K. Tsutsui, H. Iwai, “Interface State Density of

Passivation/Nanowire Interface”, The 1st International Symposium on Nano-Wire Si Solar

Cells/ MEXT “FUTURE-PV Innovation” Project, June 10, 2013, Tokyo Tech Front, Tokyo

Institute of Technology, Tokyo, Japan

[624] M. Koyama, M, Casse, R. Coquand, S. Barraud, G. Ghibaudo, H. Iwai, G. Reimbold, “Study of

Low-frequency Noise in SOI Tri-gate Silicon Nanowire MOSFETs”, 22nd ICNF, June 26, 2013,

Montpellier, France

[625] E. Miranda, T. Kawanago, K. Kakushima, J. Sune, H. Iwai, “Modeling of the Output

Characteristics of Advanced N-MOSFETs After a Severe Gate-to-Channel Dielectric

Breakdown”, Insulating Films on Semiconductors(INFOS 2013), June 26, 2013, Cracow, Poland

[626] B.L.Yang, H. Wong, S. Dong, K. Kakushima, H. Iwai, “Charge Trapping and Detrapping

Characteristics CeO2/La2O3 Stack Gate Dielectrics”, 7th International Conference on Materials,

for Advanced Technologies(ICMAT2013), July 3, 2013, Singapore

[627] Invited Talk:H. Iwai, “Ultimate CMOS scaling”, Korean International Summer School on

Nanoelectronics(nano-KISS 2013), July 3, 2013, Daejeon, Korea

[628] Keynote Speech:H. Iwai, “Future of Nano CMOS Technology”, SBMicro 2013, September 4,

2013, Curitiba, Brazil

[629] Invited Talk:H. Iwai, “Future of Nano CMOS Technology”, EDS Mini Colloquim, September

9, 2013, UNICAMP, Campinas, Brazil

[630] T. Kawanago, K. Kakushima, Y. Kataoka, A. Nishiyama, N. Sugii, H. Wakabayashi, K. Tsutsui,

K. Natori, H. Iwai, “Advantage of TiN Schottky Gate over Conventional Ni for Improved

Electrical Characteristics in AlGaN/GaN HEMT”, ESSDERC 2013, September 17, 2013,

Bucharest, Romania

[631] M. Koyama, M. Casse, R. Coquand, S. Barraud, G. Ghibaudo, H. Iwai, G. Reimbold, “Influence

of Device Scaling on Low-frequency Noise in SOI Tri-gate Si Nanowire N-and PMOS FETs”,

ESSDERC 2013, September 19, 2013, Bucharest, Romania

[632] D. H. Zadeh, H. Oomine, K. Kakushima, Y. Kataoka, A. Nishiyama, N. Sugii, H. Wakabayashi,

K. Tsutsui, K. Natori, H. Iwai, “Scalable La-silicate Gate Dielectric on InGaAs Substrate with

High Thermal Stability and Low Interface State Density”, 2013 International Conference on

Solid State Devices and Materials(SSDM),September 26, 2013, Fukuoka, Japan

[633] Poster:M. S. Hadi, S. Kano, C. Dou, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama, N.

Sugii, H. Wakabayashi, K. Tsutsui, K. Natori, H. Iwai, “A Proposal of a Forming-Free Resistive

Switching Memory based on Breakdown and Anodic Reoxidation of thin SiO2 on NiSi2

Electrode using CeOx Buffer Layer”, 2013 International Conference on Solid State Devices and

Materials(SSDM),September 26, 2013, Fukuoka, Japan

[634] K. Nayak, M. Bajaj, A. Konar, P. J. Oldiges, H. Iwai, K.V.R.M. Murali, V.R. Rao, “Negative

Differential Conductivity and Carrier Heating in Gate-All-Around Si Nanowire FETs and its

Impact on CMOS logic Circuits”, 2013 International Conference on Solid State Devices and

Materials(SSDM),September 26, 2013, Fukuoka, Japan

[635] Poster:P. Liu, K. Kakushima, H. Iwai, “Characterization of Two-Dimensional Hole Gas at

GaN/AlGaN Heterointerface”, The 1st IEEE Workshop on Wide Bandgap Power Devices and

Applications, October 27-29, 2013, Columbus, Ohio,USA

[636] Poster:M. Okamoto, K. Kakushima, Y. Kataoka, A, Nishiyama, N. Sugii, H. Wakabayashi, K.

Tsutsui, H. Iwai, “An Ohmic Contact Process for AlGaN/GaN Structures using TiSi2 Electrodes”,

The 1st IEEE Workshop on Wide Bandgap Power Devices and Applications, October 27-29,

2013, Columbus, Ohio,USA [637] S. Hosoda, K. Tuokedaerhan, K. Kakushima, Y. Kataoka, A. Nishiyama, N. Sugii, H.

Wakabayashi, K. Tsutsui, K. Natori, H. Iwai, “Reliability of La-silicate MOS capacitors with

tungsten carbide gate electrode for scaled EOT”, ECS 224nd Meeting, ECS Transactions, Vol.58,

Page 50: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

50

No.7, pp.61-64, October 28, 2013, San Francisco, CA, USA

[638] J. Song, K. Matsumoto, K. Kakushima, Y. Kataoka, A. Nishiyama, N. Sugii, H. Wakabayashi,

K. Tsutsui, K. Natori, H. Iwai, “Resistivity of Ni silicide nanowires and its dependence on Ni

film thickness used for the formation”, ECS 224nd Meeting, ECS Transactions, Vol.58, No.7,

pp.87-91, October 28, 2013, San Francisco, CA, USA

[639] H. Oomine, D.H.Zadeh, K. Kakushima, Y. Kataoka, A. Nishiyama, N. Sugii, H. Wakabayashi,

K. Tsutsui, K. Natori, H. Iwai, “Electrical characterization of atomic layer deposited La2O3 films

on In0.53Ga0.47AAs substrates”, ECS 224nd Meeting, ECS Transactions, Vol.58, No.7, pp.385-389,

October 30, 2013, San Francisco, CA, USA

[640] T. Inamura, A. Sasaki, K. Aoki, K. Kakushima, Y. Kataoka, A. Nishiyama, N. Sugii, H.

Wakabayashi, K. Tsutsui, K. Natori, H. Iwai, “A stacked sputtered process for β -FeSi2

formation”, ECS 224nd Meeting, ECS Transactions, Vol. , No. , pp. , October 30, 2013, San

Francisco, CA, USA

[641] Poster:K. Tuokedaerhan, S. Hosoda, Y. Nakamura, K. Kakushima, Y. Kataoka, A. Nishiyama,

N. Sugii, H. Wakabayashi, K. Tsutsui, K. Natori, H. Iwai, “Influence of Carbon Incorporation

in W Gate Electrode for La-silicate Gate Dielectrics”, 2013 International Workshop on

DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES-SCINCE AND

TECHNOLOGY-, November 7, 2013, University of Tsukuba, Tokyo, Japan

[642] Poster:Y. Wu, H. Hasegawa, K. Kakushima, K. Ohmori, T. Watanabe, H. Wakabayashi, K.

Tsutsui, A. Nishiyama, N. Sugii, Y. Kataoka, K. Natori, K. Yamada, H. Iwai, “Influence of Band

Discontinuities at Source-Channel contact in Tunnel FET Performance”, 2013 International

Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES-SCINCE

AND TECHNOLOGY-, November 7, 2013, University of Tsukuba, Tokyo, Japan

[643] D. Hassan Zadeh, H. Oomine, K. Kakushima, Y. Kataoka, A. Nishiyama, N. Sugii, H.

Wakabayashi, K. Tsutsui, K. Natori, H. Iwai, “Low DitHigh-k/In0.53Ga0.47As Gate Stack with

CET down to 0.73 nm and Thermally Stable Silicide Contact by Suppression of Interfacial

Reaction”, IEDM 2013,December 9, 2013, Washington, DC

[644] Distinguished Lecture:H. Iwai, “Future of Nano CMOS Technology”, IEEE EDS, WIMNACT

38, December 27, 2013, Thiagarajar College of Engineering, Tamilnadu Madurai, India

[645] Plenary Talk: H. Iwai, “Future of Nano CMOS Technology”, International Conference on VLSI

Design 2014, January 7, 2014, Convocation Hall, IIT Bombay, Mumbai, India

[646] H. Iwai, “Future of Multi-Gate CMOS Technology”, Euro SOI 2014, January 29,2014,

Tatrragona Spain

[647] H. Iwai, “Future of Nano-CMOS Technology”, The Workshop on Future Trend of

Nanoelectronics:WIMNACT 39, February 7, 2014, Suzukake Hall, Suzukakedai Campus,

Tokyo Institute of Technology, Japan

[648] Poster: T. Kawanago, K. Kakushima, Y. Kataoka, A. Nishiyama, N. Sugii, H. Wakabayashi, K.

Tsutsui, K. Natori, H. Iwai, “Advantage of TiN Schottky Gate over Conventional Ni for

Improved Electrical Characteristics in AlGaN/GaN HEMT”, The Workshop on Future Trend of

Nanoelectronics:WIMNACT 39, February 7, 2014, Suzukake Hall, Suzukakedai Campus,

Tokyo Institute of Technology, Japan

[649] Poster: D. Hassan Zadeh, H. Oomine, K. Kakushima, H. Iwai, “Highly Scalable La2O3 /InGaAs

Gate Stack with Low Interface State Density”,The Workshop on Future Trend of

Nanoelectronics:WIMNACT 39, February 7, 2014, Suzukake Hall, Suzukakedai Campus,

Tokyo Institute of Technology, Japan

[650] Poster: M.S. Hadi, C. Dou, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama, N. Sugii, H.

Wakabayashi, K. Tsutsui, K. Natori, H. Iwai, “A study on Resistive Memory based on Breakdown and Anodic Reoxidation of thin SiO2 on NiSi2 Electrode with CeOx Buffer Layer”, The Workshop on Future Trend of Nanoelectronics:WIMNACT 39, February

7, 2014, Suzukake Hall, Suzukakedai Campus, Tokyo Institute of Technology, Japan

[651] Poster: C. Dou, K. Kakushima, Y. Kataoka, A. Nishiyama, N. Sugii, H. Wakabayashi, K. Tsutsui,

K. Natori, H. Iwai, “Determination of oxide traps distribution high-k/InGaAs MOS capacitor by

capacitance-voltage measurement”, The Workshop on Future Trend of

Nanoelectronics:WIMNACT 39, February 7, 2014, Suzukake Hall, Suzukakedai Campus,

Tokyo Institute of Technology, Japan

[652] Poster: Y. Wu, H. Hasegawa, K. Kakushima, H. Wakabayashi, K. Tsutsui, A. Nishiyama, N.

Sugii, Y. Kataoka, K. Natori, H. Iwai, “Influence of structure parameter on Mg2Si-Si Hetero-junction Tunneling FET”, The Workshop on Future Trend of Nanoelectronics:WIMNACT 39,

February 7, 2014, Suzukake Hall, Suzukakedai Campus, Tokyo Institute of Technology, Japan

[653] Poster: K. Tuokedaerhan, S. Hosoda, K. Kakushima, Y. Kataoka, A. Nishiyama, N. Sugii, H.

Page 51: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

51

Wakabayashi, K. Tsutsui, K. Natori, H. Iwai, “Mobility Improvement of La-silicate MOSFET

by W2C Gate Electrode”, The Workshop on Future Trend of Nanoelectronics:WIMNACT 39,

February 7, 2014, Suzukake Hall, Suzukakedai Campus, Tokyo Institute of Technology, Japan

[654] Poster: W. Li, A. Sasaki, H. Oozu, K. Aoki, K. Kakushima, Y. Kataoka, A. Nishiyama, N. Sugii,

H. Wakabayashi, K. Tsutsui, K. Natori, H. Iwai, “Resistivity Measurement of Monoclinic Thin Tungsten Oxide Film Due to Annealing Processes”, The Workshop on Future

Trend of Nanoelectronics:WIMNACT 39, February 7, 2014, Suzukake Hall, Suzukakedai

Campus, Tokyo Institute of Technology, Japan

[655] Poster: J. Chen, K. Kakushima, Y. Kataoka, A. Nishiyama, N. Sugii, H. Wakabayashi, K.

Tsutsui, K. Natori, H, Iwai, W. Saito, “Electrical characteristics of AlGaN/GaN HEMT with La2O3 gate dielectrics”, The Workshop on Future Trend of

Nanoelectronics:WIMNACT 39, February 7, 2014, Suzukake Hall, Suzukakedai Campus,

Tokyo Institute of Technology, Japan

[656] Poster:T. Inamura, T. Kato, A. Sasaki, K. Aoki, K. Kakushima,Y. Kataoka, A. Nishiyama, N.

Sugii, H. Wakabayashi, K. Tsutsui, K. Natori, H. Iwai, “ A study on silicide semiconductors for high efficiency thin film photovoltaic devices”, The Workshop on Future Trend of

Nanoelectronics:WIMNACT 39, February 7, 2014, Suzukake Hall, Suzukakedai Campus,

Tokyo Institute of Technology, Japan

[657] Poster: H. Oomine, D.H.Zadeh, K. Kakushima, A. Nishiyama, N. Sugii, Y. Kataoka, H.

Wakabayashi, K. Tsutsui, K. Natori, H. Iwai, “Effect of pretreatment for high-/k//InGaAs interface property”, The Workshop on Future Trend of Nanoelectronics:WIMNACT 39,

February 7, 2014, Suzukake Hall, Suzukakedai Campus, Tokyo Institute of Technology, Japan

[658] Poster: T. Seki, K. Kakushima, Y. Kataoka, A. Nishiyama, N. Sugii, H. Wakabayashi, K. Tsutsui,

K. Natori, H. Iwai, “Physical understanding of La-silicate gate dielectrics thermally formed by interface reaction on Si(110) and (111)”, The Workshop on Future Trend of

Nanoelectronics:WIMNACT 39, February 7, 2014, Suzukake Hall, Suzukakedai Campus,

Tokyo Institute of Technology, Japan

[659] Poster: A. Takemasa, K. Kakushima, Y. Kataoka, A. Nishiyama, N. Sugii, H. Wakabayashi, K.

Tsutsui, K. Natori, H. Iwai, “Electrical characteristics of Ti, Ni, NiSi2 and Ni3P/n-diamond

contacts”, The Workshop on Future Trend of Nanoelectronics:WIMNACT 39, February 7, 2014,

Suzukake Hall, Suzukakedai Campus, Tokyo Institute of Technology, Japan

[660] Poster: S. Hosoda, K. Tuokedaerhan, K. Kakushima, K. Tsutsui, Y. Kataoka, A. Nishiyama, N.

Sugii, H. Wakabayashi, K. Natori, T. Hattori, H. Iwai, “Atomically flat interface of La-silicate/Si with W2C gate electrodes”, The Workshop on Future Trend of

Nanoelectronics:WIMNACT 39, February 7, 2014, Suzukake Hall, Suzukakedai Campus,

Tokyo Institute of Technology, Japan

[661] Poster: R. Yoshihara, M. Motoki, K. Kakushima, Y. Kataoka, A. Nishiyama, N. Sugii, H.

Wakabayashi, K. Tsutsui, K. Natori, H. Iwai, “Interface control process toward un-pinned metal/germanium Schottky contact”, The Workshop on Future Trend of

Nanoelectronics:WIMNACT 39, February 7, 2014, Suzukake Hall, Suzukakedai Campus,

Tokyo Institute of Technology, Japan

[662] Poster: J. Song, K. Matsumoto, K. Kakushima, Y. Kataoka, A. Nishiyama, N. Sugii, H.

Wakabayashi, K. Tsutsui, K. Natori, H. Iwai, “Reduction of the resistivities of Ni Silicide formed by the reaction of Si nanowire and Ni thin films”, The Workshop on Future

Trend of Nanoelectronics:WIMNACT 39, February 7, 2014, Suzukake Hall, Suzukakedai

Campus, Tokyo Institute of Technology, Japan

[663] Poster: H. Imamura, T. Inamura, K. Kakushima, Y. Kataoka, A. Nishiyama, N. Sugii, H.

Wakabayashi, K. Tsutsui, K. Natori, H. Iwai, “Characterization of Thin NiSi2 Films by Stacked Silicidation Sputtering Process with Kr Gas”, The Workshop on Future Trend

of Nanoelectronics:WIMNACT 39, February 7, 2014, Suzukake Hall, Suzukakedai Campus,

Tokyo Institute of Technology, Japan

[664] Poster: M. Okamoto, K. Kakushima, Y. Kataoka, A. Nishiyama, N. Sugii, H. Wakabayashi, K.

Tsutsui, H. Iwai, W. Saito, “An Ohmic Contact Process for AlGaN/GaN Structures using TiSi2

Electrode”, The Workshop on Future Trend of Nanoelectronics:WIMNACT 39, February 7,

2014, Suzukake Hall, Suzukakedai Campus, Tokyo Institute of Technology, Japan

[665] Poster: T. Shoji, K. Kakushima, Y. Kataoka, A. Nishiyama, N. Sugii, H. Wakabayashi, K. Tsutsui, K. Natori, H. Iwai, “Silicon Nanowire Solar Cells: Surface Passivation and Interface

Analysis”, The Workshop on Future Trend of Nanoelectronics:WIMNACT 39, February 7, 2014,

Suzukake Hall, Suzukakedai Campus, Tokyo Institute of Technology, Japan

Page 52: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

52

[666] Poster: Y. Nakamura, K. Kakushima, Y. Kataoka, A. Nishiyama, H. Wakabayashi, N. Sugii, K.

Tsutsui, K. Natori, H. Iwai, “Measurement of flat-band voltage shift using multi-stacked dielectric film”, The Workshop on Future Trend of Nanoelectronics:WIMNACT 39,

February 7, 2014, Suzukake Hall, Suzukakedai Campus, Tokyo Institute of Technology, Japan

[667] Poster: H. Hasegawa, Y. Wu, J. Song, K. Kakushima, Y. Kataoka, A. Nishiyama, N. Sugii, H.

Wakabayashi, K. Tsutsui, K. Natori, H. Iwai, “Improvement of tunnel FET performance using

narrow bandgap semiconductor silicide /Si hetero-structure source electrode”, The Workshop on

Future Trend of Nanoelectronics:WIMNACT 39, February 7, 2014, Suzukake Hall, Suzukakedai

Campus, Tokyo Institute of Technology, Japan

[668] Poster:M. Motoki, K. Kakushima, Y. Kataoka, A. Nishiyama, N. Sugii, H. Wakabayashi, K.

Tsutsui, K. Natori, H. Iwai, “Dependence between Sheet Resistance and Annealing Temperature

of Ni Germanide Formed by Multi-Layered Ni and Ge Films”, The Workshop on Future Trend

of Nanoelectronics:WIMNACT 39, February 7, 2014, Suzukake Hall, Suzukakedai Campus,

Tokyo Institute of Technology, Japan

[669] Poster:P. Liu, A. Nakajima, K. Kakushima, T. Makino, M. Ogura, S. Nishizawa, H. Iwai, H.

Ohashi, “A study on mobility of 2D hole gas in AlGaN/GaN heterostructure with piezo- and spontaneous polarization”, The Workshop on Future Trend of

Nanoelectronics:WIMNACT 39, February 7, 2014, Suzukake Hall, Suzukakedai Campus,

Tokyo Institute of Technology, Japan

[670] Poster:Y.M. Lei, S. Munekiyo, K. Kakushima, T. Kawanago, Y. Kataoka, A. Nishiyama, N.

Sugii, H. Wakabayashi, K. Tsutsui, K. Natori, H. Iwai, M. Furuhashi, N. Miura, S. Yamakawa,

“ Interface reaction analysis of La2O3/SiC upon annealing by ATR-FTIR”, The Workshop on

Future Trend of Nanoelectronics:WIMNACT 39, February 7, 2014, Suzukake Hall, Suzukakedai

Campus, Tokyo Institute of Technology, Japan

[671] Poster:M. Yoon, K. Terayama, A. Nakajima, S. Nishizawa, H. Ohashi, K. Kakushima, H.

Wakabayashi, K. Tsutsui, H. Iwai, “Investigation into scaling law in AlGaN/GaN Fin field effect transistors by device simulation”, The Workshop on Future Trend of

Nanoelectronics:WIMNACT 39, February 7, 2014, Suzukake Hall, Suzukakedai Campus,

Tokyo Institute of Technology, Japan

[672] Poster:T. Kato, T. Inamura, A. Sasaki, K. Aoki, K. Kakushima, Y. Kataoka, A. Nishiyama, N.

Sugii, H. Wakabayashi, K. Tsutsui, K. Natori, H. Iwai, “Electrical characteristic of b-FeSi2”,

The Workshop on Future Trend of Nanoelectronics:WIMNACT 39, February 7, 2014, Suzukake

Hall, Suzukakedai Campus, Tokyo Institute of Technology, Japan

[673] Poster:Y. Matsukawa,M. Okamoto, K. Kakushima, Y. Kataoka, A. Nishiyama, N. Sugii, H.

Wakabayashi, K. Tsutsui, K. Natori, H. Iwai, W. Saito, “An Ohmic Contact Process for

AlGaN/GaN Structures using TiCElectrode”, The Workshop on Future Trend of

Nanoelectronics:WIMNACT 39, February 7, 2014, Suzukake Hall, Suzukakedai Campus,

Tokyo Institute of Technology, Japan

[674] Poster:Y. Takei, M. Okamoto, S. Man, R. Kayanuma, M. Kamiya, W. Saito, K. Tsutsui, K.

Kakushima, H. Wakabayashi, Y. Kataoka, H. Iwai, “Contact resistances depending on AlGaN

layer thickness for AlGaN/GaN HEMT structures”, The Workshop on Future Trend of

Nanoelectronics:WIMNACT 39, February 7, 2014, Suzukake Hall, Suzukakedai Campus,

Tokyo Institute of Technology, Japan

[675] Poster:M. Kamiya, Y. Takei, W. Saito, K. Kakushima, H. Wakabayashi, Y. Kataoka, K. Tsutsui,

H. Iwai, “Evaluation of 2DEG distribution on AlGaN/GaN HEMTs introducing uneven AlGaN layers and its possibility for low-resistive contacts formation”, The

Workshop on Future Trend of Nanoelectronics:WIMNACT 39, February 7, 2014, Suzukake Hall,

Suzukakedai Campus, Tokyo Institute of Technology, Japan

[676] Poster:Y. Ito, H. Hori, K. Tsutsui, K. Kakushima, H. Wakabayashi, Y. Kataoka, A. Nishiyama,

N. Sugii, K. Natori, H. Iwai, “Schottky barrier height reduction process for silicide/Si interfaces”,

The Workshop on Future Trend of Nanoelectronics:WIMNACT 39, February 7, 2014, Suzukake

Hall, Suzukakedai Campus, Tokyo Institute of Technology, Japan

[677] Poster:H. Yonezawa, R. Kayanuma, A. Nakajima, S. Nishizawa, H. Ohashi, K. Tsutsui, K.

Kakushima, H. Wakabayashi, H. Iwai, “AlGaN/GaN-based p-channel HFETs with wide-

operating temperature”, The Workshop on Future Trend of Nanoelectronics:WIMNACT 39,

February 7, 2014, Suzukake Hall, Suzukakedai Campus, Tokyo Institute of Technology, Japan

[678] Poster:K. Terayama, A. Nakajima, S. Nishizawa, H. Ohashi, K. Kakushima, H. Wakabayashi, K. Tsutsui, H. Iwai, “Caluculation of ultimate on-resistance in GaN lateral HFETs using device

simulation”, The Workshop on Future Trend of Nanoelectronics:WIMNACT 39, February 7,

2014, Suzukake Hall, Suzukakedai Campus, Tokyo Institute of Technology, Japan

Page 53: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

53

[679] Poster:S. Man, R. Kayanuma, Y. Takei, T. Takahashi, M. Shimizu, K. Tsutsui, K. Kakushima,

H. Wakabayashi, Y. Kataoka, H. Iwai, “A Study on the Fabrication of GaN-FinFET Using

Selective Area Growth Method”, The Workshop on Future Trend of

Nanoelectronics:WIMNACT 39, February 7, 2014, Suzukake Hall, Suzukakedai Campus,

Tokyo Institute of Technology, Japan

[680] Poster:H. Hori, Y. Ito, K. Tsutsui, K. Kakushima, H. Wakabayashi, Y. Kataoka, A. Nishiyama,

N. Sugii, K. Natori, H. Iwai, “Effects of substrate back bias on solar cells formed on thin SOI

structures”, The Workshop on Future Trend of Nanoelectronics:WIMNACT 39, February 7,

2014, Suzukake Hall, Suzukakedai Campus, Tokyo Institute of Technology, Japan

[681] Poster:T. Ohashi, H. Wakabayashi, K. Kakushima, N. Sugii, A. Nishiyama, Y. Kataoka, K.

Natori, K. Tsutsui, H. Iwai, “Performance Prediction on n-MOSFET using Single-Layer MoS2

Channel”, The Workshop on Future Trend of Nanoelectronics:WIMNACT 39, February 7, 2014,

Suzukake Hall, Suzukakedai Campus, Tokyo Institute of Technology, Japan

[682] Poster:A. Takemasa, K. Kakushima, Y. Kataoka, A. Nishiyama, N. Sugii, H. Wakabayashi, K.

Tsutsui, K. Natori, H. Iwai, “Electrical characteristics of n-type diamond contacts with Ti, Ni,

NiSi2 and Ni3P electrodes”, China Semiconductor Technology International Conference

(CSTIC) 2014, March 16-17, 2014, Shanghai, China

Distinguished Lecture [1] H.Iwai, “CMOS Scaling beyond 0.1µm”, IEEE EDS Distinguished Lecture Univ. of Florida ,

September, 1997, Gainsville, FL, USA

[2] H.Iwai, “CMOS scaling below 0.1µm” IEEE Distinguished Lecture”, IEEE Distinguished

Lecture, January, 1998, Singapore

[3] “CMOS Scaling and its Future towards Downsizing Limit,” IEEE EDS WIMNACT-9, 2005,

Yokohama , Japan, Oct. 2005. pp. 55-66.

[4] “Recent Status an Nano CMOS and Future Direction,” IWNC, Mishima , Japan, Jan. 2006, pp.

2-3.

[5] “Future of CMOS and Its Manufacturing” IEEE LEOS Chapter& EDS Chapter, Jan.3, 2006,

Kolkata, India

[6] “Future CMOS Scaling and Its Manufacturing”, IEEE Mini-Colloquia, Jun. 1, 2006, Naples,

Italy

[7] “High Dielectric Constant Gate Insulator Technology”, WIMNACT IEEE Mini-Colloquia Singapore, Jul. 4 2006, Singapore

[8] “Nano-CMOS and Its Manufacturing”, EDS Tsinghua Student Chapter Opening Ceremony, Jul. 10 2006, Beijing, China

[9] “Miniaturization of Semiconductor Devices for Integrated Circuits” University of Chile,

UTFSM., University of Bio Bio, Nov. 20-24 , Chile

[10] “Electron Devices for Human Society” Inaugural Ceremony of IEEE Electron Devices Society

Bangladesh Chapter, Dec 19, 2006, Dhaka, Bangladesh [11] "Miniaturization of Semiconductor Devices for Integrated Circuit”Jan. 3 2007,Bhubaneswar,

India

Page 54: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

54

[12] “Past and future of Si integrated circuit technology” Jan. 9, 11 2007,Kashgar Pedagogiical

Institute, Hotan, China

[13] “Past and future of Si integrated circuit technology” Jan.11 2007, Hotan Pedagogical College,

Hotan, China

[14] “Past and future of Si integrated circuit technology” Jan.16 2007, Xinjian University, Urumuqi, China

[15] "Nano-CMOS Technology and Its Beyond" Feb. 5 , 2007 , National Chiao Tung University,

Taiwang

[16] "Nano-CMOS and High-k/metal Gate Technology" Feb.6, 2007, UMC, Taiwang

[17] "Future of Nano CMOS Technology”、WIMNACT/MQ 1 & IEDST, June 4, 2007, Tsinghua

University, Beijing, China

[18] “Future Gate Stack Technology” Mini-Colloquium at IBM East Fishkill, Dec. 7, 2007, New

York, USA

[19] “High-k/Metal Gate Technology” Indian Institute of Technology, Bombay , Jan. 9 , 2008,

Bombay, India

[20] Past and Future for Micro-and Nano-Electronics Focusing on Si Integrated Circuits Technology”

Narula Institute of Technology , Feb. 29, 2008, Kolkata, India

[21] “Roadmap for 22nm Logic CMOS and Beyond” IIT Bombay, Jan. 21, 2009, Bombay, India

[22] “Roadmap for 22nm Logic CMOS and Beyond” Bengal Engineering Science University, Mar.

5 , 2009, Bengal, India

[23] “Downsizing of transistors towards its Limit” Bengal Institute of Technology&Management,

Mar. 6 , 2009, Bengal, India

[24] “Roadmap for 22nm Logic CMOS and Beyond” Heritage Institute of Technology, Mar. 9 , 2009,

Heritage, India

[25] “Technology Scaling and Roadmap for 22nm CMOS and beyond” University College of

Dublin, EDS Mini-Colloquium on Advanced Electron Devices modeling and Technology, May

1, 2009, Dublin, Ireland

[26] “Past and Future of Integrated Circuits Technology” University of Manchester, May 5, 2009,

Manchester, UK

[27] “Recent Our Activities in Si FET Research” IIT Madras, November 3, 2009, Chennai, India

[28] “Past and future of Micro/Nano-electronics” IEEE EDS Mini Colloquia, November 9, 2009,

Government Engineering College, Port Blair, India

[29] “Si MOSFET Roadmap for 22nm and beyond", December 16, 2009, Jadavpur University,

Kolkata, India

[30] “Future nanoelectronic device technologies - high-k, nanowire and alternative channel”,

January 13, 2010, IEEE AP & ED Joint MQ, IIT Bombay, Bombay, India

[31] H. Iwai, "Beyond the definition of classical devices & communication technology", March 29,

2010, IEEE EDS DL Siliguri Institute of Technology, Siliguri Institute of Technology, Siliguri,

India

[32] H. Iwai, "Si Nanoelectronic Device Technology", April 2, 2010, IEEE EDS WIMNACT 23, IIT

Guwahati, Guwahati, India

[33] H. Iwai, "Si Nanoelectronic Device Technology", April 5, 2010, IEEE EDS WIMNACT 23,

North-Eastern Hill University, Shillong, India

[34] H. Iwai, "Si Nanoelectronic Device Technology", April 8, 2010, IEEE EDS WIMNACT 23, NIT

Silchar, Silchar, India

[35] H. Iwai, "Nanoelectronic Device Technology", April 9, 2010, IEEE EDS WIMNACT 23,

Heritage Institute of Technology, Kolkata, India

[36] H. Iwai, "Past and future of Si integrated circuit device technologies", June 7, 2010,IEEE EDS

Mini Colloquium, Institute for Microelectronics Stuttgart(IMS-CHIPS), Stuttgart, Germany

[37] H. Iwai, “Past and future trends of integrated Circuit Technology”, October 25, 2010, Nano

Devices and Material Technology, Tribhuvan University, Pokhara, Nepal

[38] H. Iwai, “Si Nanowire FET Modeling and Technology”, November 8, 2010, Peking University

IEEE EDS DL Talk, Peking, China

[39] H. Iwai, “Past and Future of Micro/Nano-Electronics”, IEEE EDS MINI-COLLOQUIUM on

“Nanoelectronics”, Gandhi Institute of Technology and Management, December 28, 2010,

Bhubaneshwar, Orissa, India

Page 55: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

55

[40] H. Iwai, “Si Nanowire FET Modeling and Technology”, IEEE EDS MINI-COLLOQUIUM on

“Nanoelectronics”, National Institute of Science and Technology, December 30, 2010,

Berhampur, Orissa, India

[41] H. Iwai, “Short Presentation and Discussion for Future of Micro/Nano-Electronics”, January 8,

2011, SKP Engineering College, India

[42] H. Iwai, “Past and Future of Micro/Nano-Electronic Devices”, April 9, 2011, IEEE EDS DL,

North Eastern Regional Institute of Science and Technology Nirjuli, (Itanagar), Arunachar

Pradesh, India

[43] H. Iwai, “Past and Future of Micro/Nano-Electronic Devices”, April 14, 2011, IEEE EDS DL

Seminar , Nanocenter of Calcutta University, Calcutta, India

[44] H. Iwai, “Past and Future of Micro/Nano-Electronic Devices”, April 7, 2011, One day National

Workshop on Electronic Devices, Mizoram University Aizawl, Mizoram, India

[45] H. Iwai, “Future of Si Nano-CMOS Technology”, IEEE EDS MINI-COLLOQUIUM:

WIMNACT 29, National Chiao Tung University, May 27, 2011, Taiwang

[46] H. Iwai, “Future of Nano CMOS Technology”, International Workshop on The Future of Nano

Electronics Research and Challenges Ahead, December 26, 2011, SKP Engineering College,

Tiruvannamalai, Tamilunadu, India

[47] H. Iwai, “Future of Nano CMOS Technology”,WINMACT 30, December 30, 2011, Sri Rama

Krishna Institute, India

[48] H. Iwai, “Future of Nano-CMOS Technology”, WIMNACT Workshop and IEEE EDS Mini-

colloquium on Nanometer CMOS Technology 31, January 30, 2012, Tokyo Institute of

Technology, Japan

[49] H. Iwai, “Nano CMOS and High-k Technology”, IEEE EDS MQ WIMNACT 32 C0-sponsored

by EDS Japan Chapter and TIT, February 10, 2012, Tokyo Institute of Technology, Japan

[50] H. Iwai, “Past and Future of Micro/Nano-Electronic Devices”, IEEE EDS, April 28, 2012,

Institute of Engineering & Management, Kolkata, India

[51] H. Iwai, “Past and Future of Micro/Nano-Electronic Devices”, IEEE EDS, April 30, 2012, NIT

Manipur, Imphal, India

[52] H. Iwai, “Evolution of Si CMOS Technologies to Sub-10 nm Generation”, Workshop and IEEE

EDS Mini-colloquim on Nanometer CMOS Technology(WIMNACT 35), November 29, 2012,

Nanyang Technological University, Singapore

[53] H. Iwai, “Evolution of Si CMOS Technologies to Sub-10 nm Generation”, Workshop and IEEE

EDS Mini-colloquim on Nanometer CMOS Technology(WIMNACT 36), November 30, 2012,

Penang Skills and Development Center, Penang, Malaysia

[54] H. Iwai, “Past and Future of Micro/Nano Electronic Devices”, IEEE Mini Colloquium on The

Future of Nano Electronics, December 27, 2012, Dhanalakshmi Srinivasan Engineering College,

Tiruvannamalai, Tamil Nadu, India

[55] H. Iwai, “High-k/metal gate stack technologies with EOT less than 0.5nm”, IEEE AP/ED

Bombay Chapter, January 24, 2013, IIT Bombay, Mumbai,India

[56] H. Iwai, “CMOS Logic Technology”, IEEE AP/ED Bombay Chapter, January 24, 2013, IIT

Bombay, Mumbai,India

[57] H. Iwai, “Future of nano CMOS Technology”, IEEE EDS, January 9, 2013, VIT University,

Vellore, India

[58] H. Iwai, “Future of Nano CMOS Technology”, IEEE EDS, June 21, 2013, Institute of Electron

Technology, Warsaw, Poland

[59] H. Iwai, “Future of Nano CMOS Technology”, EDS Mini Colloquim, September 9, 2013,

UNICAMP, Campinas, Brazil

[60] H. Iwai, “Future of Nano CMOS Technology”,IEEE EDS, September 24,2013, Institut

Polytechnique de GRENOBLE, France

[61] H. Iwai, “Future of Nano CMOS Technology”,IEEE EDS,October 8, 2013, Institute of Microelectronics, Chinese Academy of Science (IMECAS), Beijing, China

[62] H. Iwai, “Future of Nano CMOS Technology”, IEEE EDS, WIMNACT 38, December 27, 2013,

Thiagarajar College of Engineering, Tamilnadu Madurai, India

Page 56: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

56

[63] H. Iwai, “Future of Nano CMOS Technology”, IEEE EDS, January 20, 2014, IIT Bombay,

Mumbai, India

[64]

Seminar

[1] Distinguished Lecture: H. Iwai “Miniaturization of Semiconductor Devices for Integrated

Circuits” University of Chile, UTFSM., University of Bio Bio, Nov. 20-24 , 2006, Chile

[2] Distinguished Lecture: H. Iwai, “Past and future of Si integrated circuit technology” Jan. 9, 11

2007, Kashgar Pedagogical Institute , Kashgar & Hotan Pedagogical College, Hotan, China

[3] Distinguished Lecture: H. Iwai, “Past and future of Si integrated circuit technology” Jan.11

2007, Hotan Pedagogical College, Hotan, China

[4] Distinguished Lecture: H. Iwai, “Past and future of Si integrated circuit technology” Jan.16

2007, Xinjian University, Urumuqi, China

[5] Distinguished Lecture:H. Iwai, "Nano-CMOS Technology and Its Beyond" Feb. 5 , 2007 ,

National Chiao Tung University, Taiwang

[6] Distinguished Lecture:H. Iwai, "Nano-CMOS and High-k/metal Gate Technology" Feb.6, 2007,

UMC, Taiwang

[7] Distinguished Lecture: H. Iwai, “High-k/Metal Gate Technology” Indian Institute of

Technology, Bombay , Jan. 9 , 2008, Bombay, India

[8] Distinguished Lecture:H.Iwai, Past and Future for Micro-and Nano-Electronics Focusing on Si

Integrated Circuits Technology” Narula Institute of Technology , Feb. 29, 2008, Kolkata, India

[9] Distinguished Lecture: H. Iwai, “Roadmap for 22nm Logic CMOS and Beyond” IIT Bombay,

Jan. 21, 2009, Bombay, India

[10] Distinguished Lecture: H. Iwai, “Roadmap for 22nm Logic CMOS and Beyond” Bengal

Engineering Science University, Mar. 5 , 2009, Bengal, India

[11] Distinguished Lecture: H. Iwai, “Downsizing of transistors towards its Limit” Bengal Institute

of Technology&Management, Mar. 6 , 2009, Bengal, India

[12] Distinguished Lecture: H. Iwai, “Roadmap for 22nm Logic CMOS and Beyond” Heritage

Institute of Technology, Mar. 9 , 2009, Heritage, India

[13] Distinguished Lecture: H. Iwai, “Past and Future of Integrated Circuits Technology” University

of Manchester, May 5, 2009, Manchester, UK

[14] Distinguished Lecture: H. Iwai “Recent Our Activities in Si FET Research” IIT Madras,

November 3, 2009, Chennai, India

[15] Distinguished Lecture: H. Iwai “Si MOSFET Roadmap for 22nm and beyond", December 16,

2009, Jadavpur University, Kolkata, India

[16] H. Iwai “Past and Future of Silicon Electronic Devices", Seminar, December 24, 2009, Xian

Jiaotong University, Xian, China

[17] H. Iwai “Future nanoelectronic device technologies - high-k, nanowire and alternative channel",

Seminar, December 24, 2009, Xian Jiaotong University, Xian, China

[18] H. Iwai “Past and Future of Silicon Electronic Devices", Seminar, December 29, 2009,

Northwestern Polytechnical University,Xian, China

[19] H. Iwai, “Nano-CMOS Technology”, June 1, 2011, Lanzhou Jiaotong University, China

[20] H. Iwai, “Future of Micro/Nano Electronics”, August 12, 2011, University of Science and

Technology China, Hafei, China

[21] H. Iwai, “Future of Micro/Nano Electronics”, August 17, 2011,Xiamen University, Xiamen,

China

[22] Distinguished Lecture:H. Iwai, “Future of Micro/Nano Electronics”, September 19, 2011, KTH,

Kista, Sweden

Page 57: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

57

[23] H. Iwai, “Past and Future of Micro/Nano Electronics”, October 28, 2011, Zijingang Campus,

Zhejiang University, Hangzhou, China

[24] H. Iwai, “Past and Future of Micro/Nano Electronics”, October 28, 2011, Yuquan Campus,

Zhejiang University, Hangzhou, China

[25] H. Iwai, “Past and Future of Micro/Nano Electronics”, October 31, 2011, Zhejiang Technology

and Science University, Hangzhou, China

[26] H. Iwai, “Past and Future of Micro/Nano Electronics”, November 2, 2011, Ningbo Institute of

Material Technology and Engineering, Hangzhou, China

[27] H. Iwai, “Evolution of Si CMOS Technologies to Sub-10 nm Generation”, May 16, 2012,

Hangzhou University of Electronic Science and Technology, Hangzhou, China

[28] H. Iwai, “Past and Future of Micro/Nano Electronic Devices”, July 16, 2012, Beifang University

of Nationality, Yinchuan, China

[29] H. Iwai, “Future of Nano CMOS Technology”, October 14, 2013, University of Science and

Technology of China, Hefei, China

[30] H. Iwai, “Future of Nano CMOS Technology”, October 17, 2013, Nanjing University, Nanjing,

China

[31] H. Iwai, “Problems and some solutions for future Nano CMOS Technology”, October 29, 2013,

Stanford University, USA

[32] H. Iwai, “Future of Nano CMOS Technology”, January 2, 2014, IISc Bangalore, India

[33] H. Iwai, “Future of Nano CMOS Technology”, January 3, 2014, IBM SRDC(Semiconductor

Research and Development Center),Bangalore, India

[34] H. Iwai, “Future of Nano-CMOS Technology”, January 20, 2014, URV(Universitat Rovira I

Virgili), Tarragona, Spain

[35] Distinguished Lecture:H. Iwai, “Future of Nano-CMOS Technology”, March 20, 2014,

Zhejiang University, Hangzhou, China

Others

[1] H. Iwai “Transistor Level Modeling for Analog/RF IC Design” 2006 Springer

[2] H. Iwai and W. Maszara, “Advanced materials and IC process technologies,” Proc. of the Ninth

International Symposium on Silicon Material Science and Technology, Semiconductor Silicon

2002, pp. 325-327 [3] H. Iwai “IEEE Division I Activities in R10” IEEE AdVom Meeting, May 29, 2011, Taiwan

[4] H. Iwai “Green by/on Nano CMOS Technology “ Ambassador’s Residence, Embassy of

Switzerland, Tokyo, February 15, 2012

Page 58: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

58

15.国内学会発表リスト

[1] 招待講演:岩井 洋 百瀬寿代、「直接トンネルゲート酸化膜の MOSFET への応用」

応用物理学会薄膜・表面物理分科会特別研究会「極薄シリコン酸化膜の形成・評価・信

頼性」pp.193-198 嵐山、埼玉、1997 年 1 月

[2] 岩井 洋「高周波パワーデバイスⅠ」電気学会、高性能高機能パワー素子用デバイス・

プロセス技術調査専門委員会 特別シンポジウム「21 世紀に向けたパワーデバイスの

重点課題」1997 年 3 月

[3] 藤本竜一、大高章二、谷本 洋、岩井 洋「微細 CMOS を用いた 1.5GHz 低雑音増幅

器」電子情報通信学会、回路とシステム軽井沢ワークショップ pp.237-242 軽井沢、

1997 年 4 月

[4] 岩井 洋「単体 Trに関する本質的課題と解決に向けて」電子工業振興会、半導体産業

研究所「0.01mmSiLSI に向けたシンポジウム」1997 年 5 月

[5] 岩井 洋「CMOSLSI の微細化と低消費電力化」超高密度高速知能システム機能研究会、

通研研究「Si 系デバイスの極微細化」仙台 1997 年 7 月

[6] 百瀬寿代、岩井 洋「トンネルゲート酸化膜 MOSFET 技術」半導体研究振興会、半導

体専門講習会 蔵王 1997 年 7 月

[7] 招待講演:岩井 洋、「21 世紀の極微細デバイス構造」電気学会電子・情報・システ

ム部門大会、pp.29-30 東京、1997 年 8 月

[8] 招待講演:岩井 洋、「ワンチップシステムへの集積化を目指す RF パワーMOSFET」

電気学会電子・情報・システム部門大会、pp. 207-208 東京、1997 年 8 月

[9] 岩井 洋「Si 系デバイス(MOSFET)の微細化と性能限界」応用物理学会スクール Si デ

バイスの新機軸記号-ポスト DRAM を見据えて- pp.1-26 1997 年 10 月

[10] 百瀬寿代、岩井 洋「極薄ゲート酸化膜技術」VLSI Forum 極薄化、高信頼性を模索す

るゲート絶縁膜技術 pp. 49-61、1997 年 11 月

[11] 岩井 洋「集積化 SiMOSFET の微細化限界について」電子工業振興会「デカナノエレ

クトロ二クス委員会」東京 1997 年 11 月

[12] 岩井 洋「シリコンデバイスの微細化とその問題」電気学会・光応用・視覚研究会

LAV-97-14 pp. 1-16 東京、1997 年 11 月

[13] 岩井 洋「超高周波 CMOS 技術」電気学会・電子デバイス研究会、EDD-97-77 pp. 33-

36 東京、1997 年 12 月

[14] 岩井 洋、大黒達也「高速・高周波 CMOS サリサイド技術」日本学術振興会 未来開

拓推進プロジェクト「次世代 ULSI 用薄膜材料の開発とナノスケールインテグレーシ

ョン」第 3 回研究会、平成 9 年度報告書 pp. 12-13 名古屋、1998 年 3 月

[15] 岩井 洋、大黒達也、「サリサイド技術への CMOS への応用」東北大学電気通信研究

所共同プロジェクト「IV 族半導体極微細構造形成と表面・界面に関する研究」平成 9

年度研究会 予稿集 pp. 3-4 仙台、1998 年 3 月

[16] 岩井 洋、大黒達也「極微細シリコン高周波デバイス技術」応用物理学会関係連合講

演会「シリコン高周波デバイスの展望」シンポジウム 東京、1998 年 3 月

[17] 岩井 洋、「高速シリコンデバイスの現状と将来展望」日本学術振興会 長集積化デ

バイス・システム第 165 研究会第 6 回研究会、研究資料 pp. 9-16 東京、1998 年 4 月

[18] 岩井 洋、「最先端 MOSLSI 研究開発動向」京都大学 LSI 量子効果融合デバイス研

究会、京都、 1998 年 4 月

[19] 百瀬寿代、中村新一、勝又康弘、岩井 洋「1.5nm 酸化膜 MOSFET」電子情報通信学

会 信学技報 ED-98-9, SDM98-9 pp. 49-61 広島、1998 年 4 月

[20] 招待講演:岩井 洋、大黒 達也、勝又 康弘、「Si エピタキシーの Advanced CMOS

LSI への応用」、IV 族半導体極微構造形成と表面・界面制御に関する研究、pp.25-26、

蔵王、宮城、1998 年 10 月

[21] 招待講演:岩井 洋、「高速シリコンデバイスの現状と将来展望」日本学術振興会超集

Page 59: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

59

積化デバイス・システム第 165 研究会 第6回研究会、東京、pp.9-16、1998 年 4 月

[22] 岩井 洋、大黒 達也、勝又 康弘、「Si エピタキシーの Advance CMOS LSI への

応用」、東北大学電気通信研究所共同プロジェクト研究「IV 族半導体極微細構造形成と

表面・界面に関する研究」、pg.25、1998 年

[23] 招待講演:岩井 洋、「CMOS デバイスの限界」、STARC Symposium、pp.41、1998 年

[24] 招待講演:岩井 洋、大黒 達也、「高速・高周波 CMOS サリサイド技術」、日本学術

振興会未来開拓学術研究推進プロジェクト「次世代 ULSI 用薄膜材料の開発とナノスケ

ールインテグレーション第 3 回研究会」、pp.12、1998 年

[25] 岩井 洋、大黒 達也、「サリサイド技術への CMOS への応用」、東北大学電気通信研

究所共同プロジェクト研究「IV 族半導体極微細構造形成と表面・界面に関する研究」平

成 9 年度研究会、pp.3、1998 年

[26] 招待講演:岩井 洋、「高速シリコンデバイスの現状と将来展望」、日本学術振興会超

集積化デバイス・システム第 6 回研究会」、pp.9、1998 年

[27] 百瀬 寿代、中村 新一、勝又 康弘、岩井 洋、「1.5nm 酸化膜 MOSFET」、電子情

報通信学会研究会 信学技報、pp.15、1998 年

[28] 森藤 英治、大黒 達也、吉富 崇、森本 豊太、百瀬 寿代、勝又 康弘、岩井 洋、

「CMOS におけるプロセスダメージとアナログ特性の関係」、電子情報通信学会技術研

究報告、信学技報 vol.98 no.517,1999 年 1 月

[29] 岩井 洋、「RF CMOS 技術」 電子デバイス研究会、pp.13-18、1999 年 3 月

[30] 岩井 洋、大見 俊一郎、「次世代ゲート絶縁膜技術」 東京工業大学 ベンチャー・

ビジネス・ラボラトリー 公開シンポジウム 99、東京、pp.32-35、1999 年 12 月

[31] 招待講演:岩井 洋、「Si-MOSFET の微細化の研究」、電子情報通信学会 総合大会、

1999 年

[32] 招待講演:岩井 洋、「最先端 MOSLSI 研究開発動向」、京都大学 LSI 量子効果融合デ

バイス研究会

[33] 岩井洋、大見俊一郎 “微細シリコンデバイスに要求される各種高性能薄膜” 応用物理

Vol.69 No.1 pp.4-14(2000 年 1 月)

[34] 招待講演:岩井 洋、「高誘電率ゲート絶縁膜の展開」、極限構造電子物性第 151 委員

会,第 53 回研究会、pp.1-16、2000 年 3 月

[35] 招待講演:岩井 洋、大見 俊一郎、「次世代ゲート絶縁膜の動向」、日本学術振興会

未来開拓学術研究推進事業 研究プロジェクト、「次世代 ULSI 用薄膜材料の開発とナ

ノスケールプロセスインテグレーション」第 6 回研究会、pp.1-5、2000 年 3 月

[36] 招待講演:岩井 洋、大見 俊一郎、「21世紀の半導体デバイスとリソグラフィイ

技術」、pp.340-343,電気学会誌、vol.120, no.6,2000 年

[37] 招待講演:岩井 洋・大見 俊一郎、「CMOSULSI 技術開発の課題」、半導体界面制御

技術第 154 委員会第 28 回研究会、日本学術振興会、pp.1-14, 東京、2000 年 7 月 18 日

[38] 岩井 洋・大見 俊一郎、「High-K 材料と極微細デバイス」、極限構造電子物性第 151

委員会第 55 回研究会・超集積化デバイス・システム第 165 委員会第 16 回研究会、日

本学術振興会、pp.8-19, 東京、2000 年 7 月 19 日

[39] 岩井 洋、「0.1µm 以下への CMOS 微細化の問題点と新素材、プロセス、構造による

解決」、Milli-Fest 2000 講演、2000 年 7 月 28 日

[40] 大島享介、大見俊一郎、徳光永輔、岩井洋、石原宏、“高誘電率材料 ZrO2膜の特性と Sr

添加の効果”、第 61回応用物理学会学術講演会、3p-ZD-5(2000年 9月)

[41] 岩井 洋・大見 俊一郎、百瀬 寿代、大黒 達也、勝又 康弘、「マイクロ波 Si 系半

導体素子・回路の技術動向及び今後の展望について」、電子情報通信学会 C 論文誌、

Vol.J83-C、 No.10、pp.911-919、2000 年 10 月

[42] 招待講演:岩井 洋・大見 俊一郎、「70nm、50nm node における CMOS 技術開発の

課題」、応用物理学会分科会シリコンテクノロジー、No.23、pp.7-20、2000 年 11 月

[43] 招待講演:岩井 洋、大見 俊一郎、芦田光行、大島享介、藤村亮介、佐藤航一郎、武

田光弘、小林千尋、「次世代ゲート絶縁膜技術」 東京工業大学 ベンチャー・ビジネ

ス・ラボラトリー 公開シンポジウム 00、東京、pp.75-78、2000 年 12 月

[44] 岩井 洋、「High-k ゲート絶縁膜の実用化に向けての課題」、第 57 回 VLSI FORUM「ア

Page 60: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

60

グレッシブに動くゲート絶縁膜」、VLSI Report、pp.13-28,2001 年 2 月 23 日

[45] 大見俊一郎、R. S. Johnson、J. G. Hong、G. Lucovsky、岩井 洋、 “RPECVD法による Al2O3

の Si基板上への形成”、第48回応用物理学関係連合講演会、30p-YF-7(2001 年 3 月)

[46] 藤村亮介、武田光弘、大見俊一郎、石原宏、岩井洋、“シミュレーションによる極微細高誘電

率ゲート FET の電気特性解析”、第48回応用物理学関係連合講演会、29p-ZS-1(2001 年 3

月)

[47] 大島享介、大見俊一郎、徳光永輔、岩井洋、石原宏、“超高真空電子ビーム蒸着法により堆

積した高誘電率材料 ZrO2 膜の特性”、第48回応用物理学関係連合講演会、30p-YF-17

(2001年 3月)

[48] 佐藤航一郎、大見俊一郎、徳光永輔、石原宏、岩井洋、“電子ビーム蒸着法により Si 基板上

に堆積した Pr 酸化物の特性”、第48回応用物理学関係連合講演会、31a-YF-2(2001 年 3

月)

[49] 小林千尋、大見俊一郎、徳光永輔、石原宏、岩井洋、“電子ビーム蒸着法による La2O3 薄膜

の Si基板上への形成”、第48回応用物理学関係連合講演会、31a-YF-3(2001 年 3 月)

[50] 芦田光行、大見俊一郎、大黒達也、岩井洋、“微細 CMOS における 1/f 雑音のゲート長

依存性”、第48回応用物理学関係連合講演会、31a-ZL-9(2001 年 3 月)

[51] 岩井 洋、大見 俊一郎、“次世代ゲート絶縁膜用高誘電率薄膜に関する研究”、日本学

術振興会 未来開拓学術研究推進事業 研究プロジェクト、「次世代 ULSI 用薄膜材料の

開発とナノスケールプロセスインテグレーション」第8回研究会、名古屋大学ベンチャ

ービジネスラボラトリー、2001 年 4 月 5 日

[52] 岩井 洋、“次世代ゲート絶縁膜技術”、TEL Advanced Technology Forum 2001、東京、

2001 年 4 月 13 日

[53] 大見俊一郎、岩井洋、“技術トレンド アメリカを中心とするゲート絶縁膜用 High-k材

料の最新技術動向”、マテリアルステージ 1(2)、pp.1-11、2001 年 5 月

[54] 招待講演:岩井 洋、大見 俊一郎、“高誘電体ゲート絶縁膜技術”、招待講演、半導

体・集積回路技術第60回シンポジウム、大阪、講演論文集 pp.6-11、2001 年 6 月 6 日・

7 日

[55] 岩井 洋、大見 俊一郎、“微細 CMOS 技術”、超機能化グローバルインテグレーション研究 講演予稿集 pp.3, 4、2002 年 2 月

[56] 佐藤航一郎、大見俊一郎、石原宏、岩井洋、” Si 基板上への高誘電率 Pr2O3 薄膜の形

成条件に関する検討” 第49回応用物理学関係連合講演会、vol.2 pp.795(2002 年 3 月)

[57] 赤間貞洋、菊池明、戸野谷純一、大見俊一郎、石原宏、岩井洋 “高誘電率希土類酸化物

薄膜の耐湿性に関する検討” 第49回応用物理学関係連合講演会、vol.2 pp.795(2002

年 3 月)

[58] 柏木郁未、大島千鶴、大見俊一郎、石原宏、岩井洋 “希土類酸化物薄膜の電気特性にお

ける Si 基板面方位依存性” 第49回応用物理学関係連合講演会、vol.2 pp.796(2002 年

3 月)

[59] 田口順一、山本浩之、戸野谷純一、大見俊一郎、石原宏、岩井洋 “Dy2O3/Si(100)構造

の電気特性のアニール時間依存性” 第49回応用物理学関係連合講演会、vol.2 pp.835

(2002 年 3 月)

[60] 山本浩之、田口純一、大見俊一郎、石原宏、岩井洋 “In-Situ アニールによる Dy2O3

薄膜の電気特性向上に関する検討” 第49回応用物理学関係連合講演会、vol.2 pp.836

(2002 年 3 月)

[61] 大島千鶴、柏木郁未、大見俊一郎、石原宏、岩井洋 “Si 基板上に形成した高誘電率 Gd2O3

薄膜の電気特性” 第49回応用物理学関係連合講演会、vol.2 pp.836(2002 年 3 月)

[62] 菊地明、赤間貞洋、戸野谷純一、大見俊一郎、石原宏、岩井洋 “希土類酸化物薄膜のウ

ェットプロセス耐性” 第49回応用物理学関係連合講演会、vol.2 pp.836(2002 年 3 月)

[63] 武田光弘、大見俊一郎、石原宏、岩井洋 “Lu2O3 薄膜形成における基板表面処理の効

果” 第49回応用物理学関係連合講演会、vol.2 pp.837(2002 年 3 月)

[64] 中村智裕、白石貴義、高橋健介、柏木郁未、大島千鶴、武田光弘、野平博司、大見俊一

郎、岩井洋、服部健雄 “GdOx および LuOx の極薄膜の角度分解 X 線光電子分光分析”

第49回応用物理学関係連合講演会、vol.2 pp.837(2002 年 3 月)

[65] 戸野谷純一、赤間貞洋、菊池明、大見俊一郎、岩井洋 “High-k ゲート絶縁膜の RIE 特

Page 61: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

61

性” 第49回応用物理学関係連合講演会、vol.2 pp.837(2002 年 3 月)

[66] 特別招待論文:岩井洋、”低消費電力化技術とゲート絶縁膜の薄膜化”、電気情報通信学会技術研究報告、Vol.102, No.271, pp.37-42(2002 年 8 月)

[67] 栗山篤、山本浩之、大見俊一郎、岩井洋“希土類酸化物薄膜における in-situ 真空アニールの効果に関する検討” 第 63 回応用物理学会学術講演会講演予稿集、No.2 pp.734 (2002 年 9 月)

[68] 金容湜、柏木郁未、大見俊一郎、岩井洋“希土類酸化物の結晶化における Si 基板面方位依存性”第 63 回応用物理学会学術講演会講演予稿集、No.2 pp.734(2002 年 9 月)

[69] 吉原義昭、大島千鶴、大見俊一郎、岩井洋“高誘電率希土類酸化物薄膜の Si 基板表面処理の効果” 第 63 回応用物理学会学術講演会講演予稿集、No.2 pp.735(2002 年 9 月)

[70] 白石貴義、中村智裕、吉田徹史、高橋健介、柏木郁未、大島千鶴、武田光弘、野平博司、大見俊一郎、岩井洋、服部健雄“GdOx/Si(100)および LuOx/Si(100)界面遷移層の角度分析X線光電子分光分析” 第 63 回応用物理学会学術講演会講演予稿集、No.2 pp.735 (2002 年 9 月)

[71] 上田功、田口順一、大見俊一郎、岩井洋“希土類酸化物上への TaN ゲート電極の形成 第 63 回応用物理学会学術講演会講演予稿集、No.2 pp.737(2002 年 9 月)

[72] 岩井洋: 高速 CMOS デバイスの動向と課題; STARC シンポジウム 2002 講演予稿集,

pp.27-38, (2002 年 9 月)

[73] 岩井洋: 超低消費電力・超高速情報通信用ナノデバイス集積回路の研究; 東工大イノベーション研究推進体発足記念講演会, (2002 年 9 月)

[74] 岩井洋: Si ナノデバイスと酸化物; CERAMIC DATA BOOK 2002, vol.30 no.84 pp.171-173

(2002 年 10 月)

[75] 岩井洋: 50nm 以下の領域への CMOS のスケーリングとそのための必要プロセス技術;

酸化膜研究会, (2002)

[76] 岩井洋、”日本にとってのシリコン半導体デバイス研究開発の重要性とその戦略”、文部科学省科学技術政策研究所講演録-109 (2002 年 12 月)

[77] 岩井洋、大見俊一郎 、グローバル・デバイス・インテグレーション技術の創製―高誘電体ゲート絶縁膜形成とプラズマドーピング技術、文部科学省特定領域研究(A)「超機能化グローバルインテグレーション研究」研究会講演予稿集(2003 年 3 月)pp.179-184

[78] 金容湜、大見俊一郎、筒井一生、岩井洋 “MBE 法により形成した高誘電率 La2O3 薄膜における電気特性” 第 50 回応用物理学関係連合講演会、No.2 pp.868 (2003 年 3 月)

[79] 吉原義昭、大島千鶴、大見俊一郎、筒井一生、岩井洋 “La2O3 薄膜の電気特性におけるSi 基板表面処理の効果” 第 50 回応用物理学関係連合講演会、No.2 pp.868 (2003 年 3 月)

[80] 上田功、大見俊一郎、筒井一生、岩井洋 “高誘電率希土類酸化物の積層構造に関する検討” 第 50 回応用物理学関係連合講演会、No.2 pp.869 (2003 年 3 月)

[81] 中嶋薫、城森慎司、鈴木基史、木村健二、大見俊一郎、岩井洋 “La2O3, Gd2O3 およびLu2O3 極薄膜の高分解能 RBS による分析” 第 50 回応用物理学関係連合講演会、No.2

pp.869 (2003 年 3 月)

[82] 白石貴義、竹林一騎、高橋健介、野平博司、柏木郁未、大島千鶴、大見俊一郎、岩井洋、城森慎司、中嶋薫、鈴木基史、木村健二、服部健雄 “LuOx 極薄膜の深さ方向組成・科学結合状態分析” 第 50 回応用物理学関係連合講演会、No.2 pp.870 (2003 年 3 月)

[83] 吉田徹史、白石貴義、高橋健介、野平博司、柏木郁未、大島千鶴、大見俊一郎、岩井洋、城森慎司、中嶋薫、鈴木基史、木村健二、服部健雄 “LuOx 極薄膜中のシリケート” 第50 回応用物理学関係連合講演会、No.2 pp.870 (2003 年 3 月)

[84] 野平博司、白石貴義、高橋健介、柏木郁未、大島千鶴、大見俊一郎、岩井洋、城森慎司、中嶋薫、鈴木基史、木村健二、高田恭孝、小林啓介、辛埴、服部健雄 “希土類酸化物極薄膜中のシリケートとシリサイド” 第 50 回応用物理学関係連合講演会、No.2 pp.870

(2003 年 3 月)

[85] 大島千鶴、吉原義昭、大見俊一郎、筒井一生、岩井洋 “高誘電率 Gd2O3薄膜の Si 基板表面処理の効果” 第 50 回応用物理学関係連合講演会、No.2 pp.871(2003 年 3 月)

[86] 栗山篤、大見俊一郎、筒井一生、岩井洋 “希土類酸化物薄膜におけるポストアニールに関する検討” 第 50 回応用物理学関係連合講演会、No.2 pp.871 (2003 年 3 月)

[87] 柏木郁未、大見俊一郎、筒井一生、岩井洋 “希土類酸化物の電気特性における Si 基板面方位依存性” 第 50 回応用物理学関係連合講演会、No.2 pp.871 (2003 年 3 月)

[88] 田口順一、大見俊一郎、筒井一生、岩井洋 “TaN/Dy2O3/n-Si(100)構造に置ける電気特性のアニール条件依存性” 第 50 回応用物理学関係連合講演会、No.2 pp.872 (2003 年 3 月)

[89] 山本浩之、栗山篤、大見俊一郎、筒井一生、岩井洋 “希土類酸化物薄膜における超高真空アニールの効果に関する検討” 第 50回応用物理学関係連合講演会、No.2 pp.872 (2003

年 3 月)

[90] 戸野谷純一、大見俊一郎、岩井洋 “TaN ゲート電極の RIE 特性” 第 50 回応用物理学関

Page 62: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

62

係連合講演会、No.2 pp.935 (2003 年 3 月)

[91] 檜垣良太、赤間貞洋、筒井一生、佐々木雄一朗、水野文二、吉川住和、大見俊一郎、岩井洋 “室温ガスドーピング法におけるドーズ量の制御” 第 50回応用物理学関係連合講演会、No.2 pp.940 (2003 年 3 月)

[92] 赤間貞洋、檜垣良太、筒井一生、佐々木雄一朗、水野文二、吉川住和、大見俊一郎、岩井洋 “室温ガスドーピングの表面反応機構” 第 50 回応用物理学関係連合講演会、No.2

pp.941 (2003 年 3 月)

[93] 佐々木雄一朗、水野文二、赤間貞洋、檜垣良太、大見俊一郎、筒井一生、岡下勝己、前嶋聡、吉川住和、中山一郎、岩井洋 “プラズマドーピング法における H 等の深さ分布プロファイルの挙動とコンタミの検討” 第 50 回応用物理学関係連合講演会、No.2

pp.941 (2003 年 3 月)

[94] 野平博司、白石貴義、高橋健介、柏木郁未、大島千鶴、大見俊一郎、岩井洋、城森慎司、中嶋薫、鈴木基史、木村健二、服部健雄 “極薄希土類酸化膜/Si(100)界面構造(極薄ゲート絶縁膜・シリコン界面の評価技術・解析技術)” 電子情報通信学会技術研究報告、SDM、シリコン材料・デバイス Vol.103 No.149、pp.25-29(2003 年 6 月)

[95] 白石貴義、吉田徹史、野平博司、柏木郁未、大島千鶴、大見俊一郎、岩井洋、城森慎司、中嶋薫、鈴木基史、木村健二、服部健雄 “LaOx極薄膜の組成・化学結合状態の深さ方向分析(II)” 第 64 回応用物理学関係連合講演会、No.2 pp.735 (2003 年 8 月)

[96] 小林洋一、上田功、大見俊一郎、筒井一生、岩井洋 “高誘電率希土類酸化物の積層構造に関する検討” 第 64 回応用物理学関係連合講演会、No.2 pp.735 (2003 年 8 月)

[97] 宮内邦裕、金容湜、大見俊一郎、筒井一生、岩井洋 “電子ビーム蒸着法により形成した高誘電率 La2 O3薄膜における漏れ電流特性” 第 64 回応用物理学関係連合講演会、No.2

pp.735 (2003 年 8 月)

[98] 吉田丈治、ヘンドリアンシャーサウッディン、大見俊一郎、筒井一生、岩井洋 “La2 O3

をゲート絶縁膜に用いた MISFET の低周波ノイズ” 第 64 回応用物理学関係連合講演会、No.2 pp.736 (2003 年 8 月)

[99] 黄仁安、栗山篤、大見俊一郎、筒井一生、岩井洋 “La2 O3 薄膜におけるポストメタルアニールに関する検討” 第 64 回応用物理学関係連合講演会、No.2 pp.736 (2003 年 8 月)

[100] 岩井洋 “微細化の末法時代とは : シリコンデバイスの重要性とその行方”応用物理Vol.72 No.9、pp.1119(2003 年 9 月)

[101] 檜垣良太、筒井一生、佐々木雄一朗、水野文二、吉川住和、大見俊一郎、岩井洋 “プラズマ前処理を用いたガスドーピングにおける基板表面状態の影響” 第 64 回応用物理学関係連合講演会、No.2 pp.771 (2003 年 9 月)

[102] 佐藤貴久、檜垣良太、筒井一生、佐々木雄一朗、田村秀貴、金成国、水野文二、大見俊一郎、岩井洋 “プラズマドーピング前後の HF 洗浄とドーズ量の変化” 第 64 回応用物理学関係連合講演会、No.2 pp.772 (2003 年 9 月)

[103] 岩井洋 “新材料・プロセスの新技術” 第 7 回システム LSI ワークショップ、pp.171-189

(2003 年 11 月)

[104] 岩井洋 “希土類系ゲート絶縁膜” 春季第 51 回応用物理学関係連合講演会、No.0

pp.5(2004 年 3 月)

[105] 小林洋一、上田功、筒井一生、岩井洋 “La2O3/La/n-Si(100)積層構造に関する検討” 春季第 51 回応用物理学関係連合講演会、No.2 pp.869 (2004 年 3 月)

[106] 黄仁安、栗山篤、大見俊一郎、筒井一生、岩井洋 “La2O3 薄膜に対する熱処理に関する検討” 春季第 51 回応用物理学関係連合講演会、No.2 pp.869 (2004 年 3 月)

[107] 吉田丈治、ヘンドリアンシャーサウッディン、大見俊一郎、筒井一生、岩井洋 “La2O3

をゲート絶縁膜に用いた MISFET の低周波ノイズのアニール雰囲気依存性” 春季第 51

回応用物理学関係連合講演会、No.2 pp.869 (2004 年 3 月)

[108] 宮内邦裕、大見俊一郎、筒井一生、岩井洋 “La2O3 薄膜における電気特性のアニール時間依存性” 春季第 51 回応用物理学関係連合講演会、No.2 pp.870 (2004 年 3 月)

[109] 金容湜、大見俊一郎、筒井一生、岩井洋 “高誘電率 La2O3薄膜におけるリーク電流機構の解析” 春季第 51 回応用物理学関係連合講演会、No.2 pp.870 (2004 年 3 月)

[110] 佐藤貴久、田村秀貴、檜垣良太、佐々木雄一朗、水野文二、筒井一生、大見俊一郎、岩井洋 “プラズマドーピング後の基板洗浄がドーズに与える影響” 春季第 51回応用物理学関係連合講演会、No.2 pp.945 (2004 年 3 月)

[111] 高木克洋、佐藤貴久、田村秀貴、筒井一生、佐々木雄一朗、水野文二、大見俊一郎、岩井洋 “プラズマドーピングにおける He プラズマ後処理による不純物プロファイル制御” 春季第 51 回応用物理学関係連合講演会、No.2 pp.945 (2004 年 3 月)

[112] 黒木裕介、黄仁安、栗山篤、大見俊一郎、筒井一生、岩井洋“La2O3 をゲート絶縁に用いた MISFET におけるポストメタライゼーションアニ―ルの効果に関する検討”

秋季第 65 回応用物理学会学術講演会講演予稿集、No.2 pp.686(2004 年 9 月)

Page 63: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

63

[113] 高木克洋、佐藤貴久、田村秀貴、岡下勝己、筒井一生、佐々木雄一朗、水野文二、岩井洋 “プラズマドーピングにおける He プラズマ前処理の不純物プロファイルへの効果”秋季第 65 回応用物理学会学術講演会講演予稿集、No2. pp.741(2004 年 9 月)

[114] 福山享、金溶湜、大見俊一郎、筒井一生、岩井洋“高誘電率 La2O3 薄膜におけるリーク電流機構の解析” 秋季第 65 回応用物理学会学術講演会講演予稿集、No2. pp.686 (2004

年 9 月)

[115] 吉崎智史、吉田丈治、大見俊一郎、筒井一生、岩井洋“ゲート絶縁膜に用いた MISFET

の低周波ノイズのアニール温度依存性” 秋季第 65 回応用物理学会学術講演会講演予稿集、No2. pp.686 (2004 年 9 月)

[116] 相庭一穂、佐藤貴久、佐々木雄一朗、筒井一生、水野文二、岩井洋“プラズマドーピング後の基板洗浄によるドーズ変化” 秋季第 65 回応用物理学会学術講演会講演予稿集、No2. pp741 (2004 年 9 月)

[117] Molina R. Joel、栗山篤、筒井一生、岩井洋“希土類酸化物薄膜におけるポストメタルアニールに関する検討(2)” 秋季第 65 回応用物理学会学術講演会講演予稿集、No2.

pp.685 (2004 年 9 月)

[118] 項瑞飛、小林洋一、筒井一生、岩井洋“Hf を添加した Ni シリサイドの形成” 秋季第65 回応用物理学会学術講演会講演予稿集、No2. pp.708 (2004 年 9 月)

[119] 中川健太郎、宮内邦裕、筒井一生、岩井洋“高誘電率希土類酸化物の積層構造に関する研究” 秋季第 65 回応用物理学会学術講演会講演予稿集、No2. pp.687 (2004 年 9 月)

[120] 吉田徹史、岡本英介、品川盛治、野平博司、酒井渉、中嶋薫、鈴木基史、木村健二、吉田丈治、大見俊一郎、岩井洋、池永英司、高田恭孝、辛埴、小林啓介、服部健雄“GdOx/Si

界面組成遷移層の化学結合状態の深さ方向分布の熱処理依存性” 秋季第 65 回応用物理学会学術講演会講演予稿集、No2. pp.688 (2004 年 9 月)

[121] 野平博司、吉田徹史、岡本英介、品川盛治、酒井渉、中嶋薫、鈴木基史、木村健二、Ng

Jin Aun、小林洋一、宮内邦裕、吉田丈治、大見俊一郎、岩井洋、池永英司、高田恭孝、辛埴、小林啓介、服部健雄“LaOx/Si 界面組成遷移層の化学結合状態の熱処理依存性”

秋季第 65 回応用物理学会学術講演会講演予稿集、No2. pp.688 (2004 年 9 月)

[122] 眞嶋健太、深川洋太郎、田村秀貴、岡下勝己、佐々木雄一朗、水野文二、筒井一生、岩井洋“プラズマドーピング法で形成した極浅 p+n 接合のホール測定” 秋季第 65 回応用物理学会学術講演会講演予稿集、No2. pp.741 (2004 年 9 月)

[123] 招待講演:岩井洋“エレクトロニクス分野の 10 年後に向けた戦略 ①日米欧中台韓における戦略比較”第 52 回応用物理学関係連合講演会 講演予稿集 No.0 pp.129(2005

年 3 月)

[124] 項瑞飛、小林洋一、永廣候治、筒井一生、岩井洋、奥野泰利、松元道一、久保田正文“Hf 層挿入による Ni シリサイドの高耐熱化の検討”第 52 回応用物理学関係連合講演会 講演予稿集 No.2 pp.741 (2005 年 3 月)

[125] 福山享、金容湜、大見俊一郎、筒井一生、岩井洋“MIM 構造の高誘電率 La2O3 薄膜におけるリーク電流機構” 第 52 回応用物理学関係連合講演会 講演予稿集 No.2 pp.918

(2005 年 3 月)

[126] 黒木裕介、黄 仁安、杉井信之、筒井一生、岩井洋“ポストデポジションアニールによる La2O3-Si 界面状態回復に関する検討” 第 52 回応用物理学関係連合講演会 講演予稿集 No.2 pp.918 (2005 年 3 月)

[127] 吉田徹史、松田徹、岡本英介、品川盛治、野平博司、中川健太郎、黒木裕介、宮内邦裕、大見俊一郎、岩井洋、池永英司、高田恭孝、小林啓介、服部健雄“LaOx/Yox/Si 界面組成遷移層の化学結合状態の熱処理依存性” 第 52 回応用物理学関係連合講演会 講演予稿集 No.2 pp.918 (2005 年 3 月)

[128] 中川健太郎、宮内邦裕、筒井一生、岩井洋“高誘電率希土類酸化物の積層構造に関する研究” 第 52 回応用物理学関係連合講演会 講演予稿集 No.2 pp.919 (2005 年 3 月)

[129] 深川洋太郎、相庭一穂、田村秀貴、岡下勝己、佐々木雄一朗、水野文二、筒井一生、岩井洋“He プラズマ照射によるプレアモルファス層形成過程の検討” 第 52 回応用物理学関係連合講演会 講演予稿集 No.2 pp.963 (2005 年 3 月)

[130] 相庭一穂、深川洋太郎、田村秀貴、岡下勝己、佐々木雄一朗、筒井一生、水野文二、岩井洋“レジストパターンを形成した Si 基板へのプラズマドーピングの検討” 第 52 回応用物理学関係連合講演会 講演予稿集 No.2 pp.963 (2005 年 3 月)

[131] 岡下勝己、佐々木雄一朗、金 成国、田村秀貴、伊藤裕之、水野文二、筒井一生、岩井洋“プラズマドーピングと spike RTA を用いた極浅接合の形成” 第 52 回応用物理学関係連合講演会 講演予稿集 No.2 pp.964 (2005 年 3 月)

[132] H・サウッディン、田村秀貴、岡下勝己、佐々木雄一朗、水野文二、筒井一生、岩井洋“プラズマドーピングで形成した極浅 p+/n 接合の漏れ電流評価” 第 52 回応用物理学関係連合講演会 講演予稿集 No.2 pp.964 (2005 年 3 月)

Page 64: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

64

[133] 山田啓作、知京豊裕、遠藤哲郎、岩井洋“10nmCMOS に向けた 40nm デザインルールによる学独連携相乗りマスク製作” シンポジウム「来るべきナノ CMOS 時代に向けての挑戦とその課題」pp.22-25 (2005 年 4 月) 早稲田大学総合学術情報センター国際会議場

[134] 知京豊裕、中島清美、山田啓作、岩井洋“サブ 10nmCMOS 作成のための 40nm ノード微細 MOS 構造の原子レベルでの構造観察”シンポジウム「来るべきナノ CMOS 時代に向けての挑戦とその課題」pp.26-29 (2005 年 4 月) 早稲田大学総合学術情報センター国際会議場

[135] 筒井一生、大見俊一郎、角嶋邦之、佐々木雄一朗、伊藤裕之、水野文二、岩井洋“ナノCMOS に向けた極浅接合形成と高誘電率ゲート絶縁膜技術” シンポジウム「来るべきナノ CMOS 時代に向けての挑戦とその課題」pp.30-33 (2005 年 4 月) 早稲田大学総合学術情報センター国際会議場

[136] 宋在烈、福山亨、角嶋邦之、筒井一生、岩井洋“La2O3/n-Ge(100)MIS キャパシタの特性” 第 66回応用物理学会学術講演会 No.2 pp.688 (2005 年 9 月) 徳島大学(常三島キャンパス)

[137] 舘喜一、黒木裕介、黄仁安、角嶋邦之、杉井信之、筒井一生、岩井洋 “ポストメタラ応用物理学会学術講演会イゼーションアニールを用いた La2O3-MISFET の移動度向上に関する検討” 第 66 回応用物理学会学術講演会 No.2 pp.688 (2005 年 9 月) 徳島大学(常三島キャンパス)

[138] 野平博司、松田徹、岡本英介、品川盛治、中川健太郎、池永英司、角嶋邦之、筒井一生、小林啓介、岩井洋、服部健雄 “LaOx/YOx/Si 界面組成遷移層の化学結合状態の熱処理依存性Ⅱ” 第 66 回応用物理学会学術講演会 No.2 pp.689 (2005 年 9 月) 徳島大学(常三島キャンパス)

[139] 椎野泰洋、中川健太郎、角嶋邦之、筒井一生、岩井洋 “La2O3/Y2O3 ゲート絶縁膜を用いた MISFET の作成と特性評価” 第 66 回応用物理学会学術講演会 No.2 pp.689

(2005 年 9 月) 徳島大学(常三島キャンパス)

[140] H.サウッディン、田村秀貴、岡下勝己、佐々木雄一朗、伊藤裕之、水野文二、角嶋邦之、筒井一生、岩井洋 “プラズマドーピング法で形成したメサ型p+/n 接合の逆方向電流の評価” 第 66回応用物理学会学術講演会 No.2 pp.723 (2005 年 9 月) 徳島大学(常三島キャンパス)

[141] 深川洋太郎、相庭一穂、小林勇介、田村秀貴、岡下勝己、佐々木雄一朗、水野文二、筒井一生、角嶋邦之、 岩井洋 “プラズマドーピング法で形成したp+層の極浅化に伴う電気伝導特性の変化” 第 66 回応用物理学会学術講演会 No.2 pp.723 (2005 年 9 月)

徳島大学(常三島キャンパス)

[142] 松田徹、野平博司、池永英司、相庭一穂、深川洋太郎、田村英貴、岡下勝己、金正国、佐々木雄一郎、伊藤裕之、角嶋邦之、筒井一生、水野文二、岩井洋、服部健雄 “シリコン表面にプラズマドープしたボロン原子の化学結合状態” 第 66 回応用物理学会学術講演会 No.2 pp.723 (2005 年 9月) 徳島大学(常三島キャンパス)

[143] 中川昌幸、吉崎智史、宋在烈、張偉源、奈良安雄、安平光雄、大塚文雄、有門経敏、中村邦雄、角嶋邦之、筒井一生、青木均、岩井洋 “Sub-100nm High-K MOSFET の RF 特性比較”第 66回応用物理学会学術講演会 No.2 pp.735 (2005 年 9 月) 徳島大学(常三島キャンパス)

[144] 野平博司、松田徹、舘喜一、椎野泰洋、宋在烈、黒木裕介、ン・ジンアン、パールハット・アヘメト、角嶋邦之、筒井一生、池永英司、小林啓介、岩井洋、服部健雄 “LaOx/Si

界面組成遷移層の熱安定性” 第 53 回応用物理学会学術講演会 No.2 pp.833 (2006 年 3

月) 武蔵工業大学

[145] 椎野泰洋、中川健太郎、角嶋邦之、パールハット・アヘメト、杉井信之、服部健雄、筒井一生、岩井洋 “La2O3/Sc2O3 積層構造ゲート絶縁膜による耐熱性向上に関する検討”

第 53回応用物理学会学術講演会 No.2 pp.834 (2006 年 3 月) 武蔵工業大学

[146] 中嶋薫、木村健二、舘喜一、角嶋邦之、岩井洋 “高分解能 ERD 法を用いた La2O3/Si(001)

中の水素分析” 第 53回応用物理学会学術講演会 No.2 pp.834 (2006 年 3 月) 武蔵工業大学

[147] 舘喜一、黒木裕介、黄仁安、角嶋邦之、パールハット・アヘメト、筒井一生、杉井信之、服部健雄、岩井洋 “La2O3-nMISFET 電気特性の金属微量添加による効果” 第 53 回応用物理学会学術講演会 No.2 pp.835 (2006年 3月) 武蔵工業大学

[148] 宋在烈、福山享、角嶋邦之、パールハット・アヘメト、筒井一生、杉井信之、服部健雄、岩井洋 “熱処理における La2O3/n-Ge(100)界面の XPS 分析” 第 53回応用物理学会学術講演会 No.2 pp.837 (2006 年 3月) 武蔵工業大学

[149] 塩澤崇史、項端飛、永廣候治、パールハット・アヘメト、角嶋邦之、筒井一生、岩井洋、奥野泰利、松元道一、久保田正文 “NiSi から NiSi2 への相転移温度領域におけるシー

Page 65: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

65

ト抵抗増加特性の評価” 第 53回応用物理学会学術講演会 No.2 pp.911 (2006 年 3 月)

武蔵工業大学

[150] 永廣候治、項端飛、塩澤崇史、パールハット・アヘメト、角嶋邦之、筒井一生、岩井洋、奥野泰利、松元道一、久保田正文 “Ni シリサイド形成における異種金属積層添加効果の検討” 第 53回応用物理学会学術講演会 No.2 pp.911 (2006 年 3 月) 武蔵工業大学

[151] 中川昌幸、吉崎智史、宋在烈、張偉弦、奈良安雄、安平光雄、大塚文雄、有門経敏、中村邦雄、角嶋邦之、パールハット・アヘメト、筒井一生、青木均、岩井洋 “Sub-100 nm

High-k MOSFET の高周波歪み特性” 第 53 回応用物理学会学術講演会 No.2 pp.934

(2006 年 3 月)武蔵工業大学

[152] 小林勇介、V.Hariharan、角嶋邦之、筒井一生、岩井洋、R.Rao “FinFET のゲート-ソース/ドレイン間寄生効果の素子形状依存性” 第 53 回応用物理学会学術講演会 No.2 pp.934

(2006 年 3 月) 武蔵工業大学

[153] 角嶋邦之、パールハット・アヘメト、筒井一生、岩井洋 “La2O3 の次世代ゲート絶縁膜への応用” 第 67回応用物理学会学術講演会 No.0 pp.73 (2006 年 8 月) 立命館大学

[154] 川那子高暢、椎野康洋、アンシャー・サウッディン、角嶋邦之、パールハット・アヘメト、筒井一生、杉井信之、服部健雄、岩井洋 “Sc2O3 ゲート絶縁膜を用いた MISFET の作製と特性評価” 第 67 回応用物理学会学術講演会 No.0 pp.718 (2006 年 8 月) 立命館大学

[155] 佐藤創志、舘喜一、角嶋邦之、パールハット・アヘメト、筒井一生、杉井信之、服部健雄、岩井洋 “電子ビーム蒸着時の酸素供給による La2O3 膜の熱安定性向上効果” 第67回応用物理学会学術講演会 No.0 pp.718 (2006 年 8 月) 立命館大学

[156] 大石善久、永廣侯治、塩澤崇史、パールハット・アヘメト、角嶋邦之、筒井一生、岩井洋 “AI 層界面挿入による N+-Si 基板上 Ni シリサイドの耐熱性向上” 第 67 回応用物理学会学術講演会 No.0 pp.773 (2006 年 8 月) 立命館大学

[157] 足立学、永廣侯治、塩澤崇史、パールハット・アヘメト、角嶋邦之、筒井一生、岩井洋 “異種金属の PSMD(モノシリサイド形成後金属添加)法による NiSi の耐熱性向上” 第67回応用物理学会学術講演会 No.0 pp.774(2006 年 8 月) 立命館大学

[158] 小林勇介、V.Hariharan、角嶋邦之、R.Rao、岩井洋 “FinFET の寄生効果低減の為のゲート-ソース/ドレイン間素子形状の最適化” 第 67 回応用物理学会学術講演会 No.0

pp.800(2006 年 8 月) 立命館大学

[159] 岡本晃一、宋在烈、福山亨、角嶋邦之、パールハット・アヘメト、筒井一生、杉井信之、服部健雄、岩井洋 “La2O3 MIM キャパシタ電気特性の熱処理依存症” 第 67 回応用物理学会学術講演会 No.0 pp.719 (2006 年 8 月) 立命館大学

[160] 松田徹、野平博司、池永英司、小林勇介、金成国、岡下勝巳、佐々木雄一朗、伊藤裕之、角嶋邦之、筒井一生、水野文二、服部健雄、岩井洋 “プラズマドープしたボロンの化学結合形態とその深さ方向分布の Spike RTA による変化” 第 67回 応用物理学会学術講演会 No.0 pp.770 (2006 年 8 月) 立命館大学

[161] 岩井洋 “NanoCMOS の今後の 15 年の展望” シンポジウム「NanoCMOS の今後の 15

年の展望とその技術課題」pp.2-11 (2006 年 9 月) 早稲田大学小野記念講堂

[162] 白石賢二、武内英樹、赤坂泰志、中山隆志、宮崎誠一、中岡高志、太田晃生、渡部平司、梅澤直人、パールハット・アヘメト、鳥居和功、知京豊裕、奈良安雄、Tsu-Jae King

Liu、山田啓作、岩井洋 “High-k/metal ゲートでのフェルミレベルピニングのメカニズム解明とその解決法” シンポジウム「NanoCMOS の今後の 15 年の展望とその技術課題」 pp.28-37 (2006 年 9 月) 早稲田大学小野記念講堂

[163] 筒井一生、佐々木雄一朗、眞嶋健太、深川洋太郎、相庭一穂、桧垣良太、金成国、

ヘンドリーアンシャー・サウッディン、伊藤裕之、水野文二、角嶋邦之、パールハット・アヘメト、岩井洋 “10nm ソース・ドレイン接合深さを実現するプラズマドーピング技術” シンポジウム「NanoCMOS の今後の 15 年の展望とその技術課題」pp.62-69(2006

年 9 月) 早稲田大学小野記念講堂

[164] 水野文二、佐々木雄一朗、金成国、岡下勝巳、伊藤裕之、筒井一生、ヘンドリーアンシャー・サウッディン、筒井一生、岩井洋 “プラズマドープされた不純物層の測定手法” シンポジウム「NanoCMOS の今後の 15 年の展望とその技術課題」pp.70-75 (2006 年 9

月) 早稲田大学小野記念講堂

[165] パールハット・アヘメト、角嶋邦之、筒井一生、岩井洋 “Sub-1nm EOT を実現する

La2O3 high-k ゲート絶縁膜技術” シンポジウム「NanoCMOS の今後の 15 年の展望とその技術課題」pp.76-83 (2006 年 9 月) 早稲田大学小野記念講堂

[166] 角嶋邦之、中川昌幸、筒井一生、岩井洋 “40GHzRF 測定による 50nm ゲート長 High-kCMOS の誘電分散の評価” シンポジウム「NanoCMOS の今後の 15 年の展望とその技術課題」pp.134-138(2006 年 9 月) 早稲田大学小野記念講堂

[167] 中嶋薫、趙明、鈴木基史、木村健二、植松真司、鳥居和功、神山聡、奈良安雄、山田啓

Page 66: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

66

作、舘喜一、角嶋邦之、岩井洋、“シリコンと high-k ゲート絶縁膜の界面高分解能RBS/ERD 分析(シリコン関連材料の作製と評価)”電子情報通信学会技術研究報告、SDM、シリコン材料・デバイス Vol.106 No.417、pp.31-36(2006 年 12 月)

[168] 塩澤崇史、永廣侯治、パールハット・アヘメト、角嶋邦之、筒井一生、岩井洋 “AI 層界面挿入による N+-Si 基板上 Ni シリサイドの耐熱性向上” 春季第 54 回応用物理学会学術講演会 No.02 pp.895 (2007 年 3 月) 青山学院大学

[169] 永廣侯治、塩澤崇史、パールハット・アヘメト、角嶋邦之、筒井一生、岩井洋 “AI を用いた PSMD(モノシリサイド形成後金属添加)法による NiSi の耐熱性向上” 春季第 54

回応用物理学会学術講演会 No.02 pp.895 (2007 年 3 月) 青山学院大学

[170] 宋在烈、角嶋邦之、パールハット・アヘメト、筒井一生、杉井信之、服部健雄、岩井洋“La2O3/Ge 構造における界面層成長による界面特性改善” 春季第 54 回応用物理学会学術講演会 No.02 pp.861 (2007 年 3 月) 青山学院大学

[171] 舘喜一、角嶋邦之、パールハット・アヘメト、筒井一生、杉井信之、服部健雄、岩井洋

“W/La2O3/Si 構造における酸化膜電荷の評価” 春季第 54 回応用物理学会学術講演会 No.02 pp.857 (2007 年 3 月) 青山学院大学

[172] 両角康宏、小林勇介、名取研二、角嶋邦之、パールハット・アヘメト、筒井一生、服部健雄、杉井信之、岩井洋 “RT モデルによる MOSFET パラメーターにおけるバリスティック伝導性の調査” 春季第 54 回応用物理学会学術講演会 No.02 pp.947 (2007 年 3

月) 青山学院大学

[173] 川那子高暢、椎野康洋、角嶋邦之、パールハット・アヘメト、筒井一生、杉井信之、服部健雄、岩井洋 “希土類複合酸化物(La-Sc-O)を用いた High-k ゲート絶縁膜の作製と電気特性評価” 春季第 54 回応用物理学会学術講演会 No.02 pp.857 (2007 年 3 月) 青山学院大学

[174] 佐藤創志、舘喜一、角嶋邦之、パールハット・アヘメト、筒井一生、杉井信之、服部健雄、岩井洋 “in situ ラジカル窒化 LaOxNy膜の耐熱性に関する検討” 春季第 54 回応用物理学会学術講演会 No.02 pp.859 (2007 年 3 月) 青山学院大学

[175] 椎野泰洋、角嶋邦之、パールハット・アヘメト、筒井一生、杉井信之、服部健雄、岩井洋 “La2O3/Sc2O3 積層ゲート絶縁膜による耐熱性向上に関する検討(2)” 春季第 54

回応用物理学会学術講演会 No.02 pp.859 (2007 年 3 月) 青山学院大学

[176] 大石善久、角嶋邦之、パールハット・アヘメト、筒井一生、杉井信之、服部健雄、岩井洋 “Ni シリサイドショットキー障壁の熱処理依存症” 春季第 54 回応用物理学会学術講演会 No.02 pp.896 (2007 年 3 月) 青山学院大学

[177] 足立学、角嶋邦之、パールハット・アヘメト、筒井一生、杉井信之、服部健雄、岩井洋

“La2O3/Metal ゲートスタック構造での金属の実効仕事関数” 春季第 54 回応用物理学会学術講演会 No.02 pp.856 (2007 年 3 月) 青山学院大学

[178] 小林勇介、筒井一生、角嶋邦之、V. Hariharan, V. R. Rao, パールハット・アヘメト , 岩井洋 “FinFET の Spacer 領域形状変化のデバイス特性への影響” 春季第 54 回応用物理学会学術講演会 No.02 pp.924 (2007 年 3 月) 青山学院大学

[179] 竹永祥則、松田徹、野平博司、椎野泰洋、角嶋邦之、パールハット・アヘメト、筒井一生、服部健雄、岩井洋 “LaOx/ScOx/Si 界面組成遷移層の化学結合状態の熱処理温度依存性” 春季第 54 回応用物理学会学術講演会 No.02 pp.859 (2007 年 3 月) 青山学院大学

[180] 大毛利健治、吉川英樹、パールハット・アヘメト、角嶋邦之、山部紀久夫、小林啓介、知京豊裕、岩井洋 “硬 X 線を用いたメタルゲート/High-k 絶縁膜ゲートスタック構造の非破壊観察” 春季第 54 回応用物理学会学術講演会 No.02 pp.851 (2007 年 3 月) 青山学院大学

[181] 佐藤創志、舘喜一、宋在烈、角嶋邦之、パールハット・アヘメト、筒井一生、杉井信之、服部健雄、岩井洋 “ラジカル窒化による La_2O_3 ゲート絶縁膜への窒素導入効果 : 堆積時窒化による EOT 増加抑制効果(ゲート絶縁膜、容量膜、機能幕及びメモリ技術) ”電子情報通信学会技術研究報告、SDM、シリコン材料・デバイス Vol.107 No.85、pp.71-74(2007 年 5 月)

[182] 山田啓作、知京豊裕、白石賢二、遠藤哲郎、角嶋邦之、パールハット・アヘメト、岩井洋 “ナノスケールメモリーの将来展望とその重要性” 第 35 回 薄膜・表面物理セミナー pp.1 (2007 年 7 月) 早稲田大学 小野記念講堂

[183] 宋在烈, 角嶋邦之, パールハット・アヘメト, 筒井一生, 杉井信之, 服部健雄, 岩井洋 “La2O3/Ge MIS 構造における微量 Si 界面層導入による電気特性の変化” 秋季第 68

回応用物理学会学術講演会 (2007 年 9 月) 北海道工業大学 [184] 幸田みゆき, 川那子高暢, 角嶋邦之, パールハット・アヘメト, 筒井一生, 杉井信之, 服

部健雄, 岩井洋 “Sc2O3 ゲート絶縁膜のリーク電流機構の解析” 秋季第 68 回応用物理学会学術講演会 (2007 年 9 月) 北海道工業大学

Page 67: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

67

[185] 岡本晃一、足立学、角嶋邦之、パールハット・アヘメト、杉井信之、筒井一生、服部健雄、岩井洋 “HfO2/Si 界面への La2O3 サブモノレイヤー添加による電気特性の変化”

秋季第 68 回応用物理学会学術講演会 (2007 年 9 月) 北海道工業大学

[186] 藤澤 宏樹, 舘 喜一, 角嶋 邦之,パールハット・アヘメト, 筒井 一生, 杉井 信之, 服部 健雄, 岩井 洋 “Alキャップ層がW/La2O3/Si MOS構造の電気特性に及ぼす影響”

秋季第 68 回応用物理学会学術講演会 (2007 年 9 月) 北海道工業大学

[187] 日野雅文, 角嶋 邦之,パールハット アヘメト,筒井 一生,杉井 信之,服部 健雄,岩井 洋 “HfO2/ La2O3 のゲート絶縁膜を用いた Si-MOSFET の電気特性” 秋季第 68

回応用物理学会学術講演会 (2007 年 9 月) 北海道工業大学

[188] 上村 英之,足立 学, 角嶋 邦之,パールハット アヘメト,筒井 一生,杉井 信之,服部 健雄,岩井 洋 “HfO2/SiO2 界面への Sc2O3 添加によるフラットバンド電圧シフト” 秋季第 68 回応用物理学会学術講演会 (2007 年 9 月) 北海道工業大学

[189] 渡邉将光,松田徹,小林勇介,佐藤創志, 野平博司,池永英司,金成国,岡下勝巳,佐々木雄一郎,パールハット・アヘメト,角嶋邦之,水野文二,服部健雄,筒井一生,岩井洋 “極浅高濃度ボロンドーピング層における正孔移動度深さ分布” 秋季第 68 回応用物理学会学術講演会 (2007 年 9 月) 北海道工業大学

[190] 小林勇介, 角嶋邦之, パールハット・アヘメト, V. R. ラオ, 筒井一生, 岩井洋 “ダブルゲート型およびプレーナー型 MOSFET における構造バラつきの影響の比較検討”

秋季第 68 回応用物理学会学術講演会 (2007 年 9 月) 北海道工業大学

[191] 岩井洋“次世代異種新材料複合 MOSFET 集積化技術”STARC シンポジウム 2007(2007

年 9 月)大阪, 千里阪急ホテル

[192] 舘 喜一, 角嶋邦之 , パールハット アヘメト, 筒井 一生 , 杉井 信之, 服部健雄,

岩井 洋 “高性能 MOSFET 実現のための La2O3 ゲート絶縁膜/Si 直接接合界面特性改善技術” STARC シンポジウム 2007(2007 年 9 月)大阪, 千里阪急ホテル

[193] 宋在烈, 角嶋邦之, パールハット アヘメト, 筒井一生, 杉井信之, 服部健雄, 岩井洋 “La2O3/Ge MOS 構造における Ge 表面処理による電気特性の改善” STARC シンポジウム 2007(2007 年 9 月)大阪, 千里阪急ホテル

[194] 川那子 高暢、舘 喜一、宋 在烈、角嶋 邦之、パールハット・アヘメト、筒井 一生、杉井 信之、服部 健雄、岩井 洋 “希土類複合酸化膜(LaScOx)を用いた High-k ゲート絶縁膜の作製とデバイスへの応用” STARC シンポジウム 2007(2007 年 9 月)大阪,

千里阪急ホテル

[195] 原田慈久,中村仁,角嶋邦之,服部健雄,岩井洋,金成国,佐々木雄一朗,水野文二,辛埴,“シリコン表面極浅ドープボロン原子の内殻発光分光による電子状態観測(表面界面電子物性、領域 9、表面・界面、結晶成長)”日本物理学会講演概要集 62(2-4)、P.966(2007 年 8 月)

[196] パールハット・アヘメト、角嶋邦之、長田貴弘、筒井一生、杉井信之、知京豊裕、服部健雄、岩井洋“高濃度 n+-Si 及び p+-Si 基板上の Ni シリサイドの熱安定性の違い” 春季第 55 回応用物理学会学術講演会 No.02 pp.896 (2008 年 3 月)日本大学

[197] 舘喜一、角嶋邦之、パールハット・アヘメト、筒井一生、杉井信之、服部健雄、岩井洋

“Sub-1.0nm EOT における W/La2O3 ゲートスタックnMOSFET の電子移動度解析”春季第 55 回応用物理学会学術講演会 No.02 pp.849 (2008 年 3 月)日本大学

[198] 宋在烈、舘喜一、岡本晃一、角嶋邦之、パールハット・アヘメト、筒井一生、杉井信之、服部健雄、岩井 洋 “La シリケート層を界面に用いた high-k/Si MOS 構造の電気特性検討”春季第 55 回応用物理学会学術講演会 No.02 pp.849 (2008 年 3 月)日本大学

[199] 足立学、岡本晃一、舘喜一、佐藤創志、角嶋邦之、パールハット・アヘメト、筒井一生、杉井信之、服部健雄、岩井洋“HfO2/ La2O3 積層キャパシタにおける La2O3層に依存した界面層成長抑制の効果”春季第 55 回応用物理学会学術講演会 No.02 pp.849 (2008 年3 月)日本大学

[200] 岡本晃一、舘喜一、足立学、佐藤創志、角嶋邦之、パールハット・アヘメト、杉井信之、筒井一生、服部健雄、岩井 洋 “Hf O2 系 High-k ゲート MOSFET の電気特性に対するLa2O3 界面層挿入効果”春季第 55 回応用物理学会学術講演会 No.02 pp.848 (2008 年 3

月)日本大学

[201] 幸田みゆき、舘喜一、角嶋邦之、パールハット・アヘメト、筒井一生、杉井信之、服部健雄、岩井洋“CeO2/La2O3 積層ゲート絶縁膜の電気特性評価”春季第 55 回応用物理学会学術講演会 No.02 pp.850 (2008 年 3 月)日本大学

[202] 野口浩平、大石善久、角嶋邦之、パールハット・アヘメト、筒井一生、杉井信之、服部健雄、岩井洋“Er 層界面挿入による Ni シリサイドのショットキー障壁変調技術”春季第 55 回応用物理学会学術講演会 No.02 pp.879(2008 年 3 月)日本大学

[203] 日野雅文、吉田哲也、小瀬村大亮、 角嶋邦之、パールハット・アヘメト、筒井一生、杉井信之、小椋厚志、服部健雄、岩井洋“SiN 応力膜による Si 基板への歪記憶の検討”

Page 68: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

68

春季第 55 回応用物理学会学術講演会 No.02 pp.883 (2008 年 3 月)日本大学

[204] 上村英之、角嶋邦之、パールハット・アへメト、筒井一生、杉井信之、大毛利健治、服部健雄、岩井 洋“熱酸化による Si ナノワイヤ形状の酸化条件依存性”春季第 55 回応用物理学会学術講演会 No.02 pp.913 (2008 年 3 月)日本大学

[205] 小林勇介、角嶋邦之、パールハット・アヘメト、V. R. Rao、筒井一生、岩井洋 “FinFET

におけるショートチャンネル効果のフィン幅依存症”春季第 55 回応用物理学会学術講演会 No.02 pp.925 (2008 年 3 月)日本大学

[206] 中野美尚、村上裕彦、ダリューシュ ハサンザデ、パールハット・アヘメト、角嶋邦之、岩井洋“基板並行方向への CNT 成長制御”春季第 55 回応用物理学会学術講演会

No.02 pp.992 (2008 年 3 月)日本大学

[207] 北村幸司、舘喜一、角嶋邦之、岩井洋、野平博司“HfLaOx/SiO2/Si の組成分布に及ぼす熱処理の効果”春季第 55 回応用物理学会学術講演会 No.02 pp.848(2008 年 3 月)日本大学

[208] 酒井一憲、渡邉将光、中川恭成、金成国、岡下勝巳、佐々木雄一郎、パールハット・アヘメト、角嶋邦之、水野文二、服部健雄、筒井一生、岩井洋“極浅接合プロファイリングのための反復犠牲酸化エッチング技術”春季第 55 回応用物理学会学術講演会 No.02

pp.900(2008 年 3 月)日本大学

[209] 角嶋邦之,宋在烈,パールハット アヘメト,筒井一生,岩井洋“希土類酸化物 La2O3

膜を用いた GeMOS デバイスの特性改善のための極薄界面層の検討”第 72 回半導体・集積回路技術シンポジウム(2008 年 7 月 10 日)東京農工大学 小金井キャンパス

[210] 李映勲,永田貴弘,白石賢二,角嶋邦之,岩井 洋 “第一原理計算によるシリコンナノワイヤの電子構造解析”秋季第 69 回応用物理学会学術講演会 No.2 pp.766 (2008 年 9

月)中部大学

[211] 新井英朗,上村英之,佐藤創志,角嶋邦之,パールハット アヘメト,筒井一生,服部健雄,杉井信之,岩井 洋“熱酸化による Si ナノワイヤの作製とその電気特性”秋季第 69 回応用物理学会学術講演会 No.2 pp. 768 (2008 年 9 月)中部大学

[212] 小柳友常,岡本晃一,角嶋邦之,パールハット アヘメト,杉井信之,筒井一生,服部健雄,岩井 洋“La203 系 MOSFET への Mg 挿入による電気特性の変化”秋季第 69 回応用物理学会学術講演会 No. 2 pp. 702 (2008 年 9 月)中部大学

[213] 川那子高暢,角嶋邦之,パールハット アヘメト,筒井一生,杉井信之,服部健雄,岩井 洋“La2O3/Si 直接接合構造における界面特性の評価”秋季第 69 回応用物理学会学術講演会 No.2 pp.702 (2008 年 9 月)中部大学

[214] 船水清永,幸田みゆき,舘 喜一,角嶋邦之,パールハット アヘメト,筒井一生,杉井信之,服部健雄,岩井 洋“CeOX/La2O3 積層ゲート絶縁膜構造の膜特性評価”秋季第 69 回応用物理学会学術講演会 No.2 pp.703 (2008 年 9 月)中部大学

[215] 野平博司,今陽一郎,北村幸司,幸田みゆき,角嶋邦之,岩井 洋“CeO2/La2O3/Si(100)

構造の熱安定性”秋季第 69 回応用物理学会学術講演会 No.2 pp.703 (2008 年 9 月)中部大学

[216] 佐藤創志,上村英之,新井英朗,大毛利健治,角嶋邦之,パールハット アヘメト,筒井一生,服部健雄,杉井信之,山田啓作,岩井 洋“Si Fin のアスペクト比最適化により作製した円形 Si ナノワイヤの形状に関する研究”秋季第 69 回応用物理学会学術講演会 No.2 pp. 735 (2008 年 9 月)中部大学

[217] 中川恭成,野平博司,酒井一憲,横田知之,甲斐隆行,金 成国,パールハット アヘメト,角嶋邦之,水野文二,服部健雄,筒井一生,岩井 洋“光電子分光による Si 中Asの活性化状態の深さ方向分布評価”秋季第 69回応用物理学会学術講演会No.2 pp.738

(2008 年 9 月)中部大学

[218] 中山寛人,日野雅文,岩井 洋,服部健雄,杉井信之,筒井一生,パールハット アヘメト ,角嶋邦之,小椋厚志,永田晃基,吉田哲也,小瀬村大亮“Ar 注入と SiN 応力膜によるパターン付 Si 基板への歪記憶技術の検討”秋季第 69 回応用物理学会学術講演会 No.2 pp. 743 (2008 年 9 月)中部大学

[219] 酒井一憲,中川恭成,横田知之,金 成国,岡下勝巳,佐々木雄一郎,パールハット

アヘメト,角嶋邦之,水野文二,服部健雄,筒井一生,岩井 洋“3 次元 Fin 構造中不純物プロファイリングのための反復犠牲酸化エッチング”秋季第 69 回応用物理学会学術講演会 No. 2 749pp. (2008 年 9 月)中部大学

[220] 細田 亘,野口浩平,角嶋邦之,パールハット アヘメト,筒井一生,杉井信之,服部健雄,岩井 洋“Er 層界面挿入による Ni シリサイドのショットキー障壁変調と SB-

MOSFET への応用”秋季第 69 回応用物理学会学術講演会 No.2 pp.751 (2008 年 9 月)中部大学

[221] 又野克哉,野口浩平,角嶋邦之,パールハット アヘメト,筒井一生,杉井信之,服部健雄,岩井 洋“Hf 層界面挿入による Ni シリサイドのショットキー障壁変調技術”秋

Page 69: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

69

季第 69 回応用物理学会学術講演会 No.2 pp.751 (2008 年 9 月)中部大学

[222] 小林勇介,角嶋邦之,パールハット アヘメト,ラオ ラムゴパル,筒井一生,岩井 洋

“FinFET の閾値変動における短チャネル効果による影響の切り分け”秋季第 69 回応用物理学会学術講演会 No.2 pp. 753 (2008 年 9 月)中部大学

[223] 小柳友常,舘 喜一,岡本晃一,角嶋邦之,パールハット アヘメト,筒井一生,杉井信之,服部健雄,岩井 洋“Electrical Characterization of La2O3-Gated Metal Oxide

Semiconductor Field Effect Transistor with Mg Incorporation” International Workshop on

Dielectric Thin Films for Future ULSI Devices(IWDTF), (2008 年 11 月 6 日)東京工業大学

[224] 幸田みゆき、梅澤直人、角嶋邦之、パールハット・アヘメト、白石賢二、知京豊裕、山田啓作、服部健雄、岩井洋“低電子揺動 Ce 酸化物を利用した high-k 膜中の固定電荷の抑制”応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会 ゲートスタック研究会-材料・プロセス・評価の物理-(第 14 回研究会)pp.21 , (2009 年 1 月)東レ総合研修センター

[225] 岩井 洋,山田啓作,大毛利健治,筒井一生,角嶋邦之,パールハット アヘメト,佐藤創志,上村英之,新井英朗“トップダウン Si ナノワイヤ FET の作製法とその電気的特性のサーベイ”第 56 回応用物理学関係連合講演会 No.0 pp.147(2009 年 3 月 30~4 月2 日) 筑波大学

[226] 岩井 洋,名取研二,白石賢二,山田啓作,大毛利健治,筒井一生,角嶋邦之,パールハット アヘメト“シリコンナノワイヤ FET 研究の現状とロードマップ作成の考え方”

第 56 回応用物理学関係連合講演会 No.0 pp.155(2009 年 3 月 30~4 月 2 日) 筑波大学

[227] 野平博司,今陽一郎,北村幸司,幸田みゆき,角嶋邦之,岩井 洋“CeO2 /La2O3/Si(100)

構造の熱安定性(2)” 第 56 回応用物理学関係連合講演会 No.2 pp.853(2009 年 3 月 30~4 月 2 日) 筑波大学

[228] 宋 在烈,舘 喜一,角嶋邦之,パールハット アヘメト,筒井一生,杉井信之,服部健雄,岩井 洋“極薄 Si 界面層を挿入した La2O3/Ge MIS 構造における界面準位密度低減に関する検討” 第 56 回応用物理学関係連合講演会 No.2 pp.840(2009 年 3 月 30~4 月 2 日) 筑波大学

[229] 細田 亘,野口浩平,角嶋邦之,パールハット アヘメト,筒井一生,杉井信之,服部健雄,岩井 洋“異種金属界面挿入による Ni シリサイドのショットキー障壁変調とSB-MOSFET への応用” 第 56 回応用物理学関係連合講演会 No.2 pp.868(2009 年 3 月30~4 月 2 日) 筑波大学

[230] 幸田みゆき,角嶋邦之,パールハット アヘメト,筒井一生,杉井信之,服部健雄,岩井 洋“CeO2 /La2O3 積層ゲート絶縁膜のリーク電流特性の膜厚依存性” 第 56 回応用物理学関係連合講演会 No.2 pp.854(2009 年 3 月 30~4 月 2 日) 筑波大学

[231] 船水清永,Yueh-Chin Lin,角嶋邦之,パールハット アヘメト,筒井一生,杉井信之,Edward Yi Chang,服部健雄,岩井 洋“High-k ゲート絶縁膜を用いた InxGa1-xAs MOS

構造の研究” 第 56 回応用物理学関係連合講演会 No.2 pp.837(2009 年 3 月 30~4 月 2

日) 筑波大学

[232] 李 映勲,永田貴弘,角嶋邦之,白石賢二,名取研二,岩井 洋“引っ張り歪み Si ナノワイヤの電子構造とバリスティック伝導” 第 56 回応用物理学関係連合講演会 No.2

pp.902(2009 年 3 月 30~4 月 2 日) 筑波大学

[233] 佐藤創志,上村英之,新井英朗,角嶋邦之,パールハット アヘメト,大毛利健治,筒井一生,杉井信之,服部健雄,山田啓作,岩井 洋“四端子測定 TEG を用いた Si ナノワイヤトランジスタのチャネル内電位の測定” 第 56 回応用物理学関係連合講演会No.2 pp.901(2009 年 3 月 30~4 月 2 日) 筑波大学

[234] 新井英朗,上村英之,佐藤創志,角嶋邦之,パールハット アヘメト,筒井一生,杉井信之,服部健雄,岩井 洋“Si ナノワイヤへの Ni シリサイド形成の評価” 第 56 回応用物理学関係連合講演会 No.2 pp.901(2009 年 3 月 30~4 月 2 日)筑波大学

[235] 横田知之,酒井一憲,パールハット アヘメト,角嶋邦之,筒井一生,岩井 洋“反復剥離法による 3 次元 Fin 構造中ドーピングプロファイル測定の提案” 第 56 回応用物理学関係連合講演会 No.2 pp.873(2009 年 3 月 30~4 月 2 日)筑波大学

[236] 又野克哉,川那子高暢,角嶋邦之,パールハット アヘメト,筒井一生,杉井信之,服部健雄,岩井 洋“Ge 層挿入による La2O3-MOS キャパシタの VFB 制御” 第 56 回応用物理学関係連合講演会 No.2 pp.854(2009 年 3 月 30~4 月 2 日)筑波大学

[237] 中山寛人,日野雅文,永田晃基,小瀬村大亮,角嶋邦之,パールハット アヘメト,筒井一生,杉井信之,小椋厚志,服部健雄,岩井 洋“As 注入と SiN 応力膜による poly-

Si への歪記憶の検討” 第 56 回応用物理学関係連合講演会 No.2 pp.869(2009 年 3 月 30~4 月 2 日)筑波大学

[238] 星野憲文,中川恭成,野平博司,室隆桂之,加藤有香子,甲斐隆行,金成国,パールハット アヘメト,角嶋邦之,水野文二,木下豊彦,筒井一生,服部健雄,岩井 洋“光

Page 70: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

70

電子分光による Si 中 As の化学結合状態評価” 第 56 回応用物理学関係連合講演会No.2 pp.883(2009 年 3 月 30~4 月 2 日)筑波大学

[239] 小林勇介,角嶋邦之,パールハット アヘメト,V.R.Rao,筒井一生,岩井 洋“FinFET

の構造ばらつきによるオン電流のばらつきの検討” 第 56 回応用物理学関係連合講演会 No.2 pp.898(2009 年 3 月 30~4 月 2 日)筑波大学

[240] 小柳友常,岡本晃一,角嶋邦之,パールハット アヘメト,杉井信之,筒井一生,服部健雄,岩井 洋“La2O3MOS デバイスへの SrO 導入による電気特性の変化” 第 56 回応用物理学関係連合講演会 No.2 pp.854(2009 年 3 月 30~4 月 2 日)筑波大学

[241] 岩井洋“低消費シリコンデバイス技術の重要性” 第 70 回応用物理学会学術講演会シンポジウム 薄膜・表面分科会企画「省エネルギー社会のための半導体デバイス技術」(2009 年 9 月 8 日)富山大学

[242] 岩井洋“High-k ゲート絶縁膜の現状とその将来展望 –希土類系材料を中心として-” 第70 回応用物理学会学術講演会シンポジウム High-k ゲートスタック研究を振り返り次のステップへ(2009 年 9 月 8 日)富山大学

[243] 向井弘樹,中山寛人,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋“Si 基板面方位の違いによる La2O3/Si MOS キャパシタの電気特性”第 70 回応用物理学会学術講演会 No.2pp.733(2009 年 9 月)富山大学

[244] 小柳友常,角嶋邦之,パールハット・アヘメト,筒井一生,西山彰,杉井信之,名取

研二,服部健雄,岩井洋“La2O3 MOS デバイスへの BaO キャップによる電気特性の変

化” 第 70 回応用物理学会学術講演会 No.2pp.733(2009 年 9 月)富山大学

[245] 野平博司,今陽一郎,幸田みゆき,角嶋邦之,岩井洋“SrO/ La2O3/CeO2/Si(100)構造の熱安定性”第 70 回応用物理学会学術講演会 No.2pp.733(2009 年 9 月)富山大学

[246] ダリューシュ ザデ,A.Srivastava,角嶋邦之,パールハット アヘメト,筒井一生,杉井信之,服部健雄,岩井洋“High-k ゲート絶縁膜を用いた MOSFET の低周波ノイズ解析”第 70 回応用物理学会学術講演会 No.2pp.740(2009 年 9 月)富山大学

[247] 神田高志,船永清永,Yueh Chin Lin,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,Edward Yi Chang,名取研二,服部健雄,岩井洋“La2O3, HfO2 を用いた In0.53Gao.47As MOS キャパシタの電気特性” 第 70 回応用物理学会学術講演会No.2pp.748(2009 年 9 月)富山大学

[248] H.サウッディン,岡下勝己,佐々木雄一朗,水野文二,中山一郎,パールハット アヘメト,角嶋邦之,筒井一生,岩井洋“ボロン固体ソースを用いたプラズマドーピング法” 第 70 回応用物理学会学術講演会 No.2pp.768(2009 年 9 月)富山大学

[249] 横田知之,筒井一生,田中正興,角嶋邦之,パールハット アヘメト,岩井洋“反復剥離法による3次元 Fin 構造中ドーピングプロファイルの測定” 第 70 回応用物理学会学術講演会 No.2pp.775(2009 年 9 月)富山大学

[250] 星野憲文,筒井一生,野平博司,田中彰博,鈴木峰晴,パールハット アヘメト,角嶋邦之,服部健雄,岩井洋“光電子分光により検出した Si 中の Sb の化学結合状態の評価” 第 70 回応用物理学会学術講演会 No.2pp.776(2009 年 9 月)富山大学

[251] 小澤健児,細田亘,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,服部健雄,名取研二,岩井洋“異種金属界面挿入による Ni シリサイドのショットキー障壁変調と SB-MOSFET への応用Ⅱ” 第 70 回応用物理学会学術講演会 No.2pp.780

(2009 年 9 月)富山大学

[252] 茂森直登,新井英朗,佐藤創志,角嶋邦之,パ-ルハット アヘメト,西山彰,筒井一生,杉井信之,服部健雄,岩井洋“2step アニールを用いた酸化膜中の Si ナノワイヤへの Ni シリサイド化” 第 70 回応用物理学会学術講演会 No.2pp.798(2009 年 9 月)富山大学

[253] A.Abudukelimu,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋“Current-Voltage Characteristics of Ballistic Nanowire FETs

by Numerical Simulation” 第 70 回応用物理学会学術講演会 No.2pp.800(2009 年 9 月)富山大学

[254] マイマイティ マイマイティレャアティ,幸田みゆき,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋“CeO2/ La2O3 MOSFET

におけるリモートクーロン錯乱の移動度に及ぼす影響” 第 70 回応用物理学会学術講演会 No.2pp.734(2009 年 9 月)富山大学

[255] 来山大祐,小柳友常,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋“La2O3 を用いた MOS キャパシタの高温熱処理の検討” 第 70 回応用物理学会学術講演会 No.2pp.732(2009 年 9 月)富山大学

[256] 澤田剛伸,小柳友常,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋“La2O3MOS キャパシタの初期膜厚の違いによる界面La シリケート膜の形成” 第 70 回応用物理学会学術講演会 No.2pp.732(2009 年 9 月)

Page 71: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

71

富山大学

[257] 岩井洋“Si Nanoelectronic Device Technology” Tokyo-Tech Technical Workshop for Open

Innovation -Green ICE at Tokyo Tech-, (2009 年 11 月 30 日)東京工業大学統合研究院

[258] イントロダクトリートーク:金山敏彦,平本俊郎,岩井洋“Si ナノワイヤ FET と I I I

– V/Ge チャネル FET 技術開発の重要性”第 57 回応用物理学関係連合講演会講演予稿集 pp.255(2010 年 3 月)東海大学

[259] 来山大祐,小柳友常,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋“EOT=0.5nm に向けた TaSi2/La2O3/CeOxゲートスタック構造の検討” 第 57回応用物理学関係連合講演会講演予稿集 pp.13-094(2010 年 3 月)東海大学

[260] ダリューシュ ザデ,佐藤創志,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋“界面に La2O3 絶縁膜層を挿入した Hf 系high-k ゲート MOSFET の評価” 第 57 回応用物理学関係連合講演会講演予稿集 pp.13-

095(2010 年 3 月)東海大学

[261] 小澤健児,幸田みゆき,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋“La2O3 MOSFET への CeOxキャップによる電気特性の改善” 第 57 回応用物理学関係連合講演会講演予稿集 pp.13-096(2010 年 3 月)東海大学

[262] 幸田みゆき,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋“希土類酸化物をキャップすることによる MOSFET の電気特性の改善” 第 57 回応用物理学関係連合講演会講演予稿集 pp.13-097(2010 年 3 月)東海大学

[263] 小柳友常,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋“La2O3 MOS デバイスへのアルカリ土類元素キャップによる電気特性の変化” 第 57 回応用物理学関係連合講演会講演予稿集 pp.13-098(2010 年 3 月)東海大学

[264] 神田高志,船水清永,Yueh Chin Lin, 角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,Edward Yi Chang,名取研二,服部健雄,岩井洋“HfO2/ La2O3/ In0.53

Ga0.47As 構造の界面特性の変化” 第 57 回応用物理学関係連合講演会講演予稿集 pp.13-

141(2010 年 3 月)東海大学

[265] 川那子高暢,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋“酸素添加が W ゲート MOS デバイスの電気特性に与える影響” 第 57 回応用物理学関係連合講演会講演予稿集 pp.13-151(2010 年 3 月)東海大学

[266] 田中正興,星野憲文,筒井一生,野平博司,室隆桂之,加藤有香子,木下豊彦,パールハット アヘメト,角嶋邦之,服部健雄,岩井洋“光電子分光により検出した Si 中のAs および P の化学結合状態の評価” 第 57 回応用物理学関係連合講演会講演予稿集pp.13-191(2010 年 3 月)東海大学

[267] 茂森直登,新井英朗,佐藤創志,角嶋邦之,パールハット アヘメト,西山彰,筒井一生,杉井信之,名取研二,服部健雄,岩井洋“酸化膜中の Si ナノワイヤへの Ni 拡散の制御” 第 57 回応用物理学関係連合講演会講演予稿集 pp.13-269(2010 年 3 月)東海大学

[268] 佐藤創志,新井英朗,角嶋邦之,パールハット アヘメト,大毛利健治,名取研二,岩井洋,山田啓作“Si ナノワイヤトランジスタの電気特性の断面形状依存症” 第 57 回応用物理学関係連合講演会講演予稿集 pp.13-270(2010 年 3 月)東海大学

[269] 李映勲,角嶋邦之,白石賢二,名取研二,岩井洋“バリスティック Si ナノワイヤトランジスタの電気特性の直径依存性” 第 57 回応用物理学関係連合講演会講演予稿集pp.13-272(2010 年 3 月)東海大学

[270] 岩井洋“日本における CMOS 微細化研究開発の重要性”SEMI Forum Japan 2010 (2010

年 6 月 1 日)グランキューブ大阪

[271] 来山大祐,小柳友常,角嶋邦之,Parhat Ahmet,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋“EOT=0.5nm に向けた希土類 MOS デバイスの高温短時間熱処理の検討”電子情報通信学会技術研究報告 pp.43-48(2010 年 6 月 22 日)東京大学

[272] 茂森直登,佐藤創志,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋“Si ナノワイヤへの Ni シリサイド形成と過剰な侵入とその抑制に関する検討” 電子情報通信学会技術研究報告 pp.17-22(2010 年 6 月 22

日)東京大学

[273] 佐藤創志,角嶋邦之,Parhat Ahmet,大毛利健治,名取研二,岩井洋,山田啓作“キャリア移動度評価によるシリコンナノワイヤトランジスタの電気特性解析”電子情報通信学会技術研究報告 pp.11-16(2010 年 6 月 22 日)東京大学

[274] 角嶋邦之,小柳友常,来山大祐,幸田みゆき,宋在烈,佐藤創志,川那子高暢,M.マ

Page 72: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

72

イマイティ,舘喜一,MK.ベラ,パールハット アヘメト,野平博司,筒井一生,西山彰,杉井信之,名取研二,服部健雄,山田啓作,岩井洋“LaCe シリケート膜を用いたEOT<0.7nm の直接接合 high-k/Si の実現とフラットバンド電圧制御” 応用物理学会分科会 シリコンテクノロジー No.127 pp.4-8(2010年 7月 22日)産業技術総合研究所

[275] 幸田みゆき,小澤健児,角嶋邦之,パールハット アヘメト,岩井洋,ト部友二,安田哲二,“CVD法による CeOx絶縁膜の作製と特性評価”第71回応用物理学会学術講演会(2010年 9月)長崎大学

[276] 小澤健児,幸田みゆき,角嶋邦之,パールハット アヘメト,岩井洋,ト部友二,安田哲二 “La(iPrCp)3 を原料とした La2O3の ALD: Self-limiting 成長条件の明確化” 第71回応用物理学会学術講演会(2010年 9月)長崎大学

[277] 金田翼,幸田みゆき,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋 “Tm-oxide/La2O3構造ゲート絶縁膜の界面特性評価” 第71回応用物理学会学術講演会(2010年 9月)長崎大学

[278] 細井隆司,神田高志,ダリューシュ ザデ,Yueh Chin Lin,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,Edward Yi Chang,名取研二,服部健雄,岩井洋“絶縁膜材料を用いた In0.53Ga0.47As MOS キャパシタの電気特性”第71回応用物理学会学術講演会(2010年 9月)長崎大学

[279] 呉研,茂森直登,佐藤創志,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋 “トンネル FET 動作に向けた Ni シリサイド/Si接触におけるトンネル電流の観測” 第71回応用物理学会学術講演会(2010年 9月)長崎大学

[280] 竇春萌,マイマイティ マイマイティレャアティ,ダリューシュ ザデ,佐藤創志,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋 “希土類(Ce,Eu)酸化物 MIM構造の抵抗スイッチング特性” 第71回応用物理学会学術講演会(2010年 9月)長崎大学

[281] 田中正興,金原潤,宮田陽平,角嶋邦之,パールハット アヘメト,室隆桂之,木下豊彦,野平博司,筒井一生,室田淳一,服部健雄,岩井洋 “Siエピタキシャル層にドープされたボロンの軟 X 線光電子分光” 第71回応用物理学会学術講演会(2010 年 9月)長崎大学

[282] 来山大祐,小柳友常,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋 “高温短時間熱処理を用いた希土類 MOSキャパシタへの TiNキャップ効果” 第71回応用物理学会学術講演会(2010年 9月)長崎大学

[283] 鈴木拓也,川那子高暢,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“希釈酸素雰囲気熱処理を用いた La シリケート/Si MOS 構造の VFB/Vth シフトの低 EOT への適用”第71回応用物理学会学術講演会(2010年 9月)長崎大学

[284] マイマイティ マイマイティレャアティ,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“High-kゲートスタック MOSFETにおける電子移動度のリモート界面ラフネス散乱依存性 ” 第71回応用物理学会学術講演会(2010年 9 月)長崎大学

[285] 李映勲,角嶋邦之,白石賢二,名取研二,岩井洋,“バリスティックナノワイヤトランジスタ性能の太さ依存における状態密度と静電容量のトレードオフ” 第71回応用物理学会学術講演会(2010年 9月)長崎大学

[286] 小山将央,茂森直登,佐藤創志,角嶋邦之,パールハット アヘメト,西山彰,筒井一生,杉井信之,名取研二,服部健雄,岩井洋,“窒素導入による Siナノワイヤ内へのNiシリサイド侵入抑制機構の検討” 第71回応用物理学会学術講演会(2010 年 9月)長崎大学

[287] 中島一裕,佐藤創志,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“チャージポンピング法による立体 Si構造の界面準位密度の評価” 第71回応用物理学会学術講演会(2010年 9月)長崎大学

[288] 山下晃司,野平博司,角嶋邦之,岩井洋,“HfO2/La2O3/In0.53Ga0.47As構造の熱安定性” 第71回応用物理学会学術講演会(2010年 9月)長崎大学

[289] 岩井洋 “先端ナノエレクトロニクス研究コアユニット” 複合創造領域シンポジウム(2010年 12月 14日)東京工業大学 大学院総合理工学研究科 くらまえホール

[290] ポスター:舘喜一,角嶋邦之,T.Ernst,S. Cristoloveanu,岩井洋,“Vertically-Stacked Nanowire Transistors for future CMOS” 複合創造領域シンポジウム(2010年 12月 14日)東京工業大学

[291] ポスター:佐藤創志,角嶋邦之,パールハット アヘメト,大毛利健治,山田啓作,名取研二,岩井洋,“Influence of the cross-sectional shape for Si nanowire FETs” 複合創造

Page 73: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

73

領域シンポジウム(2010年 12月 14日)東京工業大学

[292] ポスター:李映勲,角嶋邦之,名取研二,岩井洋,“Diameter-dependent injection velocity of ballistic Si nanowire MOSFETs” 複合創造領域シンポジウム(2010年12月 14日)東京工業大学

[293] ポスター:A.Abudukelimu,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“The Effect of Scattering in Drain Region of Ballistic Channel Diode” 複合創造領域シンポジウム(2010年 12月 14日)東京工業大学

[294] ポスター:茂森直登,佐藤創志,角嶋邦之,パールハット アヘメト,西山彰,筒井一生,杉井信之,名取研二,服部健雄,岩井洋,“Suppression of Lateral Encroachment of Ni Silicide into Si Nanowires using Nitrogen Incorporation” 複合創造領域シンポジウム(2010 年 12月 14日)東京工業大学

[295] ポスター:小山将央,茂森直登,新井英朗,佐藤創志,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“Lateral encroachment of Ni silicide into Si nanowire” 複合創造領域シンポジウム(2010年 12月 14日)東京工業大学

[296] ポスター:中島一裕,佐藤創志,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“Interface state density of 3-D structured Si using charge pumping method”複合創造領域シンポジウム(2010年12月 14日)東京工業大学

[297] ポスター:川那子高暢,鈴木拓也,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“An Effective Process for Oxygen Defects Suppression for La-based Oxide Gate Dielectric” 複合創造領域シンポジウム(2010年 12月 14日)東京工業大学

[298] ポスター:小柳友常,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“Flatband Voltage Shift of La-based Gate Oxides with Alkali-earth-elements Incorporation” 複合創造領域シンポジウム(2010年12月 14日)東京工業大学

[299] ポスター:金田翼,幸田みゆき,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“Effect of Rare Earth Oxide Capping for La-based Gate Oxides” 複合創造領域シンポジウム(2010年 12月 14日)東京工業大学

[300] ポスター:マイマイティ マイマイティレャアティ,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,岩井洋,“Remote Coulomb and roughness scatterings in gate oxide scaling” 複合創造領域シンポジウム(2010年 12月 14日)東京工業大学

[301] ポスター:来山大祐,小柳友常,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“Process Optimization of Rare-Earth Oxides Gated MOS Devices for Future EOT Scaling” 複合創造領域シンポジウム(2010年 12月 14日)東京工業大学

[302] ポスター:久保田透,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“Spectroscopic analysis of interface state density in high-k/Si structure” 複合創造領域シンポジウム(2010年 12月 14日)東京工業大学

[303] ポスター:幸田みゆき,小澤健児,角嶋邦之,パールハット アヘメト,岩井洋,ト部友二,安田哲二,“Electrical characterization of CVD deposited Ce oxides” 複合創造領域シンポジウム(2010年 12月 14日)東京工業大学

[304] ポスター:小澤健児,幸田みゆき,角嶋邦之,パールハット アヘメト,岩井洋,ト部友二,安田哲二,“Self-limited growth of La oxides with ALD” 複合創造領域シンポジウム(2010年 12月 14日)東京工業大学

[305] ポスター:ダリューシュ ザデ,神田高志,細井隆司,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“Towards High Performance III-V MOSFET, A Study on high-k Gate Stacks on In0.53Ga0.47As” 複合創造領域シンポジウム(2010年 12月 14日)東京工業大学

[306] ポスター:竇春萌,向井弘樹,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“Feasibility study of Ce oxide for resistive RAM application” 複合創造領域シンポジウム(2010年 12月 14日)東京工業大学

[307] ポスター:呉研,茂森直登,佐藤創志,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“Observation of Tunneling FET

Page 74: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

74

operation in MOSFET with NiSi/Si Schottky source/channel interface” 複合創造領域シンポジウム(2010年 12月 14日)東京工業大学

[308] 佐藤創志,角嶋邦之,パールハット アヘメト,大毛利健治,名取研二,山田啓作,岩井洋,“Effects of corners of channel cross-section on electrical performance of silicon nanowire field-effect transistors”ゲートスタック研究会-材料・プロセス・評価の物理-(第 16 回研究会)(2011 年 1 月 23 日)東京工業大学

[309] 小澤健児,幸田みゆき,角嶋邦之,パールハット アヘメト,岩井洋,ト部友二,安田哲二,“La2O3 の ALD 成長のための原料選択:シクロペンタジエニル錯体とアミディネート錯体の比較” ゲートスタック研究会-材料・プロセス・評価の物理-(第 16 回研究会)(2011 年 1 月 23 日)東京工業大学

[310] 舘喜一, M. Casse, S. Barraud, C. Dupre, A. Hubert, N. Vulliet, M.E. Faivre, C. Vizioz, C.

Carabasse, V. Delaye, J.M. Hartmann, 岩井洋 , S. Cristoloveanu, O. Faynot, T. Ernst,

“Experimental study on carrier transport limiting phenomena in 10 nm width nanowire CMOS

transistors” IEDM 特集講演会(2011 年 1 月 31 日)機械振興会館

[311] 中山隆史、角嶋邦之、中塚理、町田義明、五月女真一、松木武雄、大毛利健治、岩井洋、財満鎭明、知京豊裕、白石賢二、山田啓作、“ドーピングによるシリサイドの仕事関数の変調:シリサイドの物理に基づく理論(先端 CMOS デバイス・プロセス技術)” 電子情報通信学会技術研究報告、SDM、シリコン材料・デバイス Vol.110 No.406、pp.5-8

(2011 年 1 月)

[312] 櫻井蓉子,大毛利健治,山田啓作,角嶋邦之,岩井洋,白石賢二,野村晋太郎,“デバイス評価に向けた Si ナノワイヤーの発光測定”第 58 回応用物理学関係連合講演会(2011 年 3 月)神奈川工科大学

[313] 久保田透,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“High-k/Si 構造における界面準位密度のスペクトル解析” ”第 58 回応用物理学関係連合講演会(2011 年 3 月)神奈川工科大学

[314] 幸田みゆき,小澤健児,角嶋邦之,パールハット アヘメト,岩井洋,ト部友二,安田哲二,“CVD により形成した CeO2の誘電率周波数分散の成長プロセス依存性” 第 58

回応用物理学関係連合講演会(2011 年 3 月)神奈川工科大学

[315] 金田翼,幸田みゆき,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“Si/La2O3 /n-Si 構造に対する Flash Lamp Annealing

の電気特性への影響” 第 58 回応用物理学関係連合講演会(2011 年 3 月)神奈川工科大学

[316] 来山大祐,小柳友常,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“W 電極/ La2O3絶縁膜界面への La-silicate 層形成によるMOSキャパシタの電気特性への影響” 第58回応用物理学関係連合講演会(2011

年 3 月)神奈川工科大学

[317] 川那子高暢,来山大祐,鈴木拓也,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“MIPS 構造による希土類 MOS デバイスの EOT スケーリングの検討” 第 58 回応用物理学関係連合講演会(2011 年 3 月)神奈川工科大学

[318] 小柳友常,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“MgO 添加による La2O3 MOS キャパシタの電気特性の変化”

第 58 回応用物理学関係連合講演会(2011 年 3 月)神奈川工科大学

[319] 鈴木拓也,川那子高暢,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“希土類酸化物キャップ La2O3 MOS デバイスに対する希釈酸素雰囲気熱処理を用いた VFB シフトの検討” 第 58 回応用物理学関係連合講演会(2011 年 3 月)神奈川工科大学

[320] マイマイティ マイマイティレャアティ,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,岩井洋,“超薄い High-k ゲートスタック MOSFET

における電子移動度の劣化” 第 58 回応用物理学関係連合講演会(2011 年 3 月)神奈川工科大学

[321] 山下晃司,沼尻侑也,野平博司,角嶋邦之,岩井洋,“表面処理の違いが La2O3

/In0.53Ga0.47As 界面の化学結合状態に及ぼす影響” 第 58 回応用物理学関係連合講演会(2011 年 3 月)神奈川工科大学

[322] 神田高志,ダリューシュ ザデ,Yueh Chin Lin,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,Edward Yi Chang,名取研二,服部健雄,岩井洋,“La2O3

/InGaAs MOS キャパシタに及ぼす界面特性の熱処理による変化” 第 58 回応用物理学関係連合講演会(2011 年 3 月)神奈川工科大学

Page 75: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

75

[323] 金原潤,田中正興,宮田陽平,筒井一生,野平博司,室隆桂之,木下豊彦,パールハット アヘメト,角嶋邦之,服部健雄,岩井洋,“軟 X 線光電子分光による Si 中の As 極浅ドープ層における化学結合状態の検出とその深さ方向分布” 第 58 回応用物理学関係連合講演会(2011 年 3 月)神奈川工科大学

[324] 宮田陽平,田中正興,金原潤,筒井一生,角嶋邦之,パールハット アヘメト,服部健雄,岩井洋,“反復剥離法を用いた Fin 構造中ドーピングプロファイルの測定精度向上” 第 58 回応用物理学関係連合講演会(2011 年 3 月)神奈川工科大学

[325] 佐藤創志,角嶋邦之,パールハット アヘメト,大毛利健治,名取研二,山田啓作,岩井洋,“シリコンナノワイヤトランジスタの電気特性の絶縁膜厚依存性” 第 58 回応用物理学関係連合講演会(2011 年 3 月)神奈川工科大学

[326] 李蔚,佐藤創志,中島一裕,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“シリコンナノワイヤトランジスタのSubthresgold特性による界面準位密度の評価” 第58回応用物理学関係連合講演会(2011

年 3 月)神奈川工科大学

[327] 小山将央,茂森直登,佐藤創志,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“Si/SiO2コア/シェル型および露出型 Si

ナノワイヤにおける Ni シリサイド成長機構の検討” 第 58 回応用物理学関係連合講演会(2011 年 3 月)神奈川工科大学

[328] 竇春萌,向井弘樹,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“CeO2を用いた抵抗変化型メモリーへの Si バッファー層の効果検討” 第 58 回応用物理学関係連合講演会(2011 年 3 月)神奈川工科大学

[329] 茂森直登,小澤健児,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“超平坦界面ショットキーダイオードの形成と電気特性評価” 第 58 回応用物理学関係連合講演会(2011 年 3 月)神奈川工科大学

[330] 呉研,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“Ni シリサイド/Si ショットキー障壁を用いたトンネル MOS トランジスター-NMOS vs PMOS の比較” 第 58 回応用物理学関係連合講演会(2011 年 3

月)神奈川工科大学

[331] 中島一裕,李蔚,佐藤創志,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“チャージポンピング法による立体構造の界面準位密度の熱処理温度依存性” 第 58 回応用物理学関係連合講演会(2011 年 3 月)神奈川工科大学

[332] 李映勲,角嶋邦之,名取研二,岩井洋,“バリスティック Si ナノワイヤ MOSFET の注入速度の直径依存におけるキャリア縮退の影響” 第 58 回応用物理学関係連合講演会(2011 年 3 月)神奈川工科大学

[333] A.Abudukelimu,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“バリスティック n+-i-n+ダイオードのドレイン領域内のフォノン発生が電子伝導に与える影響” 第 58 回応用物理学関係連合講演会(2011 年3 月)神奈川工科大学

[334] 櫻井蓉子、大毛利健治、山田啓作、角嶋邦之、岩井洋、白石賢二、野村晋太郎、“低温における Si ナノワイヤーの発光特性(磁性半導体・量子井戸・超請格子、領域 4(半導体、メゾスコピック系・局在))”日本物理学会講演概要集 66(1-4)、P699(2011 年3 月)

[335] 岩井洋,“節電社会を牽引する新たなナノ Si 技術 -ナノ CMOS の進化-”東工大社会人教育院「世界をリード・世界に羽ばたく東工大の最先端研究」公開講演会(2011 年5 月 25 日)東京工業大学 田町キャンパス

[336] 川那子高暢,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“高温熱処理と MIPS 構造による La-silicate/Si 界面特性の改善と低 EOT の実現” シリコン材料・デバイス研究会(SDM)(2011 年 7 月 4 日)名古屋大学

[337] ザデ ダリューシュ,細井隆司,パールハット アヘメト,角嶋邦之,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“コンダクタンス法を用いた HfO

2/In<0.53>Ga<0.47>As の界面解析” シリコン材料・デバイス研究会(SDM)(2011 年 7

月 4 日)名古屋大学

[338] 櫻井蓉子,大毛利健,山田啓作,角嶋邦之,岩井洋,白石賢二,野村晋太郎,“Si ナノワイヤー、ナノレイヤの発光と界面”シリコン材料・デバイス研究会(SDM)(2011 年7 月 4 日)名古屋大学

[339] 田中祐樹,川那子高暢,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“シリコン基板上に堆積したナノカーボン薄膜への高温短時間アニーリングの影響”第 72 回応用物理学会学術講演会(2011 年 8 月 29

Page 76: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

76

日~9 月 2 日)山形大学 小白川キャンパス

[340] 関拓也,来山大祐,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“High-k/Si 直接接合構造における界面準位の定量評価について” 第 72 回応用物理学会学術講演会(2011 年 8 月 29 日~9 月 2 日)山形大学

小白川キャンパス

[341] 鈴木拓也,幸田みゆき,角嶋邦之,パールハット アヘメト,岩井洋,安田哲二,“ALD

による MgO 添加 La2O3 ゲート絶縁膜の形成及び電気特性評価” 第 72 回応用物理学会学術講演会(2011 年 8 月 29 日~9 月 2 日)山形大学 小白川キャンパス

[342] Kamale Tuokedaerhan,金田翼,マイマイティ マイマイティレャアティ,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,

“La2O3/n-Si 構造に対する Post Deposition Anneal の電気特性への影響” 第 72 回応用物理学会学術講演会(2011 年 8 月 29 日~9 月 2 日)山形大学 小白川キャンパス

[343] 常石佳奈,来山大祐,幸田みゆき,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“W/Tm2O3/n-Si 構造キャパシタの電気特性における Tm2O3膜厚依存性” 第 72 回応用物理学会学術講演会(2011 年 8 月29 日~9 月 2 日)山形大学 小白川キャンパス

[344] 沼尻侑也,山下晃司,小松新,ザデ ダリューシュ,角嶋邦之,岩井洋,野平博司,“AR-

XPS による(NH4) 2S 処理した In0.53Ga0.47As表面の化学結合状態の評価” 第 72 回応用物理学会学術講演会(2011 年 8 月 29 日~9 月 2 日)山形大学 小白川キャンパス

[345] 鈴木佑哉,細井隆司,ザデ ダリューシュ,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“high-k/In0.53Ga0.47As MOS キャパシタの容量-電圧特性の解析” 第 72 回応用物理学会学術講演会(2011 年 8 月 29 日~9 月 2 日)山形大学 小白川キャンパス

[346] 松本一輝,小山将央,呉研,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“酸化膜被覆型 Si ナノワイヤおよび Si Fin

構造における Ni シリサイド成長機構の検討” 第 72 回応用物理学会学術講演会(2011

年 8 月 29 日~9 月 2 日)山形大学 小白川キャンパス

[347] 角嶋邦之,金原潤,筒井一生,服部健雄,岩井洋,“高濃度ボロンドープ飼料の角度分解 X 線光電子分光による濃度分布解析” 第 72 回応用物理学会学術講演会(2011 年 8

月 29 日~9 月 2 日)山形大学 小白川キャンパス

[348] 宮田陽平,金原潤,難波覚,三角元力,筒井一生,野平博司,室隆桂之,木下豊彦,角嶋邦之,パールハット アヘメト,服部健雄,岩井洋,“軟 X 線光電子分光法を用いたFin 構造中の不純物化学結合状態分析” 第 72 回応用物理学会学術講演会(2011 年 8 月29 日~9 月 2 日)山形大学 小白川キャンパス

[349] 金原潤,宮田陽平,秋田洸平,筒井一生,野平博司,室隆桂之,木下豊彦,パールハット アヘメト,角嶋邦之,服部健雄,岩井洋,“Si 中に極浅ドープされた As の軟 X 線光電子分光による化学結合状態の検出とその深さ方向分布” 第 72 回応用物理学会学術講演会(2011 年 8 月 29 日~9 月 2 日)山形大学 小白川キャンパス

[350] 田村雄太,角嶋邦之,中塚理,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“Ni と Si の積層薄膜によって形成したシリサイドのシート抵抗に対する熱処理温度の影響” 第 72 回応用物理学会学術講演会(2011 年 8 月29 日~9 月 2 日)山形大学 小白川キャンパス

[351] 吉原亮,角嶋邦之,パールハット アヘメト,中塚理,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“Ni/Si 積層から形成される Ni シリサイドのショットキーダイオードの電流特性” 第 72 回応用物理学会学術講演会(2011 年 8 月 29 日~9 月2 日)山形大学 小白川キャンパス

[352] 李蔚,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“コンダクタンス法による立体チャネルを有する MOS キャパシタの界面準位密度の評価” 第 72 回応用物理学会学術講演会(2011 年 8 月 29 日~9 月 2

日)山形大学 小白川キャンパス

[353] フェン ウェイ,ヘッティアーラッチ・ランガ,佐藤創志,角嶋邦之,M. Niwa,岩井洋,山田啓作,大毛利健治,“Advantages of Silicon Nanowire MOSFETs over Planar

MOSFETs Investigated from the Aspect of Drain-Current Noise” 第 72 回応用物理学会学術講演会(2011 年 8 月 29 日~9 月 2 日)山形大学 小白川キャンパス

[354] 大毛利健治,フェン ウェイ,佐藤創志,ヘッティアーラッチ・ランガ,佐藤基之,松木武雄,角嶋邦之,岩井洋,山田啓作,“ドレイン電流のランダムテレグラフノイズに相関した FET チャネルポテンシャル揺らぎの実時間直接観測”第 72 回応用物理学会学術講演会(2011 年 8 月 29 日~9 月 2 日)山形大学 小白川キャンパス

[355] 叶真一,Mokhammad Hadi,竇春萌,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋,“希土類酸化物(CeOX)を用いた MIM

Page 77: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

77

構造の抵抗スイッチング特性” 第 72 回応用物理学会学術講演会(2011 年 8 月 29 日~9 月 2 日)山形大学 小白川キャンパス

[356] 細田倫央,李映勲,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,岩井洋,“矩形断面 Si ナノワイヤ MOSFET におけるフォノン散乱に制限された移動度のサイズ依存性” 第 72 回応用物理学会学術講演会(2011 年 8 月 29 日~9

月 2 日)山形大学 小白川キャンパス

[357] 櫻井蓉子,大毛利健治,山田啓作,角嶋邦之,岩井洋,白石賢二,野村晋太郎,“25pHD-

9 低温における Si ナノワイヤーの発光特性”日本物理学会第 66 回秋季大会(2011 年 9

月 22 日)弘前大学

[358] 東京工業大学,産業技術総合研究所,“第2世代超薄膜ゲート絶縁膜材料の研究開発~半導体機器における消費電力の大幅な削減に向けて~”NEDO 省エネルギー技術フォーラム 2011(平成 23 年 12 月 1 日)東京国際交流館プラザ平成

[359] 幸田みゆき,鈴木拓也,角嶋邦之, パールハット アヘメト,岩井洋,安田哲二, “ALD-

La2O3 と CVD-CeO2 の積層構造形成と移動度改善効果” ゲートスタック研究会-材料・プロセス・評価の物理-(第 17 回研究会)(2012 年 1 月 20 日)東レ総合研究センター

[360] 沼尻侑也,山下晃司,小松新,角嶋邦之,岩井洋,野平博司,“表面処理したIn<sub>0.53</sub>Ga<sub>0.47</sub>As 表面の化学結合状態の角度分解光電子分光法による評価” ゲートスタック研究会-材料・プロセス・評価の物理-(第 17 回研究会)(2012 年 1 月 20 日)東レ総合研究センター

[361] 岩井洋,“CMOS 集積回路の現状”計算物質科学イニシャティブ(CMSI)勉強会(平成24 年 3 月 10 日)東京大学 本郷キャンパス工学部 6 号館 1 階大会議室

[362] パールハット アヘメト,関拓也,来山大祐,金田翼,マイマイティ マイマイティレャアティ,角嶋邦之,片岡好則,西山彰,杉井信之,筒井一生,名取研二,服部健雄,岩井洋,“All in-situ プロセスによる TaN/W/ La2O3構造を用いた EOT 0.5nm 領域のための高耐熱性 high-k ゲートスタック技術”第 59 回応用物理学関係連合講演会(2012 年3 月 15 日~3 月 18 日)早稲田大学 早稲田キャンパス

[363] 川那子高暢,角嶋邦之,パールハット アヘメト,片岡好則,西山彰,杉井信之,筒井一生,名取研二,服部健雄,岩井洋,“高温熱処理と MIPS 構造による EOT=0.62nm のLa-silicate MOSFET の実現” 第 59 回応用物理学関係連合講演会(2012 年 3 月 15 日~3 月 18 日)早稲田大学 早稲田キャンパス

[364] A.Abudukelimu,角嶋邦之,パールハット アヘメト,Mamtimin Geni,名取研二,岩井洋,“歪みドレインがバリスティックチャネルデバイスの特性に与える影響” 第 59 回応用物理学関係連合講演会(2012 年 3 月 15 日~3 月 18 日)早稲田大学 早稲田キャンパス

[365] 幸田みゆき,マイマイティ マイマイティレャアティ,川那子高暢,角嶋邦之,パールハット アヘメト,野平博司,片岡好則,西山彰,杉井信之,筒井一生,名取研二,服部健雄,岩井洋,“Ce 酸化物/Si(100)界面における Ce の価数と Ce シリケート” 第 59

回応用物理学関係連合講演会(2012 年 3 月 15 日~3 月 18 日)早稲田大学 早稲田キャンパス

[366] Kamale Tuokedaerhan,田中祐樹,角嶋邦之,パールハット アヘメト,片岡好則,西山彰,杉井信之,筒井一生,名取研二,服部健雄,岩井洋,“Work Function Measurement

of C/W Stacked Structure on SiO2 Gate Dielectrics” 第 59 回応用物理学関係連合講演会(2012 年 3 月 15 日~3 月 18 日)早稲田大学 早稲田キャンパス

[367] 細井隆司,鈴木佑哉,ザデ ダリューシュ,角嶋邦之,パールハット アヘメト,西山彰,杉井信之,筒井一生,片岡好則,名取研二,服部健雄,岩井洋,“熱処理による/

In0.53Ga0.47As ショットキーダイオードの特性変化” 第 59 回応用物理学関係連合講演会(2012 年 3 月 15 日~3 月 18 日)早稲田大学 早稲田キャンパス

[368] 来山大祐,角嶋邦之,パールハット アヘメト,片岡好則,西山彰,杉井信之,筒井一生,名取研二,服部健雄,岩井洋,“La-silicate 形成時の高温熱処理雰囲気の MOS 特性への影響” 第 59 回応用物理学関係連合講演会(2012 年 3 月 15 日~3 月 18 日)早稲田大学 早稲田キャンパス

[369] 中島一裕,角嶋邦之,パールハット アヘメト,片岡好則,西山彰,杉井信之,筒井一生,名取研二,服部健雄,岩井洋,“チャージポンピング法による三次元構造 Si チャネルの界面準位密度測定” 第 59 回応用物理学関係連合講演会(2012 年 3 月 15 日~3

月 18 日)早稲田大学 早稲田キャンパス [370] 鈴木拓也,幸田みゆき,角嶋邦之,パールハット アヘメト,岩井洋,安田哲二,“ALD

La2O3 ゲート絶縁膜の低リーク化:成長温度、PDA、H2プラズマ処理の検討” 第 59 回

Page 78: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

78

応用物理学関係連合講演会(2012 年 3 月 15 日~3 月 18 日)早稲田大学 早稲田キャンパス

[371] 田中祐樹,Kamale Tuokedaerhan,角嶋邦之,パールハット アヘメト,片岡好則,西山彰,杉井信之,筒井一生,名取研二,服部健雄,岩井洋,“タングステンとカーボンの積層薄膜の形成と熱処理によるシート抵抗の変化” 第 59 回応用物理学関係連合講演会(2012 年 3 月 15 日~3 月 18 日)早稲田大学 早稲田キャンパス

[372] 田村雄太,角嶋邦之,パールハット アヘメト,片岡好則,西山彰,杉井信之,筒井一生,名取研二,服部健雄,岩井洋,“積層 NiS2 のシート抵抗の熱処理温度依存性に及ぼす基盤の影響” 第 59 回応用物理学関係連合講演会(2012 年 3 月 15 日~3 月 18 日)早稲田大学 早稲田キャンパス

[373] 叶真一,竇春萌,M. Hadi,角嶋邦之,パールハット アヘメト,片岡好則,西山彰,杉井信之,筒井一生,名取研二,服部健雄,岩井洋,“W/CeOX/NiSi2 構造 ReRAM の抵抗スイッチング特性” 第 59 回応用物理学関係連合講演会(2012 年 3 月 15 日~3 月 18

日)早稲田大学 早稲田キャンパス

[374] 鈴木佑哉,細井隆司,ザデ ダリューシュ,角嶋邦之,パールハット アヘメト,西山彰,杉井信之,筒井一生,片岡好則,名取研二,服部健雄,岩井洋,“In0.53Ga0.47As 表面窒化が La2O3/In0.53Ga0.47As キャパシタの電気特性に及ぼす影響” 第 59 回応用物理学関係連合講演会(2012 年 3 月 15 日~3 月 18 日)早稲田大学 早稲田キャンパス

[375] 常石佳奈,陳江寧,角嶋邦之,パールハット アヘメト,片岡好則,西山彰,杉井信之,筒井一生,名取研二,服部健雄,岩井洋,“超積層プロセスを利用したNiSi2とAlGaN/GaN

の電流電圧特性および不純物挿入の効果” 第 59 回応用物理学関係連合講演会(2012

年 3 月 15 日~3 月 18 日)早稲田大学 早稲田キャンパス

[376] 松本一輝,小山将央,角嶋邦之,パールハット アヘメト,片岡好則,西山彰,杉井信之,筒井一生,名取研二,服部健雄,岩井洋,“Ni シリサイドを形成した Si ナノワイヤにおける電気抵抗の評価” 第 59 回応用物理学関係連合講演会(2012 年 3 月 15 日~3 月 18 日)早稲田大学 早稲田キャンパス

[377] 趙洋,マイマイティ マイマイティレャアティ,角嶋邦之,パールハット アヘメト,片岡好則,西山彰,杉井信之,筒井一生,名取研二,服部健雄,岩井洋,“熱処理で形成された Silicate 膜と La2O3界面のコンダクタンス法による評価” 第 59 回応用物理学関係連合講演会(2012 年 3 月 15 日~3 月 18 日)早稲田大学 早稲田キャンパス

[378] 陳江寧,常石佳奈,角嶋邦之,パールハット アヘメト,片岡好則,西山彰,杉井信之,筒井一生,名取研二,服部健雄,岩井洋,“HfO2と La2O3をゲート絶縁膜として用いた AlGaN/GaN-HEMT の電気特性” 第 59 回応用物理学関係連合講演会(2012 年 3 月15 日~3 月 18 日)早稲田大学 早稲田キャンパス

[379] 吉原亮,角嶋邦之,パールハット アヘメト,片岡好則,西山彰,杉井信之,筒井一生,名取研二,服部健雄,岩井洋,“Ni/Si 積層構造を用いた NiSi2 ショットキーのダイオード特性と B、P の界面への導入による効果” 第 59 回応用物理学関係連合講演会(2012

年 3 月 15 日~3 月 18 日)早稲田大学 早稲田キャンパス

[380] 関拓也,来山大祐,角嶋邦之,パールハット アヘメト,片岡好則,西山彰,杉井信之,筒井一生,名取研二,服部健雄,岩井洋,“La2O3と Si 基板との界面に由来する赤外スペクトルの熱処理による変化” 第 59 回応用物理学関係連合講演会(2012 年 3 月 15

日~3 月 18 日)早稲田大学 早稲田キャンパス

[381] 秋田洸平,宮田陽平,金原潤,筒井一生,角嶋邦之,パールハット アヘメト,岩井洋,“反復剥離法を用いた Fin 構造の上面および側面におけるドーピングプロファイル測定” 第 59 回応用物理学関係連合講演会(2012 年 3 月 15 日~3 月 18 日)早稲田大学

早稲田キャンパス

[382] 武井優典,寺山一真,宮田陽平,金原潤,筒井一生,角嶋邦之,パールハット アヘメト,服部健雄,岩井洋,“極浅不純物深さ方向プロファイリングのためのオゾン酸化を用いた Si ステップバイステップエッチングの不純物濃度依存性” 第 59 回応用物理学関係連合講演会(2012 年 3 月 15 日~3 月 18 日)早稲田大学 早稲田キャンパス

[383] 金原潤,宮田陽平,武井優典,寺山一真,筒井一生,野平博司,泉雄大,室隆桂之,木下豊彦,パールハット アヘメト,角嶋邦之,服部健雄,岩井洋,“軟 X 線光電子分光法による Si 中の極浅高濃度 B ドープ層におけるクラスター濃度分布解析” 第 59 回応用物理学関係連合講演会(2012 年 3 月 15 日~3 月 18 日)早稲田大学 早稲田キャンパス

[384] 宮田陽平,金原潤,秋田洸平,筒井一生,野平博司,泉雄大,室隆桂之,木下豊彦,角嶋邦之,パールハット アヘメト,服部健雄,岩井洋,“軟 X 線光電子分光法を用いたSi 中 B の化学結合状態の熱処理温度依存性” 第 59 回応用物理学関係連合講演会(2012

年 3 月 15 日~3 月 18 日)早稲田大学 早稲田キャンパス

[385] 櫻井蓉子,角嶋邦之,大毛利健治,山田啓作,岩井洋,浅川潔,白石賢二,野村晋太郎,

Page 79: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

79

“Si ナノワイヤーの発光測定” 第 59 回応用物理学関係連合講演会(2012 年 3 月 15

日~3 月 18 日)早稲田大学 早稲田キャンパス

[386] 沼尻侑也,山下晃司,小松新,ザデ ダリューシュ,角嶋邦之,岩井洋,野平博司

“/In0.53Ga0.47As 表面の初期酸化課程の AR-XPS による評価” 第 59 回応用物理学関係

連合講演会(2012 年 3 月 15 日~3 月 18 日)早稲田大学 早稲田キャンパス

[387] 筒井一生,金原潤,宮田陽平,野平博司,泉雄大,室隆桂之,木下豊彦,パールハット

アヘメト,角嶋邦之,服部健雄,岩井洋,“極浅接合における異なる化学結合状態を持

つ不純物の検出とその深さ方向プロファイル評価” 第 149 回研究集会「ゲートスタッ

ク技術の進展-不純物分布および接合界面制御を中心に」(2012 年 6 月 21 日)名古屋

大学ベンチャー・ビジネス・ラボラトリー

[388] 田村雄太,吉原亮,角嶋邦之,パールハット アヘメト,片岡好則,西山彰,杉井信之,

筒井一生,名取研二,服部健雄,岩井洋,“微細 3 次元デバイスに向けたシリサイド

ショットキーS/D の界面制御方法の提案”第 149 回研究集会「ゲートスタック技術の

進展-不純物分布および接合界面制御を中心に」(2012 年 6 月 21 日)名古屋大学ベン

チャー・ビジネス・ラボラトリー

[389] 岩井洋,“次世代エレクトロニクスのための革新的シーズ技術”H24 年電気学会 産業

応用部門大会「2030 年に向けた低炭素社会実現のためのグリーンエレクトロニクスの

役割」(2012 年 8 月 21 日)千葉工業大学 津田沼キャンパス

[390] 川那子高暢,角嶋邦之,パールハット アヘメト,片岡好則,西山彰,杉井信之,筒井

一生,名取研二,服部健雄,岩井洋,“直接接合 La-silicate/Si 界面構造を用いた

EOT=0.62nm の nMOSFET”第 73 回応用物理学会学術講演会(2012 年 9 月 11 日~9 月

14 日)愛媛大学、松山大学

[391] 川那子高暢,角嶋邦之,パールハット アヘメト,片岡好則,西山彰,杉井信之,筒井

一生,名取研二,服部健雄,岩井洋,“(100)面及び(110)面 Si 基板上への直接接合 La-

silicate/Si 構造の形成と nMOSFET 特性の評価” 第 73 回応用物理学会学術講演会(2012

年 9 月 11 日~9 月 14 日)愛媛大学、松山大学

[392] Kamale Tuokedaerhan,R. Tan, 細田修平,角嶋邦之,パールハット アヘメト,片岡好

則,西山彰,杉井信之,筒井一生,名取研二,服部健雄,岩井洋,“Influence of Si/TiN

Capped Annealing on the Interfacial Properties of W2C/La-silicate/n-Si Capacitors for EOT

Scaling” 第 73 回応用物理学会学術講演会(2012 年 9 月 11 日~9 月 14 日)愛媛大学、

松山大学

[393] 陳江寧,常石佳奈,角嶋邦之,パールハット アヘメト,片岡好則,西山彰,杉井信之,

筒井一生,名取研二,服部健雄,岩井洋,“Thickness dependent electrical characteristics

of AlGaN/GaN-HEMT with La2O3 gate dielectrics” 第 73回応用物理学会学術講演会(2012

年 9 月 11 日~9 月 14 日)愛媛大学、松山大学

[394] 趙洋,鈴木拓也,角嶋邦之,パールハット アヘメト,片岡好則,筒井一生,西山彰,

杉井信之,名取研二,服部健雄,岩井洋,“ALD による La2O3ゲート絶縁膜の MOSFET

の作成” 第 73 回応用物理学会学術講演会(2012 年 9 月 11 日~9 月 14 日)愛媛大学、

松山大学

[395] 稲村太一,李蔚,角嶋邦之,パールハット アヘメト,片岡好則,西山彰,杉井信之,

Page 80: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

80

筒井一生,名取研二,服部健雄,岩井洋,“Fe 層と Si 層の積層スパッタにより形成し

た FeSi2の赤外吸収特性” 第 73 回応用物理学会学術講演会(2012 年 9 月 11 日~9 月

14 日)愛媛大学、松山大学

[396] 大嶺洋,鈴木佑哉,ザデ ダリューシュ,角嶋邦之,パールハット アヘメト,片岡好

則,西山彰,杉井信之,筒井一生,服部健雄,名取研二,岩井洋,“TiN/W 構造をゲ

ート電極に用いた La2O3/In0.53Ga0.47As 界面特性の改善” 第 73 回応用物理学会学術講

演会(2012 年 9 月 11 日~9 月 14 日)愛媛大学、松山大学

[397] 関拓也,角嶋邦之,パールハット アヘメト,片岡好則,西山彰,杉井信之,筒井一生,

名取研二,服部健雄,岩井洋,“La-silicate のメタル電極を通した赤外吸収の熱処理温

度依存性” 第 73 回応用物理学会学術講演会(2012 年 9 月 11 日~9 月 14 日)愛媛大

学、松山大学

[398] 武正敦,田中祐樹,角嶋邦之,パールハット アヘメト,片岡好則,西山彰,杉井信之,

筒井一生,名取研二,服部健雄,岩井洋,“積層構造 TiC 電極とダイヤモンドの接触

抵抗” 第 73 回応用物理学会学術講演会(2012 年 9 月 11 日~9 月 14 日)愛媛大学、

松山大学

[399] 細田修平,Kamale Tuokedaerhan,譚瑞琴,角嶋邦之,パールハット アヘメト,片岡好

則,西山彰,杉井信之,筒井一生,名取研二,服部健雄,岩井洋,“EOT が 1nm 以下

となる場合に W2C 電極が La-silicate/Si 界面特性に及ぼす影響” 第 73 回応用物理学会

学術講演会(2012 年 9 月 11 日~9 月 14 日)愛媛大学、松山大学

[400] 吉原亮,角嶋邦之,片岡好則,西山彰,杉井信之,筒井一生,名取研二,服部健雄,岩

井洋,“Ni/Si 積層構造を用いた NiSi2ショットキーのダイオード特性と B、P の界面へ

の導入による効果” 第 73 回応用物理学会学術講演会(2012 年 9 月 11 日~9 月 14 日)

愛媛大学、松山大学

[401] 劉璞誠,田村雄太,吉原亮,角嶋邦之,パールハット アヘメト,片岡好則,西山彰,

杉井信之,筒井一生,名取研二,服部健雄,岩井洋,“NiSi2/Si ショットキーダイオー

ドにおける不純物導入位置がBn に与える影響” 第 73 回応用物理学会学術講演会

(2012 年 9 月 11 日~9 月 14 日)愛媛大学、松山大学

[402] 岡本真里,常石佳奈,陳江寧,角嶋邦之,パールハット アヘメト,片岡好則,西山彰,

杉井信之,筒井一生,名取研二,服部健雄,岩井洋,“AlGaN/GaN 基板に形成した TiSi2

電極の電流電圧特性” 第 73 回応用物理学会学術講演会(2012 年 9 月 11 日~9 月 14

日)愛媛大学、松山大学

[403] 武井優典,寺山一真,米澤宏昭,宮田陽平,筒井一生,角嶋邦之,パールハット アヘ

メト,服部健雄,岩井洋,“オゾン酸化を用いた Si および Ge のサブ nm ステップバイ

ステップエッチング” 第 73 回応用物理学会学術講演会(2012 年 9 月 11 日~9 月 14

日)愛媛大学、松山大学

[404] 寺山一真,神谷真行,武井優典,宮田陽平,筒井一生,角嶋邦之,パールハット アヘ

メト,服部健雄,岩井洋,“Si極浅接合プロファイリングのためのステップエッチン

グ法の比較” 第 73 回応用物理学会学術講演会(2012 年 9 月 11 日~9 月 14 日)愛媛

大学、松山大学

[405] 笠原大,岡田葉月,沼尻侑也,角嶋邦之,岩井洋,野平博司,“TiN-cap が W/high-k

Page 81: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

81

絶縁膜/In0.53 Ga0.47As の熱安定性に及ぼす効果” 第 73 回応用物理学会学術講演会

(2012年 9月 11日~9月 14日)愛媛大学、松山大学

[406] 笠原大,岡田葉月,沼尻侑也,角嶋邦之,岩井洋,野平博司,“TiN-cap が W/high-k

絶縁膜/In<sub>0.53</sub>Ga<sub>0.47</sub>Asの熱安定性に及ぼす効果” ゲートス

タック研究会-材料・プロセス・評価の物理-(第 18回研究会)(2013年 1月 25日)

ニューウェルシティー湯河原

[407] フェン ウェイ,ランガ ヘッティアーラッチ,李映勲,佐藤創志,角嶋邦之,佐藤基

之,福田浩一,丹羽正昭,山部紀久夫,白石賢二,岩井洋,山田啓作,大毛利健治,

“Siナノワイヤ MOSFETの「静かな」雑音特性”ゲートスタック研究会-材料・プロセ

ス・評価の物理-(第 18回研究会)(2013年 1 月 26日)ニューウェルシティー湯河

[408] ポスター:角嶋邦之,大嶺洋,鈴木佑哉,ザデ ダリューシュ,岩井洋,“希土類系高

誘電体薄膜を中心とした III-V族化合物半導体基板用絶縁膜の探索的研究-La2O3ゲー

ト絶縁膜で低界面準位密度と耐熱性の実現-”2013 年 FIRST「グリーン・ナノエレクト

ロニクスのコア技術開発」成果報告会(2013 年 3 月 13 日)一橋講堂

[409] 角嶋邦之,大嶺洋,鈴木佑哉,ザデ ダリューシュ,岩井洋,“希土類系高誘電体薄膜

を中心とした III-V族化合物半導体基板用絶縁膜の探索的研究” 2013 年 FIRST「グリ

ーン・ナノエレクトロニクスのコア技術開発」成果報告会(2013 年 3 月 13 日)一橋講

[410] 叶真一,竇春萌,M. Hadi,角嶋邦之,パールハット アヘメト,片岡好則,西山彰,杉

井信之,筒井一生,名取研二,服部健雄,岩井洋,“CeOX 膜と NiSi2 電極を用いた抵

抗変化メモリの抵抗変化時の電流の過渡特性” 第 60 回応用物理学会春季学術講演会

(2013 年 3 月 27 日~3 月 30 日)神奈川工科大

[411] 稲村太一,角嶋邦之,,パールハット アヘメト,片岡好則,西山彰,杉井信之,筒井

一生,名取研二,服部健雄,岩井洋,“太陽光スペクトルの変動を考慮した最適なバン

ドギャップの検討” 第 60 回応用物理学会春季学術講演会(2013 年 3 月 27 日~3 月 30

日)神奈川工科大学

[412] 大嶺洋,ザデ ダリューシュ,鈴木佑哉,角嶋邦之,パールハット アヘメト,片岡好

則,西山彰,杉井信之,筒井一生,服部健雄,名取研二,岩井洋,“原子層堆積(ALD)

法を用いた La2O3/In0.53Ga0.47As 界面特性の向上”第 60 回応用物理学会春季学術講演

会(2013 年 3 月 27 日~3 月 30 日)神奈川工科大学

[413] 武正敦,田中祐樹,角嶋邦之,パールハット アヘメト,片岡好則,西山彰,杉井信之,

筒井一生,名取研二,服部健雄,岩井洋,“金属への不純物導入による金属/n+ダイヤ

モンド接合の電気特性” 第 60 回応用物理学会春季学術講演会(2013 年 3 月 27 日~3

月 30 日)神奈川工科大学

[414] 細田修平,Kamale Tuokedaerhan,角嶋邦之,パールハット アヘメト,片岡好則,西山

彰,杉井信之,筒井一生,名取研二,服部健雄,岩井洋,“W2C ゲート電極と La-silicate

ゲート絶縁膜を用いた MOS キャパシタの信頼性評価” 第 60 回応用物理学会春季学

術講演会(2013 年 3 月 27 日~3 月 30 日)神奈川工科大学

[415] 吉原亮,田村雄太,角嶋邦之,パールハット アヘメト,片岡好則,西山彰,杉井信之,

Page 82: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

82

筒井一生,名取研二,服部健雄,岩井洋,“P を導入した NiSi2/n-Ge に硬 X 線光電子

分光を用いたバンド曲がりの観測” 第 60 回応用物理学会春季学術講演会(2013 年 3

月 27 日~3 月 30 日)神奈川工科大学

[416] 宋禛漢,松本一輝,角嶋邦之,パールハット アヘメト,片岡好則,西山彰,杉井信之,

筒井一生,名取研二,服部健雄,岩井洋,“二段階熱処理法により形成した Ni シリサ

イドナノワイヤの抵抗率形状依存性” 第 60 回応用物理学会春季学術講演会(2013 年

3 月 27 日~3 月 30 日)神奈川工科大学

[417] 鹿国強,陳江寧,川那子高暢,角嶋邦之,パールハット アヘメト,片岡好則,西山彰,

杉井信之,名取研二,服部健雄,岩井洋,“La2O3ゲート絶縁膜を用いた AlGaN/GaN

デバイスの熱処理温度依存性” 第 60 回応用物理学会春季学術講演会(2013 年 3 月 27

日~3 月 30 日)神奈川工科大学

[418] 劉璞誠,中島昭,角嶋邦之,牧野俊晴,小倉政彦,西澤伸一,岩井洋,大橋弘通,“低

温における GaN/AlGaN へテロ界面の 2 次元正孔ガスの伝導機構” 第 60 回応用物理学

会春季学術講演会(2013 年 3 月 27 日~3 月 30 日)神奈川工科大学

[419] 秋田洸平,宮田陽平,寺山一真,武井優典,筒井一生,野平博司,角嶋邦之,パールハ

ット アヘメト,服部健雄,岩井洋,“Ni シリサイド/Si ショットキー接合界面におけ

る偏析不純物の活性化の評価” 第 60 回応用物理学会春季学術講演会(2013 年 3 月 27

日~3 月 30 日)神奈川工科大学

[420] 武井優典,神谷真行,寺山一真,米澤宏昭,筒井一生,角嶋邦之,パールハット アヘ

メト,服部健雄,岩井洋,“オゾン酸化を用いた AlGaN/GaN のサブ nm ステップバイ

ステップエッチング” 第 60 回応用物理学会春季学術講演会(2013 年 3 月 27 日~3 月

30 日)神奈川工科大学

[421] 櫻井拓也,沼尻侑也,山下晃司,ザデ ダリューシュ,角嶋邦之,岩井洋,野平博司,

“AR-XPS による異なる酸化雰囲中の In0.53Ga0.47As の初期酸化過程の評価” 第 60 回

応用物理学会春季学術講演会(2013 年 3 月 27 日~3 月 30 日)神奈川工科大学

[422] ポスター-:川那子高暢,角嶋邦之,岩井洋, “高速・低損失の電子デバイス/パワーデバイスの先導研究”STARC ワークショップ 2013(2013 年 9 月 12 日)新横浜国際ホテル

[423] 宋禛漢,松本一輝,角嶋邦之,片岡好則,西山彰,杉井信之,若林整,筒井一生,名取研二,服部健雄,岩井洋,“Ni シリサイドナノワイヤ抵抗率の Ni 膜厚依存性” 第 74

回応用物理学会秋季学術講演会(2013 年 9 月 16 日~9 月 20 日)同志社大学 京田辺キャンパス

[424] ポスター:鹿国強,大嶺洋,ザデ ダリューシュ,角嶋邦之,西山彰,杉井信之,片岡好則,若林整,筒井一生,名取研二,岩井洋,“ALD 堆積条件による La2O3/In0.53Ga0.47As

キャパシタの電気特性への影響”第 74 回応用物理学会秋季学術講演会(2013 年 9 月16 日~9 月 20 日)同志社大学 京田辺キャンパス

[425] 今村浩章,稲村太一,角嶋邦之,片岡好則,西山彰,杉井信之,若林整,筒井一生,名取研二,岩井洋,“Kr ガスを用いた積層シリサイド化スパッタプロセスにより形成した NiSi2の薄膜評価” 第 74 回応用物理学会秋季学術講演会(2013 年 9 月 16 日~9 月20 日)同志社大学 京田辺キャンパス

[426] ポスター:岡本真里,松川佳弘,角嶋邦之,片岡好則,西山彰,杉井信之,若林整,筒井一生,名取研二,岩井洋,齋藤渉,“TiSi2電極の熱処理による AlGaN/GaN へのコンタクト特性の変化” 第 74 回応用物理学会秋季学術講演会(2013 年 9 月 16 日~9 月 20

日)同志社大学 京田辺キャンパス

[427] 小路智也,石川昴,角嶋邦之,片岡好則,西山彰,杉井信之,若林整,筒井一生,名取研二,岩井洋,“チャージポンピング法を用いた立体 Si 構造の絶縁膜界面準位の位置推定” 第 74 回応用物理学会秋季学術講演会(2013 年 9 月 16 日~9 月 20 日)同志社大学 京田辺キャンパス

Page 83: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

83

[428] 中村嘉基,細田修平,Kamale Tuokedaerhan,角嶋邦之,片岡好則,西山彰,若林整,杉井信之,筒井一生,名取研二,岩井洋,“W2C ゲート電極と La-silicate ゲート絶縁膜を用いた MOS キャパシタの信頼性評価” 第 74 回応用物理学会秋季学術講演会(2013

年 9 月 16 日~9 月 20 日)同志社大学 京田辺キャンパス

[429] 長谷川明紀,呉研,宋禛漢,角嶋邦之,片岡好則,西山彰,杉井信之,若林整,筒井一生,名取研二,岩井洋,“低バンドギャップ、バンドオフセットを持つ半導体シリサイド/Si 接合によるトンネル FET 特性向上” 第 74 回応用物理学会秋季学術講演会(2013

年 9 月 16 日~9 月 20 日)同志社大学 京田辺キャンパス

[430] 宗清修,川那子高暢,角嶋邦之,片岡好則,西山彰,杉井信之,若林整,筒井一生,名取研二,岩井洋,“ショットキーゲート材料による AlGaN/GaN の容量電圧特性への影響” 第 74 回応用物理学会秋季学術講演会(2013 年 9 月 16 日~9 月 20 日)同志社大学 京田辺キャンパス

[431] 元木雅章,吉原亮,角嶋邦之,片岡好則,西山彰,杉井信之,若林整,筒井一生,名取研二,岩井洋,“P を導入した NiSi2 電極を用いた n-Ge 基板の電流電圧特性の熱処理依存性” 第 74 回応用物理学会秋季学術講演会(2013 年 9 月 16 日~9 月 20 日)同志社大学 京田辺キャンパス

[432] 譚錫昊,岡本真里,角嶋邦之,片岡好則,西山彰,杉井信之,若林整,筒井一生,名取研二,岩井洋,“AlGaN/GaN 上の TiSi2電極によるコンタクトの温度依存性” 第 74 回応用物理学会秋季学術講演会(2013 年 9 月 16 日~9 月 20 日)同志社大学 京田辺キャンパス

[433] 劉璞誠,米澤宏昭,角嶋邦之,片岡好則,西山彰,杉井信之,若林整,筒井一生,名取研二,岩井洋,“AlGaN のドライエッチングへの Bcl3の影響に関する研究” 第 74 回応用物理学会秋季学術講演会(2013 年 9 月 16 日~9 月 20 日)同志社大学 京田辺キャンパス

[434] 嘉藤貴史,稲村太一,佐々木亮人,青木克明,角嶋邦之,片岡好則,西山彰,杉井信之,若林整,筒井一生,名取研二,岩井洋,“Fe 層と Si 層の積層スパッタにより形成されたβ-FeSi2のキャリア密度に関する研究” 第 74 回応用物理学会秋季学術講演会(2013

年 9 月 16 日~9 月 20 日)同志社大学 京田辺キャンパス

[435] 松川佳弘,岡本真里,角嶋邦之,片岡好則,西山彰,杉井信之,若林整,筒井一生,名取研二,岩井洋,齋藤渉,“AlGaN/GaN 上の TiC 電極の電流電圧特性の熱処理温度依存性” 第 74 回応用物理学会秋季学術講演会(2013 年 9 月 16 日~9 月 20 日)同志社大学 京田辺キャンパス

[436] 大橋匠,若林整,角嶋邦之,杉井信之,西山彰,片岡好則,名取研二,筒井一生,岩井洋,“炭層 MoS2 チャネルを用いた n-MOSFET の性能見積もり” 第 74 回応用物理学会秋季学術講演会(2013 年 9 月 16 日~9 月 20 日)同志社大学 京田辺キャンパス

[437] 神谷真行,寺山一真,武井優典,齋藤渉,角嶋邦之,若林整,片岡好則,筒井一生,岩井洋,“AlGaN/GaN HEMT への凹凸 AlGaN 層導入による2次元電子ガス濃度分布評価および低抵抗コンタクト形成の可能性” 第 74 回応用物理学会秋季学術講演会(2013

年 9 月 16 日~9 月 20 日)同志社大学 京田辺キャンパス

[438] 武井優典,神谷真行,寺山一真,米澤宏昭,齋藤渉,筒井一生,角嶋邦之,若林整,片岡好則,岩井洋,“AlGaN/GaN 系 HEMT におけるコンタクト特性の AlGaN 層厚依存性” 第 74 回応用物理学会秋季学術講演会(2013 年 9 月 16 日~9 月 20 日)同志社大学 京田辺キャンパス

[439] 米澤宏昭,中島昭,西澤伸一,大橋弘通,筒井一生,角嶋邦之,若林整,岩井洋,“AlGaN/GaN 系 p チャンネル HFET の製作” 第 74 回応用物理学会秋季学術講演会(2013 年 9 月 16 日~9 月 20 日)同志社大学 京田辺キャンパス

[440] 石川昴,小路智也,角嶋邦之,若林整,片岡好則,西山彰,杉井信之,筒井一生,名取研二,岩井洋,“チャージポンピング法を用いた三次元 Si 構造の界面準位密度測定”

第 74 回応用物理学会秋季学術講演会(2013 年 9 月 16 日~9 月 20 日)同志社大学 京田辺キャンパス

[441] ポスター:ザデ ダリューシュ,大嶺洋,角嶋邦之,岩井洋,“Highly Scalable La2O3

/InGaAs Gate Stack with Low Interface State Density”最先端研究開発支援プログラム(FIRST)採択課題「グリーン・ナノエレクトロニクスのコア技術開発」最終成果報告会(2013 年 12 月 17 日)イイノホール&カンファレンスセンター

[442] ポスター:大嶺洋,ザデ ダリューシュ,角嶋邦之,岩井洋,“La2O3 gate dielectrics for

InGaAs channel using ALD process”最先端研究開発支援プログラム(FIRST)採択課題「グリーン・ナノエレクトロニクスのコア技術開発」最終成果報告会(2013 年 12 月 17 日)イイノホール&カンファレンスセンター

[443] 小路智也,角嶋邦之,片岡好則,西山彰,杉井信之,若林整,筒井一生,名取研二,岩井洋,“立体 Si 構造における局所的な界面準位密度の抽出”ゲートスタック研究会 ―

Page 84: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

84

材料・プロセス・評価の物理―(第 19 回研究会)(2014 年 1 月 24 日)ニューウェルシティ湯河原

[444] ザデ ダリューシュ,大嶺洋,角嶋邦之,片岡好則,西山彰,杉井信之,若林整,筒井一生,名取研二,岩井洋,“低界面準位と sub-nm CET を有する La2O3/ In0.53Ga0.47As

ゲートスタックの実現” 第 61 回応用物理学会春季学術講演会(2014 年 3 月 17 日~3

月 20 日)青山学院大学 相模原キャンパス

[445] Kamale Tuokedaerhan,細田修平,角嶋邦之,片岡好則,西山彰,杉井信之,若林整,筒井一生,名取研二,岩井洋,“W2C ゲート電極による La-silicate MOSFET の移動度改善” 第 61 回応用物理学会春季学術講演会(2014 年 3 月 17 日~3 月 20 日)青山学院大学 相模原キャンパス

[446] 呉研,長谷川明紀,角嶋邦之,渡辺孝信,片岡好則,西山彰,杉井信之,若林整,筒井一生,名取研二,岩井洋,“Mg2Si-Si ヘテロ接合トンネル FET 特性の構造依存性” 第61 回応用物理学会春季学術講演会(2014 年 3 月 17 日~3 月 20 日)青山学院大学 相模原キャンパス

[447] 陳江寧,角嶋邦之,片岡好則,西山彰,杉井信之,若林整,筒井一生,名取研二,岩井洋,齋藤渉,“La2O3ゲート絶縁膜を用いた AlGaN/GaN デバイスのプロセス依存性”

第 61 回応用物理学会春季学術講演会(2014 年 3 月 17 日~3 月 20 日)青山学院大学

相模原キャンパス

[448] 李蔚,佐々木亮人,大図秀行,青木克明,角嶋邦之,片岡好則,西山彰,杉井信之,若林整,筒井一生,名取研二,岩井洋,“単斜晶 WO3 薄膜抵抗率の熱処理依存性” 第61 回応用物理学会春季学術講演会(2014 年 3 月 17 日~3 月 20 日)青山学院大学 相模原キャンパス

[449] 稲村太一,嘉藤貴史,佐々木亮人,青木克明,角嶋邦之,片岡好則,西山彰,杉井信之,若林整,筒井一生,名取研二,岩井洋,“β-FeSi2の抵抗率熱処理依存性” 第 61 回応用物理学会春季学術講演会(2014 年 3 月 17 日~3 月 20 日)青山学院大学 相模原キャンパス

[450] 大嶺洋,ザデ ダリューシュ,角嶋邦之,西山彰,杉井信之,片岡好則,若林整,筒井一生,名取研二,岩井洋,“La2O3/ InGaAs 界面ラフネスに及ぼす ALD プロセスの影響” 第 61 回応用物理学会春季学術講演会(2014 年 3 月 17 日~3 月 20 日)青山学院大学 相模原キャンパス

[451] 関拓也,角嶋邦之,片岡好則,西山彰,杉井信之,若林整,筒井一生,名取研二,岩井洋,“Si(110),(111)基盤上で熱処理による界面反応で形成した La-silicate ゲート絶縁膜の物理的理解に関する研究” 第 61 回応用物理学会春季学術講演会(2014 年 3 月 17 日~3 月 20 日)青山学院大学 相模原キャンパス

[452] 細田修平,Kamale Tuokedaerhan,角嶋邦之,片岡好則,西山彰,杉井信之,若林整,筒井一生,名取研二,岩井洋,“W2C 電極導入による La-silicate/Si における平坦な界面の実現” 第 61 回応用物理学会春季学術講演会(2014 年 3 月 17 日~3 月 20 日)青山学院大学 相模原キャンパス

[453] 吉原亮,元木雅章,角嶋邦之,片岡好則,西山彰,杉井信之,若林整,筒井一生,名取研二,岩井洋,“P を導入した NiSi2/n-Ge コンタクトの電気特性と不純物拡散の様子”

第 61 回応用物理学会春季学術講演会(2014 年 3 月 17 日~3 月 20 日)青山学院大学

相模原キャンパス

[454] 今村浩章,角嶋邦之,片岡好則,西山彰,杉井信之,若林整,筒井一生,名取研二,岩井洋,“積層シリサイド化スパッタプロセスにより作成した Ni シリサイドショットキーダイオードの評価” 第 61 回応用物理学会春季学術講演会(2014 年 3 月 17 日~3 月20 日)青山学院大学 相模原キャンパス

[455] 岡本真里,松川佳弘,角嶋邦之,片岡好則,西山彰,杉井信之,若林整,筒井一生,名取研二,大橋弘通,岩井洋,齋藤渉,“TiB2電極の熱処理による AlGaN/GaN へのコンタクト特性の変化” 第 61 回応用物理学会春季学術講演会(2014 年 3 月 17 日~3 月 20

日)青山学院大学 相模原キャンパス

[456] 小路智也,角嶋邦之,片岡好則,西山彰,杉井信之,若林整,筒井一生,名取研二,岩井洋,“Si ナノワイヤー曲面における保護膜界面準位密度の研究” 第 61 回応用物理学会春季学術講演会(2014 年 3 月 17 日~3 月 20 日)青山学院大学 相模原キャンパス

[457] 長谷川明紀,呉研,宋禛漢,角嶋邦之,片岡好則, 西山彰,杉井信之,若林整,筒井一生,名取研二,岩井洋,“Mg/Si 極薄膜積層の熱処理を用いて作製した Mg2Si の赤外線吸収特性評価” 第 61 回応用物理学会春季学術講演会(2014 年 3 月 17 日~3 月 20 日)青山学院大学 相模原キャンパス

[458] 宗清修,雷一鳴,角嶋邦之,川那子高暢,片岡好則,西山彰,杉井信之,若林整,筒井一生,名取研二,岩井洋,古橋壮之,三浦成久,山川聡,“La-silicate 界面層を用いたSiC-MOS キャパシタの容量電圧特性の評価” 第 61 回応用物理学会春季学術講演会

Page 85: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

85

(2014 年 3 月 17 日~3 月 20 日)青山学院大学 相模原キャンパス

[459] 元木雅章,角嶋邦之,片岡好則,西山彰,杉井信之,若林整,筒井一生,名取研二,岩井洋,“Ni と Ge 積層薄膜によって形成した NiGe 膜のシート抵抗と熱処理温度の関係” 第 61 回応用物理学会春季学術講演会(2014 年 3 月 17 日~3 月 20 日)青山学院大学 相模原キャンパス

[460] 譚錫昊,川那子高暢,角嶋邦之,片岡好則,西山彰,杉井信之,若林整,筒井一生,名取研二,岩井洋,“高電圧ストレスによる AlGaN/GaN の界面とバルクトラップの測定に関する研究” 第 61 回応用物理学会春季学術講演会(2014 年 3 月 17 日~3 月 20 日)青山学院大学 相模原キャンパス

[461] 劉璞誠,竇春萌,角嶋邦之,片岡好則,西山彰,杉井信之,若林整,筒井一生,名取研二,岩井洋,“コンダクタンス法による AlGaN/GaN ヘテロ接合界面トラップに関する研究” 第 61 回応用物理学会春季学術講演会(2014 年 3 月 17 日~3 月 20 日)青山学院大学 相模原キャンパス

[462] 雷一鳴,宗清修,角嶋邦之,川那子高暢,片岡好則,西山彰,杉井信之,若林整,筒井一生,名取研二,岩井洋,古橋壮之,三浦成久,山川聡,“ATR-FTIR 法を用いた熱処理による La2O3/SiC 界面反応の解析” 第 61 回応用物理学会春季学術講演会(2014 年3 月 17 日~3 月 20 日)青山学院大学 相模原キャンパス

[463] Yoon Minjae,寺山一真,中島昭,西澤伸一,大橋弘通,角嶋邦之,若林整,筒井一生,岩井洋,“デバイスシミュレーションによる AlGaN/GaN 系 FinFETs におけるスケーリング則の検証” 第 61 回応用物理学会春季学術講演会(2014 年 3 月 17 日~3 月 20 日)青山学院大学 相模原キャンパス

[464] 嘉藤貴史,稲村太一,佐々木亮人,青木克明,角嶋邦之,片岡好則,西山彰,杉井信之,若林整,筒井一生,名取研二,岩井洋,“β-FeSi2 の電気特性-膜厚依存性” 第 61 回応用物理学会春季学術講演会(2014 年 3 月 17 日~3 月 20 日)青山学院大学 相模原キャンパス

[465] 松川佳弘,岡本真里,角嶋邦之,片岡好則,西山彰,杉井信之,若林整,筒井一生,名取研二,岩井洋,齋藤渉,“AlGaN/GaN 上の Ti/C/TiN 電極のコンタクト抵抗:Ti/C 膜厚及び比率依存性” 第 61 回応用物理学会春季学術講演会(2014 年 3 月 17 日~3 月 20

日)青山学院大学 相模原キャンパス

[466] 佐々木亮人,青木克明,片岡好則,小林薫平,稲村太一,角嶋邦之,岩井洋,“バリウムシリサイド半導体を用いたショットキー型太陽電池に関する研究” 第 61 回応用物理学会春季学術講演会(2014 年 3 月 17 日~3 月 20 日)青山学院大学 相模原キャンパス

[467] 武井優典,岡本真里,マンシン,萱沼玲,神谷真行,齋藤渉,筒井一生,角嶋邦之,若林整,片岡好則,岩井洋,“AlGaN/GaN 系 2 次元電子ガスへのコンタクト特性における電極材料および AlGaN 膜厚依存性” 第 61 回応用物理学会春季学術講演会(2014 年3 月 17 日~3 月 20 日)青山学院大学 相模原キャンパス

[468] 堀隼人,伊藤勇磨,筒井一生,角嶋邦之,若林整,片岡好則,西山彰,杉井信之,名取研二,岩井洋,“薄膜 SOI 太陽電池の発電特性への基板バイアス効果” 第 61 回応用物理学会春季学術講演会(2014 年 3 月 17 日~3 月 20 日)青山学院大学 相模原キャンパス

[469] 寺山一真,中島昭,西澤伸一,大橋弘通,角嶋邦之,若林整,筒井一生,岩井洋,“デバイスシミュレーションによる横型 GaN パワーデバイスの極限オン抵抗の試算” 第61 回応用物理学会春季学術講演会(2014 年 3 月 17 日~3 月 20 日)青山学院大学 相模原キャンパス

[470] 米澤宏昭,萱沼怜,中島昭,西澤伸一,大橋弘通,筒井一生,角嶋邦之,若林整,岩井洋,“広い温度範囲で動作する AlGaN/GaN 系 P チャネル型 HFET” 第 61 回応用物理学会春季学術講演会(2014 年 3 月 17 日~3 月 20 日)青山学院大学 相模原キャンパス

[471] 伊藤勇磨,堀隼人,筒井一生,角嶋邦之,若林整,片岡好則,西山彰,杉井信之,名取研二,岩井洋,“微細 Si 構造を利用した太陽電池に適した接合プロセスの提案” 第 61

回応用物理学会春季学術講演会(2014 年 3 月 17 日~3 月 20 日)青山学院大学 相模原キャンパス

[472] 神谷真行,武井優典,齋藤渉,角嶋邦之,若林整,片岡好則,筒井一生,岩井洋,“AlGaN/GaN 高電子移動度トランジスタへの凹凸 AlGaN 層導入による低抵抗コンタクト形成の可能性” 第 61 回応用物理学会春季学術講演会(2014 年 3 月 17 日~3 月 20

日)青山学院大学 相模原キャンパス

Page 86: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

86

16.Panel Discussion

[1] Evening Discussion Session ME2: W.J. Dally, J. Emer, F. Fox, W-M. Hwu, H. Iwai, F. Pollack,

and F. Weber, “Where will proessor performance come from in the next ten years?” pp.118-119,

Dig. Tech. ISSCC, 2000

[2] H.Iwai, M.Hiratani, M.Takayanagi, H.Kitajima, H.Kang, T.Horikawa, K.Torii, Y.Tsunashima,

J.Yugami, S.De Gendt, H.Niimi, M.Fischetti, and R.Chau, “Development Strategy of Gate

Dielectrics: Ultra-thin Oxynitride versus High-k materials”, IWGI 2003, pp.194, November6-

7,2003, Tokyo,

[3] Evening Panel Discussion: J.N.Burghartz, D.Harame, T.Stetzler, H.Iwai, M.Tiebout and

V.Ilderem, “When Will CMOS Replace HBTs for RF?”, p.593, SESSION25, IEDM2003, ,

December8-10,2003, Washington DC, USA,

[4] 木村紳一郎、小柳光正、立花明知、平本俊郎、福間雅夫、藤田博之、安田幸夫、岩井洋「来るべきナノ CMOS 時代に向けての挑戦とその課題」2005 年 4 月 早稲田大学国際会議場

[5] 下東勝博、久間和生、岩井洋、浅田邦博 イノベーションジャパン 2007「エレクトロニクスセッション」2007 年 9 月 東京国際フォーラム

[6] K.Shimohigashi, K.Kyuma, H.Iwai, K.Asada,Innovation Japan2007 pp19, September 12-14,

2007, Tokyo

[7] 金山敏彦、岩井洋 “ナノエレクトロニクス研究における連携のあり方”春季第 55 回応用物理学会学術講演会 2008 年 3 月 日本大学

[8] Panel Discussion: H. Iwai, S. Oda, S. Sze, G. Baccarani, N. Sano, T. Kanayama, K. Natori, S.

Deleonibus, T. Hiramoto, C. Claeys, S. Takagi, Y. Miyamoto, W. Milne, K. Banerjee, N.

Koshida, K.Uchida, S. Sugano, K. Kimura, K. Yamada, K. Shiraishi, D-L.,Kwong, S. Sugawara,

“Silicon nano-devices in 2030” , Global COE International Symposium, October 14, 2009,

Tokyo

17. Short Course:

[1] H. Iwai, “Source Drain and Wells,” Short Course A: Sub-100NM CMOS, IEDM Short Course,

December, 1999

[2] H. Iwai, “Advanced Device Technologies,” Key Technology Challenges for sub-70nm VLSI,

Symp. on VLSI Tech., June, 2002

[3] H. Iwai, “High-k Dielectics,” Short Course 1) New process and device concepts, ESSDERC,

September, 2005

[4] H.Iwai,“Technology Scaling and Roadmap,” Short Course: 22nm CMOS Technology,IEDM 2008,

December, 2008

[5]H. Iwai, B. de Salvo, “Scaling and Beyond for Logic and Memories. Which perspectives?”, ISCDG

2012, Short Course, September 26, 2012

18.その他

[5] 岩井洋,“0.1 ミクロン以下の領域に向けての MOSFET のスケーリングヒストリカルトレンドを踏まえて”、日本電子工業振興会 21 世紀エレクトロ二クス技術に関する調査研究報告書Ⅱ 94-基-17, pp.16-33 (1994 年 3 月)

[6] 岩井洋,“固相拡散法”,応用物理第 63 巻第 11 号,pp.1155-1156(1994 年)

[7] 百瀬寿代,斉藤雅信,大黒達也,大黒達也,岩井洋 他 2 名,“MOSFET は、今後も性能向上が可能であることを実証 0.09mm、1.5V 動作で gm は 1010mS/mm を達成”,日経マイクロデバイス 1994 年 2 月号第 116 号,pp.103-109

[8] 小野瑞城,斉藤雅信,大黒達也,吉富崇,岩井洋,“Si LSI の限界を追求ゲート長 0.04mm

で動作を実証”,日経マイクロデバイス 1994 年 4 月号第 106 号,pp.91-97

[9] 百瀬寿代,岩井洋,“総合報告、シリコンデバイスの微細化と性能限界”、応用物理第 64巻第 11 号,pp.1074-1084(1995 年 11 月)

[10] 百瀬寿代,岩井洋,“1.5nm トンネルゲート酸化膜 MOSFET”,Break Though, No.116-

Page 87: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

87

117 pp.12-15(1996 年 2 月)

[11] 百瀬寿代,岩井洋,“解説 シリコン LSI の発展を支える超微細トランジスタプロセス技術”,電子情報通信学会誌,第 79 巻第 3 号,pp.250-258(1996 年 3 月)

[12] 岩井洋“VLSI プロセス技術の最先端-4 メタライス技術”、電子学会誌 第 117 巻第 2

号 pp.104-107(1997 年 2 月)

[13] 岩井洋,“96IEDM デバイスハイライト”,エレクトロ二クス,第 514 号(第 42 巻第 3

号),pp.64-80(1997 年 3 月)

[14] 岩井洋,“単体トランジスタに関する本質的課題と解決に向けて(1)”,日本電子工業振興協会 基礎技術政策に関する報告書Ⅱ,97-基-16, pp.8-27(1997 年 3 月)

[15] 百瀬寿代,岩井洋,“移動通信からシリコンデバイスを考える”,Break Though, No.134-

135, pp.6-19(1997 年 8 月)

[16] 岩井洋,“シリコンデバイスの微細化とその問題点”,電気学会研究会資料,1997 巻 14

号,pp.1-16(1997 年)

[17] H. Iwai,W. Maszara, “Advanced materials and IC process technologies”, Proc. of the Ninth

International Symposium on Silicon Material Science and Technology,Semiconductor Silicon,

2002, pp. 325-327

[18] 岩井洋,“「本当に限界か」を検証すべき”, 日経マイクロデバイス,2002 年 2 月号,第 200 号,pp.14-15

[19] 岩井洋,“IT 集積回路の重要性”,三菱電気技報 巻頭言,Vol.77,No3, pp.1 (2003 年3 月)

[20] 岩井洋,“シリコンデバイスの 40 年と日本”,電子情報通信学会エレクトロニクスソサイエティ 巻頭言,Vol.J86-C,No.6 (2003 年 6 月)

[21] 岩井洋,“全 15 種類の希土類材料薄膜を調査 次世代ゲート絶縁膜へ応用”,半導体技術・産業の未来,2003 年版(日経マイクロデバイス特別編集版),pp.38-39

[22] 岩井洋,“微細化の末法時代とは -シリコンデバイスの重要性とその行方-”,応用物理 巻頭言,Vol72, No.9 ,p.1119 (2003 年 9 月)

[23] 特別インタビュー 岩井洋 (聞き手・工業調査会 志村幸雄),“ナノ CMOS と次世代半導体”,電子材料12月号別冊,「超 LSI 製造・試験装置ガイドブック 2006 年版」,p.9

[24] 巻頭座談会 志村幸雄,岩井洋,江刺正喜,“マイクロ/ナノ技術の向こう側 半導体とMEMS/NEMS の展望”,エンジニアズ カラーズ 3 号,pp.4-9(2006 年 5 月)

[25] H. Iwai,“Transistor Level Modeling for Analog/RF IC Design” 2006 Springer

[26] 岩井洋,“NanoCMOS の今後の 15 年の展望(半導体小特集)” 日本電子材料技術協会

会報,38 巻 pp.2-10(2007 年)

[27] 岩井洋,“マイクロからナノの世界へ”,電子情報通信学会論文誌,エレクトロニクスソ

サエティ 第 508 号 NEWS LETTER 新フェロー寄稿,2010 年 4 月 1 日発行,pp.3-4

[28] 岩井洋,“CMOS 技術の限界と課題”,日本信頼性学会誌,Vol.33,No.4, pp.152-157

(2011 年 7 月)

[29] 岩井洋,角嶋邦之,“シリコンナノワイヤ FET 技術”,電子情報通信学会誌,Vol.95,No.4,

pp.278-283(2012 年 4 月)

[30] 岩井洋,角嶋邦之,川那子高暢,“ゲートスタック技術”,日本表面科学会誌,Vol.33,No.11,

pp.600-609(2012 年 11 月)

19.著書リスト

国内著書

[1] ASIC 産業便覧」サイエンスフォーラム 1989 年 4 月、香山晋、八幡恵介、渡辺誠、星

川龍介、岩井洋 他 30 名 pp.275-279

[2] 西澤潤一編「半導体研究 42、超 LSI 技術 20、デバイスとプロセスその 10」工業調査

会 1996 年 8 月、岩井洋 「第1章シリコン LSI の将来、0.1m 以下の微細素子及びそ

れを用いた LSI の将来像」pp.3-43

[3] 西澤潤一編「半導体研究 44、超 LSI 技術 22-デバイスとプロセスその 12」工業調査会1998 年 5 月、百瀬寿代、岩井洋、「第4章トンネルゲート酸化膜 MOSFET 技術」pp.111-

149 [4] 木村忠正編「電子材料ハンドブッック」朝倉書店 2006 年 11 月、岩井洋、「IC・LSI 関

連材料の種類と特徴」pp.285-295

[5] 「ナノエレクトロニクスにおける絶縁超薄膜技術-成膜技術と膜・界面の物性科学」

Page 88: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

88

2012 年 7 月 20 日、岩井洋、長谷川英機、知京豊裕 他 38 名、岩井洋「絶縁膜の超薄膜化ニーズ」pp.3-10、ISBN:978-4-86469-039-3

海外著書

[1] H. Iwai, "Hot carreir induced degradation mode in thin gate insulator dual gate MISFETs,”

Edited by W. Eccleston and M. Uren, "Insulating Films on Semiconductors 1991," Adam Hilger,

Bristol, Philadelphia and New York, pp.83-92, 1991

[2] Y.katsumata, T.Ohguro, K.Inoh, E.Morifuji, T.Yoshitomi, H.Kimijima, H.Nii, T.Morimoto, H.S.Momose, K.Yoshikawa, H.Ishiuchi and H.Iwai, "CMOS/BiCMOS Technology" in

The VLSI Handbook, Chapter 2, edited by Prof. Wai-Kai Chen, Univ. of Illinois, Chicago,

Illinois, 1999

[3] Y.Unno, H.Iwai, "Future Trend in Large-Scale Integrated Circuit Technologies from an

Industrial Perspective" edited by J.Xu et al. in "Future Trends in Microelectronics, The Road

Ahead", published by John Wiley & Sons, Inc., pg.196, 1999 [4] H. Iwai and S. Ohmi, “Trends and Projections for the Future of Scaling and Future Integration

Trends”, The Computer Engineering Handbook, pp1_1-1_29, February 2002

[5] H. Wong, K. Shiraishi, K. Kakushima, H. Iwai, “High-K Gate Dielectrics” Electronic Device

Architectures for the Nano-CMOS Era, pp.105-140, 2009

[6] H. Iwai, S.M. Sze, Y. Taur, H. Wong, “BASIC ELECTRON DEVICES – Chapter2

MOSFETs”,IEEE Guide to State-of-the-Art Electron Devices, pp.21-36, 2013

20.特許リスト

国内特許

[1]堀内 重治・岩井 洋 「半導体装置」1072176 号、公告番号:昭 55-028434、出願:

昭和 49 年 1 月 29 日、公開番号:昭 50-106588、公開日:昭和 50 年 8 月 22 日

[2]堀内 重治・岩井 洋 ・田沼 幸男「半導体装置」1263318 号、公告番号:昭 59-033979、

出願:昭和 50 年 9 月 16 日、公開番号:昭 52-010684、公開日:昭和 52 年 1 月 27 日

[3]堀内 重治・岩井 洋・田沼 幸男「半導体装置」1216050 号、公告番号:昭 58-016338、

出願:昭和 50 年 7 月 29 日、公開番号:昭 52-016189、公開日:昭和 52 年 2 月 7 日

[4]深津 安・岩井 洋「半導体記憶装置」1295190 号、公告番号:昭 60-018147、出願:

昭和 51 年 11 月 5 日、公開番号:昭 53-057779、公開日:昭和 53 年 5 月 25 日

[5]岩井 洋 ・柳瀬 年延「半導体装置」1340200 号、公告番号:昭 61-004199、出願:

昭和 51 年 11 月 26 日、公開番号:昭 53-066179、公開日:昭和 53 年 6 月 13 日

[6]岩井 洋「半導体装置」1225456 号、公告番号:昭 58-056977、出願:昭和 52 年 3 月

18 日、公開番号:昭 53-115187、公開日:昭和 53 年 10 月 7 日

[7]岩井 洋「半導体装置の製造方法」1333855 号、公告番号:昭 60-059749、出願:昭和

52 年 3 月 18 日、公開番号:昭 53-115183、公開日:昭和 53 年 10 月 7 日

[8]岩井 洋「半導体装置の製造方法」1339176 号、公告番号:昭 61-003103、出願:昭和

52 年 6 月 22 日、公開番号:昭 55-003649、公開日:昭和 55 年 1 月 11 日

[9]岩井 洋「半導体装置の製造方法」1314983 号、公告番号:昭 60-038026、出願:昭和

53 年 11 月 21 日、公開番号:昭 56-073451、公開日:昭和 56 年 6 月 18 日

[10]岩井 洋 ・西 義雄「半導体装置の製造方法」1557850 号、公告番号:平 01-033945、

出願:昭和 54 年 12 月 7 日、公開番号:昭 56-081968、公開日:昭和 56 年 7 月 4 日

[11]岩井 洋「半導体装置の製造方法」1355725 号、公告番号:昭 61-019111、出願:昭

和 55 年 7 月 16 日、公開番号:昭 57-023239、公開日:昭和 57 年 2 月 6 日

Page 89: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

89

[12]前田 哲・岩井 洋「半導体装置の製造方法」1411954 号、公告番号:昭 62-017862、

出願:昭和 55 年 10 月 2 日、公開番号:昭 57-062542、公開日:昭和 57 年 4 月 16 日

[13]沢田 静夫・前田 哲・岩井 洋「MOS 型半導体装置の製造方法」1399914 号、公告

番号:昭 62-003990、出願:昭和 55 年 10 月 9 日、公開番号:昭 57-066673、公開日:

昭和 57 年 4 月 22 日

[14]岩井 洋「半導体装置の製造方法」1595026 号、公告番号:平 2-008451、出願:昭和

55 年 11 月 27 日、公開番号:昭 57-090940、公開日:昭和 57 年 6 月 5 日

[15]岩井 洋「半導体装置の製造方法」1394980 号、公告番号:昭 61-009737、出願:昭

和 55 年 11 月 29 日、公開番号:昭 57-091535、公開日:昭和 57 年 6 月 7 日

[16]岩井 洋「半導体装置及びその製造方法」1334029 号、公告番号:昭 60-057703、出

願:昭和 55 年 11 月 29 日、公開番号:昭 57-091536、公開日:昭和 57 年 6 月 7 日

[17]岩井 洋「半導体記憶装置の製造方法」1544466 号、公告番号:平 01-022990、出願:

昭和 56 年 2 月 18 日、公開番号:昭 57-136360、公開日:昭和 57 年 8 月 23 日

[18]前田 哲・岩井 洋「半導体装置の製造方法」1668243 号、公告番号:平 03-018342、

出願:昭和 56 年 4 月 28 日、公開番号:昭 57-180143、公開日:昭和 57 年 11 月 6 日

[19]前田 哲・岩井 洋「半導体装置の製造方法」1411972 号、公告番号:昭 62-017867、

出願:昭和 56 年 4 月 28 日、公開番号:昭 57-180144、公開日:昭和 57 年 11 月 6 日

[20]前田 哲・岩井 洋「半導体装置の製造方法」1334049 号、公告番号:昭 60-059737、

出願:昭和 56 年 5 月 1 日、公開番号:昭 57-181136、公開日:昭和 57 年 11 月 8 日

[21]岩井 洋「ウエハ露光方法及びその装置」1406576 号、公告番号:昭 62-011780、出

願:昭和 56 年 5 月 6 日、公開番号:昭 57-183033、公開日:昭和 57 年 11 月 11 日

[22]岩井 洋「半導体装置の製造方法」1613052 号、公告番号:平 02-026368、出願:昭

和 56 年 6 月 26 日、公開番号:昭 58-002020、公開日:昭和 58 年 1 月 7 日

[23]亀山 周一・篠崎 慧・岩井 洋「半導体装置の製造方法」1599622 号、公告番号:平

02-023028、出願:昭和 56 年 8 月 21 日、公開番号:昭 58-032432、公開日:昭和 58 年

2 月 25 日

[24]前田 哲・岩井 洋「半導体装置の製造方法」1416203 号、公告番号:昭 62-020697、

出願:昭和 56 年 9 月 1 日、公開番号:昭 58-039027、公開日:昭和 56 年 3 月 7 日

[25]前田 哲・岩井 洋「相補型 MOS 半導体装置及びその製造方法」1673017 号、公告番

号:平 03-024068、出願:昭和 56 年 9 月 3 日、公開番号:昭 58-040851、公開日:昭和

58 年 3 月 9 日

[26]前田 哲・岩井 洋「相補型 MOS 半導体装置及びその製造方法」1673018 号、公告番

号:平 03-024069、出願:昭和 56 年 9 月 3 日、公開番号:昭 58-040852、公開日:昭和

58 年 3 月 9 日

[27]岩井 洋「半導体装置の製造方法」1512295 号、公告番号:昭 63-055780、出願:昭

和 56 年 9 月 7 日、公開番号:昭 58-042251、公開日:昭和 58 年 3 月 11 日

[28]岩井 洋「半導体装置の製造方法」1699582 号、公告番号:平 03-063220、出願:昭

和 56 年 9 月 17 日、公開番号:昭 58-048437、公開日:昭和 58 年 3 月 22 日

[29]亀山 周一・篠崎 慧・岩井 洋「半導体装置の製造方法」1684328 号、公告番号:平

03-038742、出願:昭和 56 年 12 月 25 日、公開番号:昭 58-112342、公開日:昭和 58

Page 90: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

90

年 7 月 4 日

[30]岩井 洋「半導体装置及びその評価方法」1569859 号、公告番号:平 01-053513、出

願:昭和 57 年 2 月 10 日、公開番号:昭 58-138064、公開日:昭和 58 年 8 月 16 日

[31]岩井 洋「半導体装置の製造方法」1530505 号、公告番号:平 01-012110、出願:昭

和 57 年 3 月 26 日、公開番号:昭 58-165341、公開日:昭和 58 年 9 月 30 日

[32]堀内 重治・田沼 幸男・岩井 洋 「半導体装置」1202338 号、公告番号:昭 58-

033704、出願:昭和 50 年 7 月 16 日、公開番号:昭 57-184237、公開日:昭和 57 年 11

月 12 日

[33]岩井 洋・大塚 英雄「半導体装置及びその製造方法」1826592 号、公告番号:平 05

-026346、出願:昭和 58 年 3 月 31 日、公開番号:昭 59-181050、公開日:昭和 58 年 10

月 15 日

[34]岩井 洋「半導体装置」1225456 号、公告番号:昭 53-115187、出願:昭和 52 年 3 月

18 日、公開番号:昭 53-115187、公開日:昭和 53 年 10 月 7 日

[35]前田 哲・岩井 洋「半導体記憶装置」1744710 号、公告番号:平 04-027708、出願:

昭和 58 年 8 月 15 日、公開番号:昭 60-041255、公開日:昭和 60 年 3 月 4 日

[36]岩井 洋「半導体装置及びその製造方法」1800680 号、公告番号:平 05-008587、出

願:昭和 58 年 8 月 19 日、公開番号:昭 60-042866、公開日:昭和 60 年 3 月 7 日

[37]前田 哲・岩井 洋「相補型 MOS 半導体装置の製造方法」1818301 号、公告番号:平

05-027265、出願:昭和 58 年 9 月 30 日、公開番号:昭 60-074664、公開日:昭和 60 年

4 月 26 日

[38]堀内 重治・田沼 幸男・岩井 洋 「半導体集積回路の製造方法」1343039 号、公告

番号:昭 61-006544、出願:昭和 50 年 7 月 29 日、公開番号:昭 59-130444、公開日:

昭和 59 年 7 月 27 日

[39]岩井 洋「半導体集積回路」1709027 号、公告番号:平 03-069178、出願:昭和 60 年

3 月 30 日、公開番号:昭 61-225853、公開日:昭和 61 年 10 月 7 日

[40]岩井 洋「半導体装置の製造方法」1693606 号、公告番号:平 03-058531、出願:昭

和 55 年 11 月 27 日、公開番号:昭 62-097331、公開日:昭和 62 年 5 月 6 日

[41]岩井 洋 ・西 義雄「半導体装置の製造方法」1769957 号、公告番号:平 04-041506、

出願:昭和 54 年 12 月 7 日、公開番号:昭 63-023351、公開日:昭和 63 年 1 月 30 日

[42]岩井 洋 ・西 義雄「半導体装置の製造装置」1769958 号、公告番号:平 04-041507、

出願:昭和 54 年 12 月 7 日、公開番号:昭 63-023352、公開日:昭和 63 年 1 月 30 日

[43]岩井 洋 「カレントミラー型センスアンプ」1752550 号、公告番号:平 04-039244、出

願:昭和 62 年 7 月 15 日、公開番号:平 01-019820、公開日:平成 1 年 1 月 23 日

[44]岩井 洋 「CMOS型半導体メモリ装置及びBi-CMOS型半導体メモリ装置」2726454号、

出願:昭和 63 年 11 月 10 日、公開番号:平 02-130870、公開日:平成 2 年 5 月 18 日

[45]亀山 周一・篠崎 慧・岩井 洋 「半導体装置の製造装置」1864033 号、公告番号:平

05-074220、出願:昭和 56 年 12 月 25 日、公開番号:平 02-177330、公開日:平成 2 年 7

月 10 日

[46]百瀬 寿代・岩井 洋 ・高木 信一・北川 悟・山部 紀久夫「半導体装置及びその製

造方法」2889295 号、出願:平成 1 年 12 月 5 日、公開番号:平 03-129774、公開日:平成

Page 91: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

91

3 年 6 月 3 日

[47]岩井 洋 ・森本 豊太・百瀬 寿代・北川 悟・高木 信一・山部 紀久夫「半導体装

置及びその製造装置」2968548 号、出願:平成 2 年 2 月 23 日、公開番号:平 03-245563、

公開日:平成 3 年 11 月 1 日

[48]岩井 洋 ・西 義雄 「半導体装置及びその製造装置」2090018 号、出願:昭和 54 年 12

月 7 日、公開番号:平 05-267612、公開日:平成 5 年 10 月 15 日

[49]土明 正勝・西山 彰・森本 豊太・牛久 幸広・岩井 洋 「半導体装置の製造装置」

3243286 号、出願:平成 4 年 5 月 29 日、公開番号:平 05-335269、公開日:平成 5 年 12 月

17 日

[50]百瀬 寿代・有留 誠一・岩井 洋 ・森本 豊太・小野 瑞城「半導体装置及びその製

造装置」3585938 号、出願:平成 4 年 6 月 1 日、公開番号:平 05-218405、公開日:平成 5

年 8 月 27 日

[51]吉富 崇・斉藤 雅伸・百瀬 寿代・岩井 洋 ・牛久 幸広・小野 瑞城・赤坂 泰志、

新居 英明・松田 聡・勝又 康弘「半導体装置及び半導体装置の製造装置」3095564 号、

出願:平成 4 年 12 月 11 日、公開番号:平 06-045350、公開日:平成 6 年 2 月 18 日

[52]岩井 洋 ・北川 光彦・中川 明夫・森塚 宏平・大村 一郎・中島 博臣・勝又 康

弘・飯沼 俊彦・井納 和美「半導体装置」3258123 号、出願:平成 5 年 3 月 15 日、公開

番号:平 06-267970、公開日:平成 6 年 9 月 22 日

[53]赤坂 泰志・小野 瑞城・新居 英明・斉藤 雅伸・吉富 崇・岩井 洋「半導体装置

の製造装置」3200231 号、出願:平成 5 年 4 月 28 日、公開番号:平 06-236967、公開日:

平成 6 年 8 月 23 日

[54]百瀬 寿代・岩井 洋 ・斉藤 雅伸・大黒 達也・小野 瑞城・吉富 崇・中村 新一

「半導体装置」370063 号、出願:平成 16 年 12 月 21 日、公開番号:2005-123647、公開日:

平成 17 年 5 月 12 日

[55] 百瀬 寿代・岩井 洋 ・斉藤 雅伸・大黒 達也・小野 瑞城・吉富 崇・中村 新

一「半導体装置および半導体集積回路装置」出願:平成 17 年 3 月 22 日、公開番号:特許公

開 2005-217436、公開日:平成 17 年 8 月 11 日

[56]奥野 泰利・松元 道一・久保田 正文・上田 誠二・岩井 洋・筒井 一生・角嶋 邦

之「半導体装置及びその製造方法」出願:平成 17 年 12 月 9 日、公開番号:特許公開 2007-

142347、公開日:平成 19 年 6 月 7 日

[57] 松元 道一・奥野 泰利・久保田 正文・上田 誠二・岩井 洋・筒井 一生・パール

ハット アヘメト・角嶋 邦之「半導体装置及びその製造方法」出願:平成 18 年 5 月 30 日、

公開番号:特許公開 2007-324187、公開日:平成 19 年 12 月 13 日

[58] パールハット アヘメト・岩井 洋・服部 健雄・筒井 一生・角嶋 邦之・知京 豊

裕「半導体装置及びコンデンサ」出願:平成 19 年 9 月 18 日、公開番号:特許公開 2008-

218827、公開日:平成 20 年 9 月 18 日

[59]岩井 洋・服部 健雄・筒井 一生・角嶋 邦之・パールハット アヘメト・宋 在烈・

吉丸 正樹・三島 康由・青山 知憲・大路 洋・加藤 芳健「Ge チャネル素子およびG

eチャネル素子の製造方法」出願:平成 19 年 8 月 18 日、公開番号:特許公開 2009-54881、

公開日:平成 21 年 3 月 12 日

Page 92: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

92

[60]中野 美尚・村上 裕彦・パールハット アヘメト・岩井 洋・角嶋 邦之「カーボン

ナノチューブ成長用基板及びその製造方法、並びにカーボンナノチューブの製造方法」出

願:平成 20 年 3 月 26 日、公開番号:特許公開 2009-234844、公開日:平成 21 年 10 月 15 日

[61] 中野 美尚・村上 裕彦・パールハット アヘメト・岩井 洋・角嶋 邦之「カーボン

ナノチューブ成長用基板及びその製造方法、並びにカーボンナノチューブの製造方法」出

願:平成 20 年 3 月 26 日、公開番号:特許公開 2009-234845、公開日:平成 21 年 10 月 15 日

[62] 岩井 洋・パールハット アヘメト・角嶋 邦之・佐藤 創志・山田 啓作・大毛利

健治「ナノワイヤトランジスタ及びその製造方法」出願:平成 22 年 3 月 31 日、公開番号:

特許公開 2011-211127、公開日:平成 23 年 10 月 20 日

[63] 山田 啓作・大毛利 健治・岩井 洋・パールハット アヘメト・角嶋 邦之・佐藤

創志「ナノワイヤトランジスタ及びその製造方法」出願:平成 22 年 3 月 31 日、公開番号:

特許公開 2011-211126、公開日:平成 23 年 10 月 20 日

海外特許(U.S.A.)

[1] H. Iwai, Y. Nishi, “Method of manufacturing semiconductor devices” Unites States Patent

4,327,476, filed Nov. 28, 1980, patented May 4, 1982

[2] H. Iwai, “Method of etching, refilling and etching dielectric grooves for isolating micron size

regions,” Unites States Patent 4,394,196, filed July 13, 1981, patented July 19, 1983

[3] Y. Nakayama, H. Sukejima, “Oxidation-curable emulsion composition containing a cellulose

derivative”, United Sates Patent4,408,028, filed Nov. 26, 1980, patented Oct. 4, 1983

[4] S. Sawada, H. Iwai, S. Maeda, , “Method for fabricating a semiconductor device,” Unites States

Patent 4,410,375, filed Oct. 2, 1981, patented Oct. 18, 1983

[5] H. Iwai, “Semiconductor wafer with alignment marks and method for manufacturing

semiconductor device,” Unites States Patent 4,418,467, filed June 18, 1982, patented Dec. 6,

1983

[6] H. Iwai, “Method for fabricating semiconductor device,” Unites States Patent 4,419,813, filed

Nov. 27, 1981, patented Dec. 13, 1983

[7] H. Iwai, “Method of manufacturing a self-aligned U-MOS semiconductor device”, United States

Patent 4,455,740, filed Sept. 29, 1982, patented Jun. 26, 1984

[8] H. Iwai, “Method for manufacturing a semiconductor device”, United States Patent 4,491,486,

filed Sept. 16, 1982, patented Jan. 1, 1985

[9] H. Iwai, “Wafer exposure method and apparatus”, United States Patent 4,500,615, filed Sept. 22,

1982, patented Feb. 19, 1985

[10] M. Konaka, H. Iwai, Y. Nishi, “MOS Semiconductor device and method of manufacturing the

same,” Unites States Patent 4,523,213, filed July 9, 1982, patented June 11, 1985

[11] H. Iwai, “Method of manufacturing a semiconductor device for forming a deep field region in a

semiconductor substrate” Unites States Patent 4,532,696, filed Mar. 16, 1983, patented Aug. 6,

1985

[12] S. Kameyama, S. Shinozaki, H. Iwai, “Method of manufacturing semiconductor device,” Unites

States Patent 4,532,701, filed Aug. 19, 1982, patented Aug. 6, 1985

[13] S. Maeda, H. Iwai, “Semiconductor device and method of manufacturing the same,” Unites

States Patent 4,560,421, filed Oct. 2, 1981, patented Dec. 24, 1985

[14] H. Iwai, H. Ohtsuka, “Treatment process for semiconductor wafer”, United States Patent

4,575,466, filed Dec. 28, 1983, patented Mar. 11, 1986

[15] H. Iwai, “Semiconductor substrate and method for manufacturing semiconductor device using

the same”, United States Patent 4,597,166, filed Feb. 8, 1983, patented July 1, 1986

[16] H. Iwai, “Wafer exposure apparatus”, United States Patent 4,613,230, filed Apr. 30, 1982,

patented Sept. 23, 1986

Page 93: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

93

[17] S. Kameyama, S. Shinozaki, H. Iwai, “Method of forming isolation regions containing

conductive patterns therein”, United States Patent 4,615,103, filed May 28, 1985, patented Oct.

7, 1986

[18] S. Kameyama, S. Shinozaki, H. Iwai, “Method of forming isolation regions containing

conductive patterns therein”, United States Patent 4,615,104, filed May 28, 1985, patented Oct.

7, 1986

[19] H. Iwai, “Semiconductor device with self-aligned gate structure and manufacturing process

thereof”, United States Patent 4,737,831. filed Sept. 11, 1986, patented Apr. 12, 1988

[20] S. Maeda, H. Iwai, “Semiconductor device having a semiconductor substrate with a high

impurity concentration”, United States Patent 4,755,863, filed Aug. 15, 1986, patented July 5,

1988

[21] S. Maeda, H. Iwai, “Semiconductor device”, United States Patent 4,872,042, filed July 13, 1984,

patented Oct. 3, 1989

[22] J. Tsujimoto, M. Matsui, H. Iwai, T. Ohtani, “Semiconductor memory device using partial

decoders for redundancy, United States Patent 4,881,202. filed Dec. 29, 1987, patented Nov. 14,

1989

[23] S. Maeda, H. Iwai,” C-MOS device and a process for manufacturing the same”, United States

Patent 5,079,183, filed Jan. 6, 1989, patented Jan. 7, 1992

[24] H. Iwai, T. Morimoto, H. Momose, K. Yamabe, Y. Ozawa, “Semiconductor device with nitrided

gate insulating film”, United States Patent 5,237,188, filed November 27, 1991, patented Aug.

17, 1993

[25] T. Yoshitomi, M. Saito, H. Momose, H. Iwai, Y. Ushiku, M. Ono, Y. Akasaka, H. Nii, S.

Matsuda, Y. Katsumata, “Semiconductor device and method of manufacturing the same”, United

States Patent 5,434,440, filed May 28, 1993, patented July 18, 1995

[26] H. Iwai, T. Morimoto, H. Momose, K. Yamabe, Y. Ozawa, “Method for fabricating

semiconductor device in which threshold voltage shift and charge-pumping current are

improved”, United States Patent 5,489,542, filed July 16, 1993, patented Feb. 6, 1996

[27] H. Nakajima, Y. Katsumata, H. Iwai, T. Iinuma, K. Inou, M. Kitagawa, K. Morizuka, A.

Nakagawa. I. Omura, “Semiconductor device and method of manufacturing the same”, United

States Patent 5,510,647, filed March 15, 1994, patented Apr. 23, 1996

[28] H. Nakajima, Y. Katsumata, H. Iwai, T. Iinuma, K. Inou, M. Kitagawa, K. Morizuka, A.

Nakagawa, I. Omura,” Semiconductor device and method of manufacturing the same”, United

States Patent 5,637,909, filed Jan. 2, 1994, patented Jun. 10, 1997

[29] T. Yoshitomi, M. Saito, H. Momose, H. Iwai , Y. Ushiku, M. Ono, Y. Akasaka, H. Nii, S.

Matsuda, Y. Katsumata, T. Ooguro, C. Fiegna” MOSFET with Solid Phase Diffusion”, United

States Patent 5,698,881, filed Dec. 2, 1994, patented Jun. 16, 1998

[30] T. Yoshitomi, M. Saito, H. Momose, H. Iwai , Y. Ushiku, M. Ono, Y. Akasaka, H. Nii, S.

Matsuda, Y. Katsumata,” Semiconductor device and method of manufacturing the same”, United

States Patent 5,766,965, filed Dec. 5, 1995, patented Jun. 16, 1998

[31] T. Yoshitomi, H. Iwai , M. Saito, H. Momose, T. Ohguro, M. Ono, ” Semiconductor device with

side wall conductor film”, United States Patent 5,780,901, filed Jun. 30, 1995, patented Jul. 14,

1998

[32] T. Yoshitomi, M. Saito, H. Momose, H. Iwai , Y. Ushiku, M. Ono, Y. Akasaka, H. Nii, S.

Matsuda, Y. Katsumata,” Semiconductor device having solid phase diffuiosn sources”, United

States Patent 5,898,203, filed Jul. 30, 1997, patented April. 27, 1999

[33] T. Yoshitomi, M. Saito, H. Momose, H. Iwai , Y. Ushiku, M. Ono, Y. Akasaka, H. Nii, S.

Matsuda, Y. Katsumata, T. Ooguro, C. Fiegna” MOSFET with Solid Phase Diffusion Source”,

United States Patent 5,903,027, filed Aug. 13, 1997, patented May 11, 1999

[34] T. Yoshitomi, H. Iwai, M. Saito, H. Momose, T. Ohguro, M. Ono, ” Semiconductor device and

manufacturing thereof”, United States Patent 5,955,761, filed Apr. 30, 1998, patented Sept. 21,

1999

[35] H. Momose, H. Iwai, S. Saito, T. Ohguro, M. Ono, T. Yoshitomi, S. Nakamura, “MOSFET with

a thin gate insulating film”, United States Patent 5,990,516, filed Sep. 13, 1995, patented Nov.

23, 1999

[36] H. Momose, H. Iwai, S. Saito, T. Ohguro, M. Ono, T. Yoshitomi, S. Nakamura, “MOSFET with

a thin gate insulating film”, United States Patent 6,229,164, filed Nov. 16, 1999, patented May.

8, 2001

[37] H. Momose, H. Iwai, S. Saito, T. Ohguro, M. Ono, T. Yoshitomi, S. Nakamura, “MOSFET with

a thin gate insulating film”, United States Patent 6,410,952, filed Apr. 9, 2001, patented Jun. 25,

Page 94: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

94

2002

[38] H.Momose, H. Iwai, M. Saito, T. Ohguro, M. Ono, T. Yoshitomi, S. Nakamura, “MOSFET with

a thin gate insulating film”, United States Patent 6,642,560, filed June 4, 2002, patented Nov. 4,

2003

[39] H. Momose, H. Iwai, M.Saito, T. Ohguro, M. Ono, T. Yoshitomi, S. Nakamura, “MOSFET with

a thin gate insulating film”, United States Patent 6,929,990, filed Oct. 9, 2003, patented Aug. 16,

2005

[40] H. Momose, H. Iwai, M.Saito, T. Ohguro, M. Ono, T. Yoshitomi, S. Nakamura, “MOSFET with

a thin gate insulating film”, United States Patent 7,282,752, filed June 3, 2005, patented Oct. 16,

2007

海外特許(欧州、アジア)

[1] H. Iwai, “Method of filling a groove in a semiconductor substrate,” European Patent 0055521

B1, Publication of application July 7, 1982, Publication of the grant May 22, 1985

他 登録特許約 10 件

21. 委員会

国内委員会

[ 1] 2000 委員(財)日本産業技術振興協会 情報通信基盤高度化プログラム検討委

員会

[ 2] 1995.10.1~1997.9.30 委員 (社)電気学会 超高速デバイス調査専門委員会

[ 3] 1997.10.1~1999.9.30 委員 (社)電気学会 超高速デバイスとその応用調査専門委員

[ 4] 2000.4~ 幹事 電気学会 超微細集積デバイス調査専門委員会

[ 5] 2000.4~2001.9 委員 電気学会 超高速デバイスとそのシステム応用調査専門委員会

[ 6] 2001.4~2008.3 幹事 応用物理学会 シリコンテクノロジー分科会

[ 7] 2001.4~2004.3 委員長 応用物理学会シリコンテクノロジー分科会接合技術専門委員

[ 8] 2001.10~ 委員 電気学会 超高速デバイスと関連技術調査専門委員会

[ 9] 2000.4~2002 委員長 電子情報技術産業協会(JEITA) 超低電力動作集積システム

研究専門委員会

[ 10] 2000.4~2002 委員 電子情報技術産業協会(JEITA) 電子材料・デバイス技術委員会

[ 11] 2001.9~2002.8 委員 新機能素子研究開発協会 IT 基盤研究開発、第 1 委員会

[ 12] 2001.5~2002.4 編集幹事 電子情報通信学会 エレクトロニクスソサイエティ英文

誌編集委員会

[ 13] 2002.5~2003.5 委員長 電子情報通信学会

エレクトロニクスソサイエティ英文論文 誌編集委員会

[ 14] 2002.5~2006.5 顧問 編集顧問会

[ 15] 2002.5~2003.5 委員長 英文論文誌C編集委員会

[ 16] 2003.5~現在 英文アドバイザリー 英文論文誌C編集委員会

[ 17] 2003.9~2010.5 電子情報通信学会 編集委員 IEICE Electronics Express 編集委員会

[ 18] 2002.8~2004.7 専門委員 大学評価・学位授与機構大学評価委員会

[ 19] 2003.4~2006.3 委員長 電気学会 超微細・低電力デバイス集積技術調査専門委員会

[ 20] 2003.5~2004.3 委員長 新機能素子研究開発協会 戦略的ナノデバイス研究・人材

育成拠点検討委員会

[ 21] 2003.5~2003.9 編集委員 電子情報通信学会 電子ジャーナル編集委員会準備委員会

[ 22] 2001~2006(9 月~4 月)投票委員 電子情報通信学会 論文賞委員会

[ 23] 2003~2005.3 委員 未来工学研究所 エレクトロニクス分科会「科学技術の中長

期発展に係わる俯瞰的予測調査」

[ 24] 2003~2005 研究者代表 科学技術振興調整費 先導的研究等の推進「Nano-CMOS

超低消費電力デバイス技術」

Page 95: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

95

[ 25] 2004.4~2008.3 領域アドバイザー 科学技術振興機構

[ 26] 2005.3~2006.3 専門調査委員 文部科学省科学技術政策研究所 科学技術動向研究セ

ンター

[ 27] 2004.10.3~8 実行委員 電気化学会 2004 年電気化学日米合同大会

[ 28] 2005.1~2015.3 諮問委員 半導体技術ロードマップ諮問委員会

[ 29] 2006.4~2010.3 諮問委員 (社)電子情報技術産業協会 半導体技術ロードマップ諮問

委員会

[ 30] 2004.10~2007.9 委員 電気学会 高度ワイヤレスユビキタス社会を支える超高速デ

バイス・回路技術調査専門委員会

[ 31] 2007.4~2008.3 委員 財団法人新機能素子研究開発協会「情報分野とエネルギーの

融合懇談会」

[ 32] 2007.7~2010.3 諮問委員 東北大学大学院工学研究科 マイクロシステム融合研究

開発拠点

[ 33] 2007.8~2011.3 NEDO 技術委員 エネルギー使用合理化技術戦略的開発先導研究民

生系 A 技術委員会

[ 34] 2007.10~2009.9 委員 More Moore More than Moore における化合物半導体電子デバイ

ス調査専門委員会

[ 35] 2007.12~2008.3 委員 2050 年における省エネルギー社会の実現に向けた電気エネル

ギー有効利用に関わるエレクトロニクス技術の調査委員会

[ 36] 2008.4~2011.3 諮問委員 応用物理学会 シリコンテクノロジー分科会

[ 37] 2008.1.15~2008.6.30 委員 独立行政法人物質・材料研究機構「環境・エネルギー材料

研究展」88 委員会

[ 38] 2009.6~2012.5 委員 電気学会 シリコンナノデバイス集積化技術調査専門委員会

[ 39] 2010.3~2010.5 専門委員 独立行政法人科学技術振興機構 戦略的創造研究推進事

業 公募型研究に係る研究領域の中間評価委員会

[ 40] 2010.3.25 委員 文部科学省 研究開発における民間資金の活用に関する有識者懇談

[ 41] 2010.10~2015.3 ワーキング・グループ委員 つくばイノベーションアリーナ

大学院連携 WG

[ 42] 2011.12.14~2015.3.31 NEDO 技術委員 パワーエレクトロニクス革新技術委員会

[ 43] 2012.1.26~2013.3.31 専門委員 独立行政法人科学技術振興機構 戦略的創造研究

推進事業(CREST)の研究領域評価委員会

[ 44] 2013.3~2014.3 ピアレビュア 独立行政法人新エネルギー・産業技術総合開発機構

海外委員会

[1] 1995 Guest Editor, IEEE Trans. on ED, Special Issue

[2] 1994-1999 Member, Organizing Committee, IEEE, SISPAD

[3] 1996, 1999 Publication Chair, IEEE/JSAP SISPAD'96 and '99 (Int Conf. Simulation of Semicond.

Proc.and Dev.)

[4] 1997, 1999 ECS Symp on ULSI Science and Technology

[5] 1998 Guest Editor, IEEE Trans. on ED, Special Issue

[6] 1998 Moderator, Rump session, Symp on VLSI Tech/Circ

[7] 1998 Moderator, Rump session, SSDM

[8] 1996-1998 Subcommittee Chair, IEEE BCTM

[9] 1999 Member, Advance Workshop on ‘Frontiers in Electronics’ Committee

[10] 1999 Co-organizer, ECS Symp on ULSI Process Integration '99

[11] 1995-2000 Elected Member, IEEE EDS AdCom

[12] 1995,1996,1999,2000 Member, Committee, IEEE, IEDM, Mar.1995, 1998 Guest Editor,

Special Issues on IEEE Trans on Election Devices

[13] 1995, 1996, 1999, 2000 Program Committee Member, IEEE IEDM'95, '96, '99, '00

Page 96: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

96

(International Election Devices Meeting)

[14] 1994-1999 Editor, IEEE EDS Newsletter

[15] 1997,2000 Program Vice Chair, IEEE MIEL '00 and97 (International Conference on

Microelectronics)

[16] 1999 Session Organizer, IEEE WOFE'99 (Advanced Workshop on 'Frontiers in Electronics)

[17] 1999 Publication Chair, IEEE BCTM'99 (Bipolar/BiCMOS Circuit and Technology Meeting)

[18] 1999,2000 Program Committee Member, ESSDERC'99, '00 (European Solid-State Device

Research Conference)

[19] 1997-2000 Member, International Committee, IEEE ASDAM*98

[20] 1999,2001 International Advisor IEEE IPFA '99, '01 (International Symp. on Physical and

Failure Analysis of ICs)

[21] 2000 Program Vice Chair, IEEE BCTM'00 (Bipolar/BiCMOS Circuit and Technology Meeting)

[22] 2001 EDS Vice President, IEEE (Electron Devices Society, The Inst. of Electrical &

Electronics Eng.)

[23] 2001 Technical Program Chair, IEEE BCTM'01 (Bipolar/BiCMOS Circuit and TechnologyMeeting)

[24] 2001 Technical Program Chair, IEEE IWGI (Int. Workshop on Gate Insulator)

[25] 2001 Executive Committee Chair, IEEE IWJT (Int. Workshop on Junction Technology)

[26] 2001 Technical Program Cochair, IEEE ICSIT (Int. Conf. on Solid-State and Integrated

Circuit Technology)

[27] 2001 Technical Program Subcommittee Chair (CIR) IEEE IEDM (International Electron

Devices Meeting)

[28] 2001 Executive Committee member ECS Electronics Division

[29] 2001 Vice Editor-in-Chief, IEICE Trans. on Electronics

[30] 2001 CMOS and Interconnects Reliability, IEEE, IEDM

[31] 2001 Moderator, Rump session, Symp on VLSI Tech/Circ

[32] 2001,2002 Chair, JEITA Ultra-Low Power Operation Integrated Systems Technical

Committee (JEITA: Japan Electronics and Information Technology Industry Association)

[33] 2001 Guest member, LETI, Micro electronics and micro technology CST

[34] 2002 Program Committee Member, IEEE RAB/TAB Section/Chapter Support

[35] Committee ’02 (Regional Activities Board/Technical Activities Board)

[36] 2002 Committee Member, ECS Symp on Silicon Technology

[37] 1994-present Distinguished Lecturer, IEEE EDS

[38] 1995-present Member, Investigation Committee, the Institute of Electrical Engineers

[39] 1996-present Program Vice Chair, IEEE MIEL

[40] 1996-2015 Member, IEEE EDS Regions/Chapters Committee

[41] 1996-2005 Regional Editor, Microelectronics Reliability

[42] 1998-2003 Asian Coordinator, Advance Research Workshop on Future Trend in

Microelectronics

[43] 1999-present Committee Member, ECS Symp on ULSI Process Integration

[44] 2000-2002 Co-organizer, IEEE Japan Ultra-Small Integrated Devices Investigation

Committee (IEE Japan: the Institute of Electrical Engineers of Japan)

[45] 2000-2002 Chair, IEEE EDS Regions/Chapters Committee

[46] 2000-present Coeditor-in-chief, IJHSES(International Journal of High Speed Electronics and

Systems)

[47] 2001-present Executive Committee member, ECS Electronics Division

[48] 2002-present Member, ECS DS&T Governing Body

[49] 2002 Co-Chair, Technical Program Committee, 2nd ECS- International Silicon Technology

Conference, Tokyo, Japan, September 11-14, 2002

[50] 2002 Executive Committee Member, 2nd ECS- International Silicon Technology Conference,

Tokyo, Japan, September 11-14, 2002

[51] 2002 Organizer, ECS 202nd Meeting, First International Sympo. on High Dielectric Constant Materials:Materials Science, Processing, Reliability,& Manufacturing Issues Oct. 21-22, 2002, Salt Lake City, Utah, USA

[52] 2002-2009 Organizer, ECS Meeting, Sympo. on High Dielectric Constant Materials and Gate

Stacks

Page 97: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

97

[53] 2002-2003 Vice President, IEEE EDS

[54] 2002-2003 Member, IEEE RAB/TAB Section Chapter Support Committee

[55] 2002 General Chair, IEEE BCTM

[56] 2002-2003 Editor-in-Chief, IEICE Trans. on Electronics

[57] 2003-2004 Member(Responsible for Technical Symposia Grants), IEEE RAB/TAB

Section/Chapter Support Committee

[58] 2002-2003 Member, National Institution for Academic Degrees and University Evaluation

[59] 2003 Member, Sub-committee for Device Reliability, Technical Program Steering Committee

(TPSC), IPFA

[60] 2003 Organizer, 203rd ECS Meeting, Sympo. on ULSI Process Integration III, Apr. 28-29,

2003, Paris, France

[61] 2003 Organizer, 204th ECS Meeting, Sympo. on Second International Symposium on High

Dielectric Constant Materials: Materials Science, Processing, Reliability, and Manufacturing

Issues, Oct. 13-16, 2003, Orland, Florida, USA

[62] 2003-present International Committee Chair, 2003 IMFEDK (The 2003 International Meeting

for Future of Electron Devices, Kansai )

[63] 2003 Member, IEEE TAB Awards and Recognition Committee

[64] 2002-present Member, Andrew Grove Award Committee

[65] 2003-2008 Member, DIMES, DIMES Scientific Council

[66] 2004-present Member, IEEE TAB

[67] 2004-2005 President, IEEE EDS

[68] 2004 Co-general chair, ICSTST 2004

[69] 2004 Co-program chair , WOFE 2004

[70] 2004 Program co-chair The 4 th International Workshop on Junction Technology:2004,

Shanghai, China, March 15-16, 2004

[71] 2004 E-MRS Spring Meeting, Session IV chair

[72] 2004 Co-organizer, 206th ECS Meeting, the First International Sympo on Dielectrics for

Nanosystems:Materials Science, Processing, Reliability and Manufacturing, Oct. 4-7, Honolulu,

Hawai,

[73] 2004 Co-Organizer, 206th ECS Meeting, SiGe: Materials, Processing, and Devices, Oct.4-8,

Honolulu

[74] 2004 General Co-Chairs:7th International Conference on Solid-State and Integrated Circuits

Technology, Beijing, China

[75] 2004 International Advisory Committee Member, ECS-ISTC2004, Shanghai, China,

September15-17, 2004

[76] 2004-present General Chair, IWJT 2005

[77] 2005 Division Chair, Executive Committee, The 2005Semi-ECS-ISTC, Shanghai, China,

March15-17, 2005

[78] 2005 Semiconductor Technology (ISTC 2005)Assistant Editor

[79] 2005 Co-organizer, 207th ECS Meeting, Sympo. on Advanced Gate Stack, Source/Drain, and

Channel Engineering for Si-Based CMOS: New Materials, Processes, and Equipment, May 16-

18, 2005, Quebec City Canada

[80] 2005 General Chair The 5th International Workshop on Junction

Technology:(IWJT) 2005, June7-8,2005, Osaka, Japan [81] 2005 Advisory Chair The 5th International Workshop on Junction Technology:(IWJT) 2005,

June7-8,2005, Osaka, Japan

[82] 2005 Co-Organizer, 208th ECS Meeting, Third International Sympo. on High Dielectric Constant

Gate Stacks III, Oct. 17-20, 2005, Los Angeles, California, USA

[83] 2005 General Chair, The 9th Workshop and IEEE EDS Mini-colloquia on NAnometer CMOS

Technology Nanoelectronics Workshop in Japan, Yokohama, Japan, Oct. 25, 2005

[84] 2006 General Chair, The International Workshop on Nano CMOS (IWNC), Mishima, Japan, Jan.

30-31

[85] 2006 Division Chair, ISTC2006, Shanghai, China, March 21-13, 2006 [86] 2006 General Co-Chair, The 6th International Workshop on Junction Technology:(IWJT) 2006,

Shanghai, China, May 15-16, 2006

[87] 2006 Lanzhou Jiaotong University, Adjunct Professor

Page 98: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

98

[88] 2006-2007 Junior Past President, IEEE EDS

[89] 2006-2007 Member, IEEE EDS Fellow Committee

[90] 2006-2008 Member, IEEE EDS TAB Society Review Committee

[91] 2006 Organizer, ECS 209th Meeting, Sympo. on Dielectrics for Nanosystems MaterialsScience:,

Processing, Reliability, and Manufacturing II, May 8-10, 2006, Denver,USA

[92] 2006, Organizer, 209th ECS Meeting, 10th International Symposium on Silicon Materials

Science andTechnology, May 10-11, 2006, Denver, USA

[93] 2006 Co-Program Chair , ICSICT2006, Shanghai, China, Oct.22-27

[94] 2006 Organizer, 210th ECS Meeting, Sympo. on Advanced Gate Stack, Source/Drain, and

Channel Engineering for Si-Based CMOS 2: New Materials, Processes, and Equipment, Oct. 30-

Nov.2, 2006, Cancun, Mexico

[95] 2006 Organizer, 210th ECS Meeting, Sympo. on High Dielectric Constant Gate Stacks 4, Oct.

30-Nov.2, 2006, Cancun, Mexico

[96] 2006-2007 IEEE EDS Nominations and Elections Committee Chair

[97] 2007 Co-Chair, ISTC2007, Shanghai, China, March 18-20, 2007

[98] 2007 Organizer, 211th ECS Meeting, Sympo. on Advanced Gate Stack, Source/Drain, and

Channel Engineering for Si-Based CMOS: New Materials, Processes, and Equipment 3, May 7-

9, 2007, Chicago, Illinois

[99] 2007 Steering Committee member, 2007 International Workshop on Electron Devices and

Semiconductor Technology, June 3-4, 2007, Beijing, China

[100] 2007 Advisory Committee Chair, The 7th International Workshop on Junction Technology 2007,

June 8 - 9, 2007 Kyoto, Japan

[101] 2007 Organizer, 212th ECS Meeting, Sympo. on High Dielectric Constant Materials and Gate

Stack 5, Oct. 8-10, 2007, Washington, DC

[102] 2007 Organizer, 212th ECS Meeting, Sympo. on ULSI Process Integration 5, Oct. 8-11, 2007,

Washington, DC

[103] 2008 DIMES Scientific Council

[104] 2008 Co-Chair, ISTC2008, March 15-17, 2008, Shanghai, China

[105] 2008 Advisory Committee Chair, The 8th International Workshop on Junction Technology 2008,

May 15- 16, 2008 Shanghai, China

[106] 2008 Technical Co-Chair, 213th Meeting ECS Sympo on Dielectrics for Nanosystems III:

Materials Science, Processing, Reliability and Manufacturing, May 18-23, 2008, Phoenix,

Arizona, USA

[107] 2007 Program chair , WOFE 2007

[108] 2008-2009 IEEE EDS Fellow Committee Chair

[109] 2008-2009 IEEE EDS Award Committee member

[110] 2008-2009 IEEE EDS Senior Past President

[111] 2009 Advisory Committee Member, ISTC2009, March 17-19, 2009, Shanghai, China

[112] 2009 Advisory Committee Chair, The 9th International Workshop on Junction Technology 2009,

June 11- 12, 2009, Kyoto, Japan

[113] 2009- IEEE EDS Distinguished Service Award Committee

[114] 2009-2011 IEEE Frederik Philips Award Committee

[115] 2009 IEEE Divisison 1 Delegate-Elect/Director-Elect

[116] 2009-2011 ECS Individual Membership Committee member

[117] 2009-2015 IEEE EDS VLSI Technology and Circuits Committee

[118] 2010-2011 IEEE Division 1 Delegate/Director

[119] 2010 Steering Committee Advisor, ISTC2010, March 18-19, 2010, Shanghai, China

[120] 2010 Advisory Committee Chair, The 10th International Workshop on Junction Technology 2010,

May 10- 11, 2010 Shanghai, China

[121] 2011-2014, ESSDERC Technical Program Committee

[122] 2011-2013 IEEE Fellow Committee

[123] 2011 Program Chair, IEEE EDS Mini-colloquium on Nanometer CMOS Technology

(WIMNACT 26), February 9, 2011, Tokyo, Japan

[124] 2011 Technical Program Chair, Taiwan-Japan Workshop on “Nano Devices”, March 3, 2011,

Yokohama, Japan

[125] 2011 Advisory Committee, International Workshop on THE FUTURE OF NANO

ELECTRONICS RESEARCH AND CHALLENGES AHEAD(IWNANO 2011), December 26-

28, 2011, Tamilnadu, India

Page 99: .博士論文 - 東京工業大学 jpn.pdf · 3 Transactions on Electron Devices, Vol. 42, pp.1510-1521,August, 1995 [ 38] M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro,

99

[126] 2012 Strategic Planning Committee of the Fellow Committee

[127] 2012.5.1-2014.4.30 2014 IEEE David Sarnoff Award Committee

[128] 2012.8.31 ECS Japan Section/Section Officers Second Vice-Chair

[129] 2013 IEEE EDSSC 2013 International Advisory Committee

[130] 2013- Editorial Board, SCIENCE CHINA

[131] 2013- Advisory Board of the journal “Facta Universitatis:Series Electronics and Energetics”

[132] 2013.1-2017.12 Member of Advisory Committee, SCIENCE CHINA Information Sciences