ihp annual report · 2013-07-03 · 2 annual report 2 0 2 prof. dr. wolfgang mehr liebe leserinnen...

156
Annual Report 2012

Upload: others

Post on 10-Jul-2020

1 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

IHP GmbH – Innovations for High Performance Microelectronics / Leibniz-Institut für innovative MikroelektronikIm Technologiepark 2515236 Frankfurt (Oder)Germany

Telefon +49 335 5625 0Fax +49 335 5625 [email protected] Annual Report

2012Annual Report

IHP

Annu

al R

epor

t 20

12

Page 2: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

Annual Report 2012

Page 3: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

2 A n n u A l R e p o R t 2 0 2

prof. Dr. Wolfgang Mehr

Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über die Forschungsprogramme des IHP sowie Einblick in ausgewählte wissenschaftliche Ergebnisse des Jahres 2012.

Wichtige strategische Elemente des IHP sind: die Fokus-sierung auf siliziumbasierte Schaltkreise und Systeme für Hochgeschwindigkeitsanwendungen, seine vollstän-dige Wertschöpfungskette von der Materialforschung über die Technologie bis hin zu konkreten Anwendungen, eine außerordentlich enge Kooperation mit Hochschulen und die Möglichkeit, auf Basis seiner Pilotlinie industrie-relevante Prototypen herzustellen.

Mehr als 50 Prozent aller Innovationen weltweit basieren auf anwendungsspezifischen integrierten Schaltkreisen und Systemen. Auf diesen Gebieten leistet das IHP ei-nen herausragenden Beitrag. Das Interesse der Industrie an Forschungsergebnissen ist dank unserer Prototyping-Fähigkeiten besonders hoch. Das IHP trägt damit zur Erhöhung der Innovationsfähigkeit der deutschen und europäischen Industrie bei.

Die enge Zusammenarbeit des Institutes mit Hochschu-len und Universitäten geschieht u.a. im Rahmen von „Gemeinsamen Laboren“ (Joint Labs). Mit dem 2012 eröffneten Joint Lab mit der Humboldt-Universität zu Berlin für „Drahtlose Kommunikationssysteme“ und der begonnenen Zusammenarbeit mit der TU Berlin auf dem Gebiet Bioelektronik, forscht das IHP nun in insgesamt fünf Joint Labs gemeinsam mit vier Berlin / Brandenbur-ger Hochschulen.

V o r w o r t – F o r e w o r d

Dear Friends and Readers, With this annual report you get an overview of IHp‘s research topics as well as insight into selected scien-tific results of the year 2012.

essential strategic elements of IHp are: silicon-based circuits and systems for high-frequency applications, its complete value-added chain from materials re-search over technology up to specific applications, an exceptionally close cooperation with universities, and the capability of preparing industry-relevant pro-totypes in the pilot line.

More than 50 percent of all innovations worldwide are based on application-specific integrated circuits and systems. In this area IHp makes an outstanding con-tribution. the industrial interest in research results is particularly high due to our possibility of proto-typing. So in this manner, IHp makes an important contribution to increase the innovative ability of the German and european industry.

Close cooperations are held with colleges and univer-sities in the context of Joint labs. Currently, IHp is doing research in five Joint labs together with four universities from Berlin / Brandenburg. this includes the latest established Joint labs with the Humboldt-university of Berlin for “Wireless Communication Sys-tems” and the technical university of Berlin in the area of “Bioelectronics”.

Page 4: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

V o r w o r t – F o r e w o r d

Die Schwerpunkte der Forschungsarbeiten des Institutes im Jahr 2012 waren: - drahtlose Kommunikationssysteme- die Erhöhung der Sicherheit bei drahtloser Datenübertragung- Telemedizin- drahtlose low-power Sensornetze- mm-Wellen-Sensorik und Radar- integrierte Si-Photonik für Glasfaser- Daten-Übertragung- integrierte THz-Transistoren.

Die Forschungsergebnisse wurden in der Regel im Rah-men nationaler und europäischer Forschungsprojekte mit Partnern aus Wissenschaft und Industrie erarbeitet. Ein großer Teil der Projekte betrifft dabei Themen der Hightech-Strategie 2020 der Bundesregierung zur Erar-beitung von Schlüsseltechnologien und Lösungen für die Bedarfsfelder Kommunikation, Sicherheit und Gesund-heit.

Im Jahr 2012 erreichte das IHP ein Drittmittelvolumen von 13 Mio. Euro für Forschung und Services. Durch den Anstieg dieser Einnahmen konnte die Anzahl der Mitar-beiter deutlich wachsen und erfordert jetzt eine räumliche Erweiterung des Institutes. Für den hierfür notwendigen Erweiterungsbau fand am 8. September 2012 das Richt-fest statt. Die Inbetriebnahme ist zum Ende des Jahres 2013 vorgesehen. Dies war nur möglich durch die aktive Unterstützung des Landes Brandenburg und der Bun-desregierung. Ihnen gilt unser Dank für die Förderung und die stetige Begleitung unserer Forschungsarbeit. Bei unseren Mitarbeiterinnen und Mitarbeitern bedanken wir uns für ihr großes Engagement und ihren Ideenreichtum als wichtigste Basis all unserer Erfolge.

Wolfgang Mehr Manfred StöckerWiss.-Techn. Geschäftsführer Adm. Geschäftsführer

the focus of IHp‘s research activities in the year 2012 have been:- Wireless communication systems- enhancing the security of wireless data transfer - telemedicine- Wireless low-power sensor networks- mm-wave-sensor technology and radar- integrated Si-photonic for optical communication- integrated tHz-transistors.

the research results were generally developed in the context of national and european projects with part-ners from science and industry. the hightech-stra-tegy 2020 of the federal government relates to a huge part of the projects like developing key technologies and solutions in new areas in the fields of communi-cation, security and health.

third-party funds amounting to 13 million euros for research and services could be acquired in 2012. Con-sequently, due to the increase of these funds, the number of employees could rise significantly. this required an extension of our institute building, and the topping-out ceremony was celebrated on the 8th of September 2012. the completion of the building is envisaged for the end of the year 2013. this would not have been possible without the active support of the federal state of Brandenburg and the Federal Government. Special thanks go to all our employees for their huge commitment and inventiveness. they are the most essential source of all our success.

Page 5: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

Contents

Page 6: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

I n h a l t S V e r Z e I C h n I S – C o n t e n t S

Vorwort

Aufsichtsrat

Wissenschaftlicher Beirat

Forschung des IHP

Das Jahr 2012

Ausgewählte Projekte

Gemeinsame Labore

Zusammenarbeit und Partner

Gastwissenschaftler und Seminare

Publikationen

Angebote und Leistungen

Wegbeschreibung zum IHP

Foreword

Supervisory Board

Scientific Advisory Board

IHp‘s Research

update 2012

Selected projects

Joint labs

Collaboration and partners

Guest Scientists and Seminars

publications

Deliverables and Services

Directions to IHp

2

6

7

8

8

0

70

82

86

90

2

Page 7: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

6 A n n u A l R e p o R t 2 0 2

a u F S I C h t S r a t – S u p e r V I S o r y B o a r d

Aufsichtsrat

Konstanze Pistor Vorsitzende (bis 30. November 2012) Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg

Dr. Claudia HerokVorsitzende (seit 1. Dezember 2012)Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg

RD Dr. Ulf Lange Stellvertretender VorsitzenderBundesministerium für Bildung und Forschung

Dr.-Ing. Peter DraheimKaustik solar GmbH, Hamburg

Dr. Gunter FischerIHP GmbH

Prof. Dr. Christoph KutterFraunhofer EMFT, München

Dr. Harald RichterIHP GmbH

Prof. Dr. Jörg Steinbach(seit 14. März 2012)Technische Universität Berlin

Prof. Dr. Eicke R. WeberFraunhofer ISE, Freiburg MinR Gerhard WittmerMinisterium der Finanzen Land Brandenburg

Supervisory Board

Konstanze pistorChair (until november 30, 2012)Ministry of Science, Research and CultureState of Brandenburg

dr. Claudia herokChair (since December 1, 2012)Ministry of Science, Research and CultureState of Brandenburg

rd dr. ulf lange Deputy ChairFederal Ministry of education and Research

dr.-Ing. peter draheimKaustik solar GmbH, Hamburg

dr. Gunter FischerIHp GmbH

prof. Christoph KutterFraunhofer eMFt, Munich

dr. harald richterIHp GmbH

prof. Jörg Steinbach(since March 14, 2012)technical university of Berlin

prof. eicke r. weberFraunhofer ISe, Freiburg

Minr Gerhard wittmerMinistry of FinanceState of Brandenburg

Page 8: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

7A n n u A l R e p o R t 2 0 2

w I S S e n S C h a F t l I C h e r B e I r a t – S C I e n t I F I C a d V I S o r y B o a r d

WissenschaftlicherBeirat

Prof. Dr. Hermann GrimmeissVorsitzender (bis 28. Februar 2012)Lund UniversitySchweden

Prof. Dr. Hermann RohlingVorsitzender (seit 11. Juni 2012)Technische Universität Hamburg-Harburg

Dr. Josef WinnerlStellvertretender Vorsitzender (bis 28. Februar 2012)Intel Mobile Communications GmbHNeubiberg

Prof. Dr. Jörg WeberStellvertretender Vorsitzender (seit 11. Juni 2012)Technische Universität Dresden

Dr. Volker DudekClifton GmbH, Heilbronn

Prof. Dr. Ignaz Eisele(bis 28. Februar 2012)Fraunhofer IZM, München

Prof. Dr. Lothar FreyFraunhofer IISB, Erlangen

Prof. Dr. Richard HagelauerJohannes Kepler Universität LinzÖsterreich

Prof. Dr. Robert WeigelFriedrich-Alexander-Universität Erlangen-Nürnberg

Leitung

Prof. Dr. Wolfgang MehrWissenschaftlich-Technischer Geschäftsführer

Manfred StöckerAdministrativer Geschäftsführer

Scientific Advisory Board

prof. hermann GrimmeissChair (until February 28, 2012)lund universitySweden

prof. hermann rohlingChair (since June 11, 2012)Hamburg university of technology

dr. Josef winnerlDeputy Chair (until February 28, 2012)Intel Mobile Communications GmbHneubiberg

prof. Jörg weberDeputy Chair (since June 11, 2012)technical university of Dresden

dr. Volker dudekClifton GmbH, Heilbronn

prof. Ignaz eisele(until February 28, 2012)Fraunhofer IZM, Munich

prof. lothar FreyFraunhofer IISB, erlangen

prof. richard hagelauerJohannes Kepler university linzAustria

prof. robert weigelFriedrich-Alexander-university of erlangen-nuremberg

Management

prof. wolfgang MehrScientific Director

Manfred StöckerAdministrative Director

Page 9: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

8 A n n u A l R e p o R t 2 0 2

IHP‘s Research

Page 10: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

9A n n u A l R e p o R t 2 0 2

F o r S C h u n G d e S I h p – I h p ‘ S r e S e a r C h

ForschungdesIHP

Das IHP konzentriert sich auf die Erforschung und Ent-wicklung von siliziumbasierten Systemen, Höchstfre-quenz-Schaltungen und -Technologien einschließlich neuer Materialien. Es erarbeitet innovative Lösungen für Anwendungsbereiche wie die drahtlose und Breit-bandkommunikation, die Luft- und Raumfahrt, die Bio-technologie und Medizin, die Automobilindustrie, die Sicherheitstechnik und die Industrieautomatisierung.

Das Institut arbeitet an den folgenden vier eng mitein-ander verbundenen Forschungsprogrammen:1. Drahtlose Systeme und Anwendungen2. Hochfrequenz-Schaltkreise3. Technologieplattform für drahtlose und Breitbandkommunikation4. Materialien für die Mikro- und Nanoelektronik.

Die Forschungsprogramme nutzen die besonderen Mög-lichkeiten des IHP. So verfügt das Institut über eine Pilotlinie für technologische Forschungen und Ent-wicklungen sowie die Präparation von Prototypen und Kleinserien. Eine weitere Besonderheit ist das vertikale Forschungskonzept unter Nutzung der zusammenhän-genden und aufeinander abgestimmten Kompetenzen des Institutes auf den Gebieten Systementwicklung, Schaltungsentwurf, Technologie und Materialforschung.

Die Forschung des IHP setzt auf die typischen Stärken eines Leibniz-Institutes: Sie ist charakterisiert durch eine langfristige und komplexe Arbeit, welche Grund-lagenforschung mit anwendungsorientierter Forschung verbindet.

Die Realisierung der Forschungsprogramme erfolgt mit Hilfe eines regelmäßig aktualisierten Portfolios von Pro-jekten auf Basis einer mittelfristigen Roadmap. Die Ak-tualisierung geschieht aufgrund inhaltlicher Erforder-nisse sowie der Möglichkeiten für Kooperationen und Finanzierung. Drittmittelprojekte werden im Einklang mit den strategischen Zielen des IHP eingeworben.

IHP`s Research

IHp is focused on research and development of silicon- based systems, high-frequency circuits and techno-logies including new materials. It creates innovative solutions for application areas such as wireless and broadband communication, aerospace, biotechnology and medicine, the automotive industry, security and industrial automation.

the institute is working on the following four closely connected research programs:1. Wireless Systems and Applications2. RF Circuits3. technology platform for Wireless and Broadband Communication4. Materials for Micro- and nanoelectronics.

the research programs make use of the special oppor-tunities provided by IHp. For instance, the institute has a pilot line for technological research and de-velopments as well as for manufacturing prototypes and small series. An additional feature is the verti-cal research concept employing the associated and harmonized expertise of the institute in the fields of system development, circuit design, technology, and materials research.

the research of IHp is based on the typical strengths of a leibniz Institute: it is dominated by long-term and complex efforts which connect basic research with application-oriented research.

the realization of the research programs is accom-plished utilizing a project portfolio based on a medi-um-term roadmap. the project portfolio is regularly updated according to content requirements as well as through opportunities for cooperations and outside funding. Grant projects are acquired in accordance with the strategic goals of IHp.

Page 11: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

0 A n n u A l R e p o R t 2 0 2

F o r S C h u n G d e S I h p – I h p ‘ S r e S e a r C h

Die Forschungsprogramme des IHP verfolgen die folgenden wesentlichen Ziele:

Drahtlose Systeme und Anwendungen

Im Programm „Drahtlose Systeme und Anwendungen“ werden komplexe Systeme für die drahtlose Kommuni-kation und deren Anwendungen untersucht und entwi-ckelt. Ziel sind Hardware- / Software-Systemlösungen auf hochintegrierten Single-Chips, Systeme on Chip (SoC) oder Systeme in Packages (SiP). Die Arbeiten werden in drei Forschungsgruppen durchgeführt.

Für WLANs hoher Performance sollen Datenraten bis 100 Gbps bei Trägerfrequenzen bis zu 300 GHz erreicht werden. Dazu wird insbesondere untersucht inwieweit die Grenze zwischen analogem und digitalem Design eine wesentliche Rolle für die Geschwindigkeit und den Energieverbrauch des Systems spielt. Weitere wichtige Forschungsthemen sind Untersuchungen, die die „Quality of Service“ im Hochlastbereich von drahtlosen Netzen ver-bessern sowie zur Erhöhung der Zuverlässigkeit von WLANs zur Verwendung in sicherheitskritischen Anwendungen wie in der Fahrzeug-zu-Fahrzeug-Kommunikation beitragen.

Die Forschung zu Systemen mit geringem Energiever-brauch hat zum Ziel, Sensornetze auf Basis hochinte- grierter Chips oder SoC zu realisieren. In diesem Zu-sammenhang werden neue Netzarchitekturen, verteilte, ressourcenarme Middleware-Ansätze, neue energieeffi-ziente Medienzugriffsprotokolle sowie energieeffiziente Transceiver erforscht und realisiert. UWB-Technologien auf der Basis des Standards IEEE 802.15.4a sind Bei-spiele für drahtlose Kommunikation im Nahbereich und zusätzlich hohe Ortsauflösungs-Eigenschaften. Wei-terhin arbeitet die Gruppe an neuartigen drahtlosen „WakeUp“-Systemen und -Technologien. Diese dienen dazu einen Sensorknoten nur dann zu aktivieren, wenn ein äußeres Ereignis eintritt und der Knoten aktiv werden muss. Damit passt sich der Knoten an die asynchrone Re-alität an und kann äußerst energieeffizient aufgebaut werden.

Significant goals of IHp’s research programs are specified below:

wireless Systems and applications

this program investigates and develops complex sys-tems for wireless communication and their applica-tions. the objective is finding solutions for hardware / software systems on highly integrated single chips, Systems on a Chip (SoC) or Systems in a package (Sip). the activities are executed in three different scientific groups.

the target of high performance WlAn research is to achieve a data rate of up to 100 Gbps at carrier frequencies of up to 300 GHz. this will in particular examine whether the boundary between analog and digital design plays an essential role for the speed and power consumption of the system. Additional im-portant fields of research include the improvement of Quality of Service in the high load region of wireless networks as well as investigations to increase the re-liability of WlAns for security-sensitive applications such as car-to-car communication.

the research on systems with low energy consump-tion is directed towards sensor networks on single chips or SoC. In this context new network architec-tures, distributed low resource middleware concepts, new energy efficient protocols for media access as well as energy-efficient transceivers are investiga-ted and realized. uWB technologies based on the standard Ieee 802.15.4a are examples of short-ran-ge wireless communication with an additional high spatial resolution. Furthermore, the group is working on new wireless “wake-up“ systems and technologies. these are used to activate a sensor node only when triggered by an external event and the node must be active. thus, the node adjusts to the asynchronous reality and can be set up in an extremely energy efficient manner.

Page 12: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

F o r S C h u n G d e S I h p – I h p ‘ S r e S e a r C h

Die Forschung zu kontextabhängigen Middleware-Syste-men betrifft insbesondere auch die Erhaltung der Privat-sphäre und die Sicherheit bei der Nutzung mobiler End-geräte. Dazu werden modulare Kryptoprozessoren sowohl für AES (Advanced Encryption Standard) – als auch für unterschiedliche ECC (Elliptic Curve Cryptography)-Ver-fahren untersucht und entwickelt. Zusätzlich werden unterschiedliche Verfahren für die digitale Signatur zur Überprüfung der Authentizität von drahtlosen Nach-richten untersucht. Neuartige Radarsysteme werden für verschiedene Anwendungsszenarios wie Umweltsensorik und altersgerechte Assistenzsysteme (AAL) entwickelt.

Bei der Entwicklung von Methoden zur Erhöhung der Zuverlässigkeit und Testbarkeit von Schaltungen wer-den Bibliotheken für CMOS-Technologien untersucht und realisiert, die die Strahlungsfestigkeit von Schal-tungen erhöhen. Im Bereich des Logikdesigns werden unterschiedliche Verfahren zur Redundanzerhöhung kri-tischer Pfade untersucht. Die Hardware wird für spezielle Signalkonfigurationen optimiert. Darüber hinaus wer-den Speichergeneratoren entwickelt, die unterschied-liche Speichertypen unterstützen. EDAC (Error Detection And Correction)-Techniken zur Datenkorrektur in Spei-chern gehören zum Portfolio. Der Test aller im IHP ent-wickelten digitalen Schaltungen wird als Dienstleistung angeboten. Neue Methoden zum Design von Schaltungen mit geringer Eigenstrahlung für den Einsatz im Welt-raum oder in Fahrzeugen werden entwickelt. Die Unter- suchungen der zuverlässigen Systeme erstrecken sich auch auf die Zuverlässigkeit von Speichern und SoCs. Hierzu arbeitet die Gruppe an innovativen Konzepten für Speicherkontroller die dynamisch fehlerhafte Daten reparieren, Speicherblöcke austauschen und Speicher-sequenzen reorganisieren sowie an Multiprozessorstruk-turen die dynamisch unterschiedliche Verhaltensmuster bezüglich der Zuverlässigkeitsanforderungen annehmen können.

Research in context-sensitive middleware systems especially addresses privacy and security matters in using mobile devices. In this context, modular crypto processors for AeS (Advanced encryption Standard) as well as for different eCC (elliptic Curve Cryptography) techniques are investigated and developed. Addi- tionally, techniques for digital signature with diffe-rent authenticity checks for wireless messages are investigated. new radar systems are developed for use in different application scenarios such as envi-ronmental sensing and age-based assisted living.

CMoS libraries for higher radiation hardness are in-vestigated and realized in the context of higher reli-ability and testability of circuits. For digital designs different procedures for obtaining higher redundancy in critical paths are investigated. Hardware will be optimized for special signal configurations. Further-more, memory generators for different memory types are developed. Additional tasks are eDAC (error Detec-tion And Correction) techniques for data correction in memories and testing of all digital IHp-circuits as a service. new design methods are developed for low eMR applications in space or in automotive environ-ments. the investigations of reliable systems extend to the reliability of memory and SoCs. For this, the group is working on innovative concepts for memory controllers that dynamically repair faulty data, replace memory blocks and reorganize memory sequences as well as on multiprocessor structures that can take the dynamically different behavior patterns with respect to the reliability requirements.

Page 13: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

2 A n n u A l R e p o R t 2 0 2

Hochfrequenz-Schaltkreise

Im Programm „Hochfrequenz-Schaltkreise“ werden in-tegrierte mm-Wellen-Schaltkreise & Synthesizer, Breit-band-Mischsignal-Schaltkreise sowie Schaltkreise für drahtlose Anwendungen mit sehr geringem Energiever-brauch entwickelt und als Prototypen realisiert.

Integrierte Millimeterwellen-HF-Schaltkreise für Front-ends und Synthesizer zum Einsatz in der drahtlosen Kommunikation bei Frequenzen von etwa 10 bis über 720 GHz werden derzeit entwickelt. Sie ermöglichen in Zukunft Anwendungen im Bereich der drahtlosen Kurz- strecken-Kommunikation mit Bandbreiten von über 25 GHz und 100 Gbps. Die Erschließung des sub-THz Be-reiches in der Schaltungstechnik ermöglicht zunehmend Anwendungen im Bereich der Spektroskopie und hoch-sensitive Bio-Analytik auf der Basis preiswerter elek-tronischer Schaltungen. Ähnliches gilt auch für weitere Einsatzgebiete wie Short-Range Radarlösungen sowie mm-Wellen- / THz-Sensoren für Sicherheitstechnik und zerstörungsfreie Materialprüfung. Eine Kombination der Schaltungen und Sensorstrukturen mit Fortschritten in der Mikrofluidik könnte neue Möglichkeiten in Richtung Lab-on-Chip eröffnen.

Für die glasfasergestützte Breitbandkommunikation werden Konzepte und elektronische Komponenten für Glasfasersysteme mit Datenraten von 10 bis 400 Gbps pro Laser-Wellenlänge für zukünftige schnelle Glasfa-sernetze sowie für die opto-elektronische Chip-to-Chip-Kommunikation entwickelt. Innovative Modulator-Konzepte, verbesserte Photo-Dioden sowie der Bedarf nach immer höheren Datenraten erfordern dabei immer leistungsfähigere schnelle Verstärker (Transimpedanz-verstärker, Treiber) mit extrem hohen Bandbreiten, A / D- & D / A-Wandler mit Sampling-Raten von über 20 GSps und schnelle Stromschalter-Logik. Die On-Chip-Integration mit photonischen Elementen eröffnet da-bei neue Perspektiven (Silizium-Photonik).

rF Circuits

In this program integrated mm-wave circuits & syn-thesizers, broadband mixed-signal circuits and cir-cuits for ultra-low-power wireless applications will be designed and realized as prototypes.

Integrated millimeter-wave RF circuits for front-ends and synthesizers for wireless communication at roughly 10 to more than 720 GHz are currently under development. In the future they will enable applications in wireless short range communication with 100 Gbps at a bandwidth of more than 25 GHz. the development of the sub-tHz region in the circuit design increasingly enables applications in spectros-copy and high-sensitive bio-analysis based on low cost electronic circuits. the same applies to other applications such as short-range radar solutions and mm-wave / tHz sensors for security systems and non-destructive testing of materials. A combination of circuits and sensor structures with advances in micro-fluidics could open new possibilities in the direction of lab-on-chip.

Concepts and electronic components for fiber-optical broadband communication systems with data rates from 10 up to 400 Gbps per laser wavelength will be developed for future fast fiber-optical networks as well as optoelectronic chip-to-chip communica-tion. Innovative concepts for modulators, improved photo-diodes as well as the demand for higher data rates require increasingly powerful fast amplifiers (transimpedance amplifiers, driver circuits) with ex-tremely high bandwidth, A / D- and D / A converters with sampling rates of more than 20 GSps, and fast current switch logic circuits. the on-chip integration with photonic components opens new perspectives (Silicon photonics).

F o r S C h u n G d e S I h p – I h p ‘ S r e S e a r C h

Page 14: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

Extrem energieeffiziente Sende- und Empfangsschal-tungen und HF-Komponenten spielen in drahtlosen Sensornetzen und generell in mobilen Anwendungen eine immer wichtigere Rolle. Hierzu werden innova-tive Impuls-Radio UWB-Transceiver, RF-MEMS-basierte Schaltungen und WakeUp-Radio-Konzepte erforscht, mit denen die geforderte Batterie-Lebensdauer von zehn Jahren erreichbar ist. Die Einbeziehung von pas-siven Bauelementen mit sehr hoher Güte in die Schal-tungen sowie deren mögliche On-Chip-Integration spielt dabei eine entscheidende Rolle.

Technologieplattform für drahtlose und Breitband-kommunikation

Siliziumbasierte Technologien für integrierte Schal-tungen zielen auf kleinere Transistoren, eine höhere Anzahl Transistoren und höhere Arbeitsfrequenzen ab. Mit Erreichen des Nanometer-Bereiches müssen laterale und vertikale Strukturen prozessiert werden, die fast atomare Abmessungen haben („More Moore“-Ansatz der ITRS, der International Technology Roadmap for Semiconductors). Außerdem werden neuartige Bauele-mente und Technologien entwickelt, um CMOS-Techno-logien noch weiter zu skalieren bzw. um Lösungen jen-seits von Silizium-CMOS-Technologien zu erarbeiten.

Entsprechend der ITRS zielt der alternative „More than Moore“-Ansatz auf Diversifikation durch die Kombination verschiedener Technologien mit ange-messenem Skalierungsniveau. Das hier beschriebene Forschungsprogramm des IHP basiert auf einer „More than Moore“-Strategie der modularen Erweiterung von BiCMOS-Technologie für die drahtlose und Breitband-kommunikation. SiGe-BiCMOS-Technologien kombinieren schnelle SiGe-HBTs mit der Rechenleistung von CMOS auf einem Schaltkreis.

extremely energy efficient transmitter and receiver cir-cuits and RF components play an increasingly impor-tant role in wireless sensor networks and in general in mobile applications. ultra-low-power RF frontends and components are developed for wireless sensor networks. For this, innovative impulse uWB transceivers, RF-MeMS based circuits and concepts for wake-up radio are inves-tigated which will comply with the required ten years battery lifetime. the inclusion of passive components with a very high quality in the circuits and their possible on-chip integration plays a crucial role.

technology platform for wireless and Broadband Communication

Future silicon based integrated circuits technology is targeting at reduced transistor dimensions, an in- creasing number of transistors and higher operating frequencies. By reaching the nanometer scale region, lateral and vertical structures which are close to ato-mic dimensions have to be processed (“More Moore” approach of the International technology Roadmap for Semiconductors ItRS). Moreover, emerging research devices and technologies are under investigation to further extend the CMoS technology or to evaluate solutions beyond Si CMoS technologies.

According to the ItRS the alternative “More than Moore” approach is targeting at diversification by combining different technologies based on a rea-sonable scaling level. this IHp research program is based on a “More than Moore” strategy targeting a modular extension of BiCMoS technology for wireless and broadband communication. SiGe BiCMoS tech-nologies combine high speed SiGe HBts and com- puting power of CMoS on a single chip.

F o r S C h u n G d e S I h p – I h p ‘ S r e S e a r C h

Page 15: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

Die Hochfrequenzeigenschaften von HBTs konnten in den letzten Jahren erheblich verbessert werden und haben jetzt 500 GHz erreicht. Damit ermöglichen sie Anwendungen im Millimeterwellen-Bereich wie bei-spielsweise Fahrzeugradar (77 GHz), Glasfaserverbin-dungen mit hohen Datenraten (>100 Gbps) und draht-lose Verbindungen im Gbps-Bereich (60 GHz, 122 GHz). Das nächste Forschungsziel ist eine HBT-Generation mit 700 GHz Grenzfrequenz.

In einem „More than Moore“-Ansatz wird die Funktiona-lität der BiCMOS-Technologie durch die Integration op-tischer Komponenten (Si-Photonik) und MEMS-Struk-turen erweitert. Darüber hinaus wird die monolithische bzw. hybride Heterointegration von Silizium- und III / V-Verbindungshalbleitern untersucht, die neuar-tige System-on-Chip Lösungen ermöglichen.

Die in diesem Forschungsprogramm entwickelten Tech-nologien werden Designern als Multi-Projekt-Wafer-Ser-vice für innovative Schaltungsentwürfe angeboten. Der Zeitplan der technologischen Durchläufe in der Pilot-linie ist auf der Homepage des IHP verfügbar.

RF performance of HBts has been significantly im-proved over the years, reaching 500 GHz now and enabling mm-wave applications such as automotive radar (77 GHz), high data rate fiber links (>100 Gbps) and Gbps wireless links (60 GHz, 122 GHz).the next research goal is a generation of HBts with 700 GHz cut-off frequency.

In a “More than Moore” approach the functionali-ty of the BiCMoS technology is extended by inte- grating optical components (Silicon photonics) and MeMS structures. Moreover, the monolithic or hybrid hetero-integration of Si and III / V compound semi-conductor technologies are under investigation en-abling new System-on-Chip solutions.

the technologies developed within this program are offered to designers in a Multi project Wafer Ser-vice for innovative circuits solutions. the schedule for technological runs in the pilot line in Frankfurt (oder) can be found on IHp‘s website.

F o r S C h u n G d e S I h p – I h p ‘ S r e S e a r C h

Page 16: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

Materialien für die Mikro- und Nanoelektronik

Im Forschungsprogramm „Materialien für die Mikro- und Nanoelektronik“ wird zur längerfristigen Sicherung der technologischen Innovationskraft des Institutes an der Integration neuer funktioneller Modulkonzepte in moderne Silizium-BiCMOS-Technologien gearbeitet. Von besonderer Bedeutung sind hierbei „More than Moore“-Ansätze auf dem Gebiet künftiger Terahertz-, Photonik- und Biomed-Anwendungen.

Die Forschungsarbeiten im Bereich „Front-End-of-Line“ (FEOL) zielen auf die Integration qualitativ hochwertiger alternativer Halbleiterstrukturen in die Silizium-Wafer-Plattform ab. Diese Arbeiten dienen folglich dazu, fun-damentale Grenzen der Siliziumtechnologie aufgrund der physikalischen Materialparameter des Siliziums zu über-winden. Schwerpunkt bilden z. Zt. Integrationsansätze für Germanium-Mikro- und -Nanostrukturen, die mittels fortgeschrittener Epitaxie-Ansätze CMOS kompatibel hergestellt werden. Hierbei sind verspannte Germanium- Mikrobrückenstrukturen von besonderem Interesse, da diese als integrierte IR-Lichtemitter ein Schlüsselmodul darstellen, um die IHP-Technologie für künftige Anwen-dungen in der optischen Sensorik zu positionieren.

Die Integration eingebetteter nichtflüchtiger Speicher-module in das „Back-End-of-Line“ (BEOL) ist von zen-traler Bedeutung für die Leistungsfähigkeit komplexer, drahtloser Sensornetze. Die Forschung am IHP ist stark fokussiert auf die Bewertung des Potentials HfO2-ba-sierter, widerstandsgeschalteter RRAM-Speicherarrays, da diese insbesondere für leistungsarme Sensorknoten gegenüber Flash-Ansätzen ein erhebliches Verbesse-rungspotential bieten.

Materials for Micro- and nanoelectronics

Focus of the research program “Materials for Micro and nanoelectronics” is to secure the institute’s long-term technological innovation power by the integra-tion of new functional module concepts in modern silicon BiCMoS technologies. of particular importance here are “More than Moore” approaches for future terahertz, photonic and biomedical applications.

the research in “front-end of line” (Feol ) targets the integration of high quality alternative semiconduc-tor structures on the mainstream Si wafer platform. this work thus intends to overcome fundamental limitations of silicon technology due to the physical parameters of the silicon material. Focus is currently on integration approaches for germanium micro-and nanostructures, which are manufactured using ad-vanced epitaxial CMoS compatible approaches. Here, strained germanium-microbridge structures are of particular interest, since they represent an integrat-ed IR light emitter which is a key module to position the IHp technology for future applications in optical sensors.

embedded non-volatile memory module integra-tion in the “back-end of line” (Beol) is of high im-portance to build up efficient complex wireless sen-sor networks. Research at IHp is strongly focused on evaluating the potential of Hfo2-based resistive switching RRAM memory arrays, because they offer a high potential for improvements compared to Flash approaches, especially for low power sensor nodes.

F o r S C h u n G d e S I h p – I h p ‘ S r e S e a r C h

Page 17: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

6 A n n u A l R e p o R t 2 0 2

F o r S C h u n G d e S I h p – I h p ‘ S r e S e a r C h

Außerdem werden mittels BEOL kompatibler An- sätze auf Aluminiumnitrid (AlN) basierende, akusti-sche Oberflächenwellen-(SAW)-Filter integriert. Solche SAW-Bauteile können beispielsweise mittels geeigneter Oberflächenfunktionalisierung in der Biomolekül-Sen-sorik neue Anwendungen erschließen.

Die Forschungsgruppe für die erkundende Untersu-chung neuer Materialien bewertet neue Materialien mit einem hohen Potential für zukünftige Anwendungen in den Bereichen Terahertz und Photonik in der Silizium-Mikroelektronik in einem sehr frühen Stadium. Im Fo-kus steht hier heute das Material Graphen, das aufgrund seiner beeindruckenden Eigenschaften (Stabilität, Leit-fähigkeit etc.) verspricht, derzeitige Grenzen der Silizi-um-Mikroelektronik zu überwinden. Spezifisch widmet sich die Arbeitsgruppe dem vom IHP patentierten Gra-phen-Basis-Transistor im Hinblick auf potentielle THz-Anwendungen. Ferner ist die kontrollierte Herstellung von Graphen hoher Qualität mittels selektiver Verfahren der Gasphasenabscheidung auf Isolatoren ein wichtiger Meilenstein der Prozessintegration.

Um die hohen Anforderungen moderner Silizium-Schaltkreise zu erfüllen ist eine Materialcharakterisie-rung mit hoher Auflösung und Sensitivität bis hinab zur Nano-Skala unabdingbar. Neben den laborbasier-ten Verfahren stellt daher die Nutzung des Potentials moderner Synchrotron-Quellen der 3. Generation in Europa (Petra III in Hamburg; ESRF in Grenoble) ein wesentliches Standbein der Materialforschung am IHP dar. Das trifft insbesondere auf die zerstörungsfreien Synchrotron-Verfahren zu, womit gar die Materialunter-suchung in einem Bauelement während dessen Betrieb möglich werden wird.

Furthermore, surface acoustic wave (SAW) filters, based on aluminium nitride (Aln), are integrated in the Beol. these SAW devices can be applied for example as sensors for biomolecules after function- alizing the surface in a suitable way.

the “exploratory Materials Research Group” is con-cerned with the evaluation of new materials with a high potential for future terahertz and photonic applications in silicon microelectronics at a very early stage. In the focus today is the material gra-phene that because of its impressive properties (stability, conductivity, etc.) promises to overcome current limitations of silicon microelectronics. Spe-cifically, a research group of IHp is working on the patented graphene transistor with regard to poten-tial tHz applications. Furthermore, the controlled production of high-quality graphene by selective methods of chemical vapor deposition on insulators is an important milestone in the integration process.

to meet the high demands of modern silicon circuits, a material characterization with high resolution and sensitivity down to the nanoscale is essential. In addition to laboratory-based techniques, the use of the potential of modern 3rd generation european synchrotron sources (petra III in Hamburg, eSRF in Grenoble) is an essential pillar of materials research at IHp. this is especially true for non-destructive syn-chrotron techniques which allow to study the materi-al “in operando” at work in a functional device.

Page 18: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

7A n n u A l R e p o R t 2 0 2

F o r S C h u n G d e S I h p – I h p ‘ S r e S e a r C h

Gegenstand der Arbeiten im Gemeinsamen Labor mit der BTU Cottbus ist die Silizium-Materialforschung. Dabei sollen die Eigenschaften des Si-Materials maßge-schneidert werden, um neue Anwendungen zu ermög-lichen und um bestehende zu verbessern.

Schwerpunkte der grundlagenorientierten Vorlauf-forschung, die sich u.a. mit den Möglichkeiten einer kontrollierten Ausnutzung der physikalischen Eigen-schaften von Versetzungen für neuartige Bauelemente befasst, sind Arbeiten zu Si-basierten thermoelek-trischen Generatoren, zum Defekt-Engineering für zu-künftige Si-Wafer und zur Beherrschung der elektrischen Eigenschaften von Kristalldefekten im Solar-Si. Beson-deres Augenmerk wird gerichtet auf die Aufklärung des ‚super-metallischen‘ Transports von Ladungsträgern entlang von Versetzungen. Zusätzlich werden die Arbei-ten zu Ge-Lichtemittern auf Si fortgesetzt, die sich von dem durch das MIT propagierten Ansatz unterscheiden.

Silicon materials research is the subject matter of the Joint lab IHp / Btu Cottbus. Silicon properties are tailored to enable new applications and to improve existing ones.

Focuses of the initial basic research, addressing amongst others the possibilities of controlled use ofthe physical properties of dislocations for new de-vices, are activities towards Si-based thermo-electric generators, defect engineering for future silicon wa-fers, and the control of electrical properties of crystal defects in solar silicon. Special attention is directed to the elucidation of the ‘super-metallic‘ transport of charge carriers along dislocations. Additional work on Ge light emitters on Si will be continued, which differs from the approach propagated by MIt.

Page 19: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

8 A n n u A l R e p o R t 2 0 2

Update 2012

Page 20: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

9A n n u A l R e p o R t 2 0 2

d a S J a h r 2 0 1 2 – u p d a t e 2 0 1 2

DasJahr2012

Die im Jahr 2012 erfolgte begleitende Evaluierung des IHP durch seinen Wissenschaftlichen Beirat bestätigte die erfolgreiche Arbeit des Institutes. So ermöglichte die intensive nationale und internationale Koopera-tion des IHP im Rahmen von Forschungsprojekten und Services in diesem Jahr 13 Mio. Euro Drittmittelein-nahmen. Unter den zahlreichen Forschungsprojekten waren allein 13 EU-Projekte.

Die Ergebnisse der Forschungsarbeit wurden 2012 in 233 Publikationen und 285 Vorträgen veröffentlicht; 17 Patente konnten eingereicht werden.

Vom starken Engagement des IHP bei der studentischen Ausbildung zeugen neben den zahlreichen Vorlesungen von IHP-Wissenschaftlern an Hochschulen und Uni-versitäten auch 10 verteidigte Dissertationen und 17 Master- bzw. Bachelorarbeiten, sowie die zum 11. Mal durchgeführte Sommerschule „Mikroelektronik“.

Beispiele für die intensive Kooperation in der Region sind neben den Joint Labs mit Hochschulen und Univer-sitäten das Symposium „Tele-Rehabilitation“ gemein-sam mit der Deutschen Gesellschaft für Telemedizin und der „4. Brandenburger Sensornetztag – Sicherheit in Funksystemen“, der diesmal mit dem Partner IHK Ostbrandenburg durchgeführt wurde. Beim Business-plan-Wettbewerb Berlin-Brandenburg konnte das IHP-Ausgründungsprojekt BeamConnect in der Kategorie Technologie den ersten Platz belegen.

Auf Vorschlag des IHP erhielt Prof. Dr. Ya-Hong Xie, University of California, Los Angeles, den Humboldt- Forschungspreis. Damit wurden seine wissenschaft-lichen Leistungen gewürdigt, doch gilt der Preis auch als Auszeichnung der Exzellenz des gastgebenden Ins-titutes. Er ist seit mehreren Jahren eng mit dem IHP verbunden und war hier als Gastwissenschaftler tätig.

Update 2012

the accompanying evaluation of IHp carried out by the Scientific Advisory Board confirmed the success-ful work of the institute in the year 2012. third-party funds amounting to 13 million euros were reached due to strong national and international cooperation of IHp in the context of research projects and ser-vices. Among these numerous research projects were 13 projects of the european union.

the results of the research activities were published in 233 papers and 285 presentations were given. Furthermore, 17 patents were registered in 2012.

IHp highly supports the education of students. this is confirmed by numerous lectures given by scientists of IHp in colleges and universities, 17 written mas-ter and bachelor theses and 10 defenses of doctor´s theses. Furthermore, the 11th Summer school “Micro-electronics” was successfully carried out.

examples of the strong cooperation in the region are the symposium “tele-rehabilitation” carried out with the German Society for telemedicine and the “4th Brandenburg sensor-networking workshop on security in sensor networks” arranged together with the partner IHK east Brandenburg, in addition to the Joint labs with colleges and universities. the IHp spin-off project BeamConnect won the first prize in the Berlin-Brandenburg Business plan Competition in the category technology.

on a proposal from IHp, prof. Ya-Hong Xie, university of California, los Angeles, received the international research award of the Alexander von Humboldt Foun-dation. His outstanding scientific achievements were highly recognized with this award, but the award is also intended to highlight the scientific excellence of the host institute. He has been closely connected with IHp for several years and has worked as a guest scientist for IHp.

Page 21: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

20 A n n u A l R e p o R t 2 0 2

d a S J a h r 2 0 1 2 – u p d a t e 2 0 1 2 d a S J a h r 2 0 1 2 – u p d a t e 2 0 1 2

Wissenschaftliche Ergebnisse

Im Folgenden wird auf ausgewählte wissenschaftliche Fortschritte im Forschungsprogramm des IHP hinge-wiesen.

Drahtlose Systeme und Anwendungen

Die Ergebnisse des BMBF-Projekts EASY-A (Enablers for Ambient Services & Systems – 60 GHz Breitbandverbin-dungen) führten zur Vorbereitung der Ausgründung „BeamConnect“ für den Markt der Small-Cell Backhaul Netze. In diesem Markt werden P2P (Punkt zu Punkt)- und P2MP (Punkt zu Mehrpunkt)-Lösungen gesucht, die drahtlos die Basisstationen mit sehr hohen Daten-raten verbinden. Die Besonderheit der IHP-Lösung ist die automatische Funkstrahlausrichtung, die sich nicht nur für die Feinausrichtung des Funkstrahls, sondern auch für das räumliche Multiplexen eignet. Es wurde ein EXIST Transfer-Projekt für die erste Unternehmens-phase gewonnen.

Weiterhin wurde auf der Basis der Ergebnisse von EASY-A und unseren Vorstellungen zu noch schnelleren draht-losen Systemen ein DFG-Schwerpunktprogramm ein-gereicht und bewilligt. Das IHP und die BTU Cottbus koordinieren diesen DFG Schwerpunkt mit dem Titel: Drahtlose Ultrahochgeschwindigkeitskommunikation für den mobilen Internetzugriff: „Wireless 100 Gb / s and beyond“. Das mit 12 Mio Euro geförderte Pro-gramm wird über 6 Jahre neue Lösungen für zukünf-tige drahtlose Höchstgeschwindigkeitskommunikation untersuchen.

Scientific results

In the following selected scientific advances in the research program of IHp will be pointed out.

wireless Systems and applications

the results of the BMBF project eASY-A (enablers for Ambient Services & Systems – 60 GHz Broadband links) led to the preparation of the spin-off “Beam Connect“ for the market for small cell backhaul net-works. In this market p2p (point to point) and p2Mp (point to multipoint) solutions are needed that con-nect wireless base stations with very high data rates. the special feature of the IHp solution is the auto-matic beam steering, which is suitable not only for the fine alignment of the radio beam, but also for the spatial multiplexing. An eXISt transfer project for the first phase of the company was won.

Furthermore, based on the results of eASY-A and our ideas for even faster wireless systems, a DFG project was submitted and approved. the IHp and the Btu coordinate this DFG research focus entitled: Wireless Communications for ultra-high Speed Mobile Internet Access, “Wireless 100 Gb / s and beyond”. the program funded with 12 million euros will explore new solu-tions for future wireless high speed communication over 6 years.

Page 22: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

2A n n u A l R e p o R t 2 0 2

d a S J a h r 2 0 1 2 – u p d a t e 2 0 1 2 d a S J a h r 2 0 1 2 – u p d a t e 2 0 1 2

Im Rahmen des Projektes TANDEM wurde der weltweit erste hochintegrierte UWB (Ultra-Breitband)-Schalt-kreis mit geringer Datenübertragungsrate realisiert, der sowohl den digitalen Basisbandprozessor als auch das analoge HF-Frontend beinhaltet. Die weitere Integra-tion mit dem ipms_430x-Mikroprozessor (zunächst auf einer PCB) konnte erfolgreich abgeschlossen werden. Somit verfügt das IHP erstmalig über einen komplett eigenen Sensorknoten mit allen zugehörigen Kompo-nenten. Eine innovative Anwendung eines Sensormo-duls wurde im Rahmen des Projektes Tele-Diagnostik voruntersucht und erste erfolgreiche Testmessungen wurden durchgeführt. In diesem Projekt werden mobile Sensorkapseln in einen Bioreaktor zur kontinuierlichen Messung und Überwachung der Bioflüssigkeiten einge-bracht.

Neue Projekte wurden sowohl im Bereich der Security als auch im Bereich der drahtlosen Kommunikations-technik eingeworben. Das Projekt Sens4U (Sensorkno-ten für Umweltmonitoring) ist insbesondere interes-sant, weil es die Kooperation mit 5 KMUs forciert und damit den Transfer von IHP-Ergebnissen in die Bran-denburger Wirtschaft erleichtern kann. Das Projekt Ul-traSpread bearbeitet die Kommunikation im Sub-1GHz Bereich für Sensorknoten. In diesem Projekt wird auf der Basis PSSS (Parallel Sequence Spread Spectrum) ein schnelles Sensorkommunikationssystem mit hoher Reichweite und hoher Stabilität gegenüber schmalban-digen Störern aufgebaut. Dieses Projekt arbeitet mit einem KMU aus dem Land Brandenburg zusammen.

Within the project tAnDeM, the world‘s first highly in-tegrated low-data rate uWB (ultra-Wideband) chip was implemented, which includes both the digital base-band processor and the analog RF front-end. Further integration with the ipms_430x micro processor (in-itially on a pCB) has been successfully completed. thus, for the first time, IHp possesses a complete own sensor node with all necessary components. An inno-vative application of a sensor module was tentatively investigated within the project “telediagnostics“ and the first successful test measurements were carried out. In this project, mobile sensor capsules are placed in a bioreactor for continuous measurement and mo-nitoring of bio-fluids.

new projects have been acquired both in the area of security and in the area of wireless communication technology. the project Sens4u (Sensor nodes for en-vironmental Monitoring) is particularly interesting, because it accelerates the cooperation with 5 SMes and thus may support the transfer of IHp results to companies in Brandenburg. the project ultraSpread works on communication in the sub-1GHz range for sensor nodes. In this project a fast sensor commu-nication system with long range and high stability against narrowband interferers is built on the basis of pSSS (parallel Sequence Spread Spectrum). this project is carried out in cooperation with a SMe from Brandenburg.

Page 23: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

22 A n n u A l R e p o R t 2 0 2

d a S J a h r 2 0 1 2 – u p d a t e 2 0 1 2 d a S J a h r 2 0 1 2 – u p d a t e 2 0 1 2

Hochfrequenz-Schaltkreise

Die für 60-GHz-WLAN-Anwendungen entwickelten Sen-de- und Empfangsschaltungen wurden weiter optimiert und verbessert, um eine spätere kommerzielle Verwer-tung zu erleichtern. Hervorzuhebende Einzelkompo-nenten sind ein Leistungsverstärker mit +16 dBm Aus-gangsleistung und ein LNA (rauscharmer Verstärker) mit 6,5 dB Noise Figure. Derzeit wird im BMBF-Projekt PreLocate (Präzise Lokalisierung und Breitband-Kom-munikation im 60-GHz-Band) ein neuer 60-GHz-Beam-forming-Transceiver in 0,13-μm-BiCMOS-Technologie entwickelt, wodurch eine stark verbesserte Energieeffi-zienz der 60-GHz-Transceiver erreicht werden wird.

Bei 122 GHz wurden FMCW (Frequency Modulated Con-tinuous Wave Radar) Schaltungen im Rahmen des vom IHP koordinierten EU-Projektes SUCCESS (Silicon-ba-sed Ultra Compact Cost-Efficient System design for mm-Wave sensors) entwickelt. Hier ist es gemeinsam mit den Partnern erstmals gelungen, ein kompaktes Sys-tem-in-Package (8x8 mm2) erfolgreich zu demonstrie-ren. Zukünftig sollen auch selbstentwickelte On-Chip- Antennen eingesetzt werden, um den Integrationsgrad weiter zu erhöhen. Dazu wurde ein erstes Design der Radarschaltung inklusive Antennen entworfen. Die Ent- wicklung und der Einsatz von On-Chip-Antennen im Frequenzbereich oberhalb von 100 GHz vereinfachen signifikant den Aufbau solcher Systeme. Eine neue De-sign-Methode wurde gefunden, mit der Antennen-Effi-zienz und mechanische Stabilität in Einklang gebracht werden können.

Für 245 GHz konnten erste Schaltungskomponenten erfolgreich getestet werden und erste komplette Sen-der- und Empfängerschaltungen wurden demonstriert. Auch hier sind mit der On-Chip-Integration der Anten-nen noch deutliche Fortschritte zu erwarten.

rF Circuits

the transceiver chips developed for 60 GHz WlAn applications were further optimized and improved in order to simplify a subsequent commercial exploi-tation. Distinguished individual components are a power amplifier with +16 dBm output power and a lnA (low noise amplifier) with 6.5 dB noise figure. Currently, in the BMBF project prelocate (precise localization and Broadband Communications in the 60 GHz Band), a new 60-GHz beamforming transceiver in 0.13 µm BiCMoS technology is being developed, which will significantly improve the energy efficiency of the 60-GHz transceiver.

FMCW (Frequency Modulated Continuous Wave Radar) circuits at 122 GHz were developed within the eu project SuCCeSS (Silicon-Based ultra Compact Cost-efficient System Design for mmWave Sensors), coordi-nated by IHp. together with the partners, a compact system-in-package (8x8 mm2) was successfully de-monstrated for the first time. In the future, self-de-veloped on-chip antennas will also be used to further increase the degree of integration. An initial design of the radar circuit including antennas was realized. the development and use of on-chip antennas in the frequency range above 100 GHz significantly simplify the architecture of such systems. A new design me-thod was developed to reconcile antenna efficiency and mechanical stability.

For 245 GHz, first circuit components were success-fully tested and the first complete transmitter and receiver circuits were demonstrated. Here, too, with the on-chip integration of the antennas, significant progress can still be expected.

Page 24: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

2A n n u A l R e p o R t 2 0 2

d a S J a h r 2 0 1 2 – u p d a t e 2 0 1 2 d a S J a h r 2 0 1 2 – u p d a t e 2 0 1 2

In der Kategorie Breitband- und Mischsignal-Schal-tungen ist ein komplexer 12-Bit 1,5 GS / sec D / A Wand-ler für Raumfahrtanwendungen hervorzuheben, dessen Entwicklung erfolgreich abgeschlossen werden konnte. Bei diesen Raumfahrtanwendungen geht ein Trend in Richtung strahlungsharter Schaltungen und Systeme für Missionen außerhalb des erdnahen Raumes. Dazu werden Anstrengungen sowohl von der Seite der Tech-nologie- und Bauelemente-Entwicklung als auch von der schaltungstechnischen Seite unternommen.

Im Bereich der auf Silizium-Technologie basierten Schaltungen für Glasfaser-Übertragungssysteme sind weitere Fortschritte erzielt worden. Hervorzuheben sind hier Transimpedanz-Verstärker, VCSEL (Vertical-Cavity Surface-Emitting Laser)-Treiber und Treiber für optische Modulatoren auf SiGe-HBT Basis für (Single Link) Da-tenraten von 28 Gbps und 56 Gbps. Im europäischen Verbundprojekt GALACTICO (Coherent Terabit Ethernet) werden multi-level Sende- und Empfangsschaltungen für optische Terabit-Router mit 112 Gbps pro optischem Kanal untersucht und entwickelt.

Im Rahmen des europäischen CATRENE Verbundpro-jektes RF2THzSiSoC werden Forschungen an ener-gieeffizienten Schaltungen für Basisstationen mit Schaltkreisen in Silizium-Photonik-Technologie und Radio-over-Fiber-Techniken durchgeführt. Konkret wer-den hier Klasse-S Leistungsverstärker in SiGe-Technolo-gie weiterentwickelt, die direkt über Glasfaser modu-liert werden sollen.

Auf dem Gebiet der UWB-Transceiver konnten zwei un-terschiedliche Systeme zur Datenübertragung und zur Lokalisierung in Gebäuden demonstriert werden. Zum einen wurde im Projekt DISTAG (UWB Lokalisierung) ein UWB-Chipsatz mit proprietärem Datenübertragungs-protokoll weiterentwickelt. Hervorzuheben ist hier die Entwicklung eines vollintegrierten Leistungsver-stärkers mit mehr als 1 Vpp-Peak-Ausgangsamplitu-

A highlight in the category broadband and mixed- signal circuits is a complex 12-bit 1.5 GS / s D / A converter for space applications. the development of this converter was completed successfully. For these space applications there is a trend towards radiation hard circuits and systems for missions beyond low earth orbit space. therefore, efforts are being made both from the side of technology and component de-velopment as well as on the circuit side.

In the area of Si technology-based circuits for fiber optic transmission systems, further progress has been made. Most notable are transimpedance amplifiers, VCSel (Vertical-Cavity Surface-emitting laser) drivers and drivers for optical modulators based on SiGe HBts for (single link) data rates of 28 Gbps and 56 Gbps. In the european project GAlACtICo (Coherent tera-bit ethernet) multi-level transmission and reception circuits for terabit optical routers are examined and developed with 112 Gbps per optical channel.

Research on energy-efficient circuits for base sta-tions with circuits in silicon photonics technology and radio-over-fiber techniques is carried out within the joint european CAtRene project RF2tHzSiSoC. In particular, class-S power amplifiers in SiGe techno- logy, which are to be modulated directly via fiber, are further developed in this project.

In the field of uWB transceivers, two different sys-tems for data transfer and localization in buildings were demonstrated. Firstly, in the project DIStAG (uWB localization) a uWB chipset with a proprietary data transmission protocol was developed. Worthy of mention here is the development of a fully integrated power amplifier with more than 1 Vpp peak output amplitude with a power-down switching capability

Page 25: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

2 A n n u A l R e p o R t 2 0 2

d a S J a h r 2 0 1 2 – u p d a t e 2 0 1 2 d a S J a h r 2 0 1 2 – u p d a t e 2 0 1 2

de mit einer Power-Down-Schaltfähigkeit im Bereich <10 Nanosekunden. Zum anderen wurde im Rahmen des Projektes Tandem ein Single-Chip-Transceiver kon-form zum Standard IEEE 802.15.4a entwickelt, der ins-besondere in drahtlosen Sensornetzwerken zum Einsatz kommen wird. Dazu wurden Power-Management Me-chanismen implementiert, die die Batterie-Lebensdau-er erhöhen. Eine besondere Herausforderung war das Mischsignal-Design, das neben allen HF-Komponenten auch einen integrierten A / D-Wandler und einen Basis-band-Prozessor auf einem Chip vereinigt.

Technologieplattform für drahtlose und Breitband-kommunikation

Die Forschungsarbeiten in der Technologie verfolgen eine „More-than-Moore“-Strategie, d.h. es werden Module mit zusätzlicher Funktionalität in die BiC-MOS-Technologien integriert. Schwerpunkte der Arbei-ten sind THz-Bauelemente, integrierte MEMS (Micro- Electro-Mechanical Systems) für Anwendungen bei höchsten Frequenzen und die Verbindung von Photonik und Elektronik (Si Photonik).

Nachdem im Rahmen des EU-Projektes DOTFIVE (To-wards 0.5 TeraHertz Silicon / Germanium Heterojunction Bipolar Technology) schon HBTs mit Rekordparametern von fT / fmax = 300 / 500 GHz entwickelt wurden, die bereits öffentlich im MPW-Service angeboten werden, konnte erfolgreich das EU-Projekt DOTSEVEN eingewor-ben werden. Ziel ist die Erforschung und Entwicklung der nächsten HBT-Generation mit 700 GHz Grenzfre-quenz. Wichtigster Partner für das IHP im Projekt ist Infineon.

in the range of <10 nanoseconds. Secondly, in the project tandem, a single-chip transceiver compliant with Ieee 802.15.4a was developed, which will be especially used in wireless sensor networks. For this, power management mechanisms have been imple-mented to increase the battery lifetime. A particular challenge was the mixed-signal design, which, along with all the RF components, also combines an inte-grated A / D converter and a baseband processor on one chip.

technology platform for wireless and Broadband Communication

Research in technology pursues a “More-than-Moore“ strategy, i.e. modules with additional functionali-ty are integrated in BiCMoS technologies. Work is focused on tHz devices, integrated MeMS (micro-electro-mechanical systems) for applications at high frequencies and combining photonics and electronics (Si photonics).

once HBts with record parameters of ft / fmax= 300 / 500 GHz were developed in the context of the eu project DotFIVe (towards 0.5 teraHertz Silicon / Germanium Heterojunction Bipolar technology) and offered to the public in IHp`s shuttle service, the eu project “DotSeVen“ was successfully acquired. the goal is the research and development of next gen-eration HBts with 700 GHz cutoff frequency. the most important partner for IHp in the project is Infineon.

Page 26: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

2A n n u A l R e p o R t 2 0 2

d a S J a h r 2 0 1 2 – u p d a t e 2 0 1 2 d a S J a h r 2 0 1 2 – u p d a t e 2 0 1 2

Die Arbeiten zur Integration von MEMS-Komponenten (Hochfrequenz-Schalter) in eine BiCMOS-Technologie laufen sehr erfolgreich innerhalb der EU-Projekte FLEXWIN (Flexible Microsystem Technology for Micro- and Millimetre-Wave Antenna Arrays with Intelligent Pixels) und Nanotec (Nanostructured materials and RF-MEMS RFIC / MMIC technologies for highly adaptive and reliable RF systems). Die innerhalb des Schwerpunktes entwickelten Technologiemodule RF-MEMS-Switch, lo-kales Rückseitenätzen und On-Top-Kupfermetallisie-rung (zusammen mit IZM) wurden 2012 im MPW-Ser-vice bereits für Partner angeboten.

Der Forschungsschwerpunkt Si-Photonik hat sich so-wohl hinsichtlich der erreichten wissenschaftlichen Ergebnisse als auch hinsichtlich der eingeworbenen Förderprojekte deutlich weiterentwickelt. Zusätzlich zu den schon laufenden EU-Projekten HELIOS (Photo-nics Electronics functional Integration on CMOS) und GALACTICO (blending diverse photonics and eLectronics on silicon for integrated and fully functional Cohe-rent Tb Ethernet) konnten das EU-Projekt ESSENTIAL und die national geförderten Projekte RF2THzSiSoC, SILIMOD (Ultrakleiner Hochgeschwindigkeits-Modula-tor für photonisch integrierte Schaltkreise) und MINI-MUM (Miniaturisierte Multisensor-Plattform für schnel-len Label-freien optischen Molekülnachweis) gestartet werden. Zu weiteren Projektanträgen liegen positive Bewertungen vor. Über das gemeinsame Labor mit der TU Berlin ist das IHP am Sonderforschungsbereich SFB 787 mit dem Teilprojekt C 10 „Nanophotonische Takt-verteilung für ultra-schnelle BiCMOS-Technologie“ be-teiligt.

Innerhalb des MPW-Services werden die entwickelten Technologien Partnern und Kunden zur Verfügung ge-stellt. Dieser Service trägt signifikant zu den Drittmit-teleinnahmen der Technologie bei und ist Basis für na-tionale und internationale Forschungskooperation in geförderten Projekten. 2012 wurden vier 0,25-μm- und zwei 0,13-μm-BiCMOS-Technologieshuttle bearbeitet.

Work on the integration of MeMS components (RF switch) in a BiCMoS technology is very successful within the eu projects FleXWIn (Flexible Microsys-tem technology for Micro-and Millimetre-Wave An-tenna Arrays with Intelligent pixels) and nanotec (nanostructured Materials and RF-MeMS RFIC / MMIC technologies for Highly Adaptive and Reliable RF Systems). the technology modules RF MeMS switch, local backside etching and on-top copper metalliza-tion (with IZM) developed within the RF-MeMS group, were already offered to partners within the MpW ser-vice in 2012.

the research focus Si photonics has witnessed signi-ficant further development, both in terms of achieved scientific results and in terms of funded projects. In addition to the already running eu projects HelIoS (photonics electronics Functional Integration on CMoS) and GAlACtICo (Blending Various photonics and electronics on Silicon for Integrated and Ful-ly Functional Coherent tb ethernet) the eu project eSSentIAl and the nationally funded projects RF2tHzSiSoC, SIlIMoD (ultra Small High-Speed Modulator for photonic-Integrated-Circuits) and MInIMuM (Miniaturized Multi-Sensor platform for Fast label-Free optical Detection Molecule) were started. Additional project proposals were favorably reviewed. through the Joint lab with tu Berlin, IHp is involved in the project C 10 “nanophotonic clock distribution for ultra-fast BiCMoS technology“ in the Collaborative Research Center SFB 787.

the developed technologies are offered to partners and customers through the MpW service. this ser-vice contributes significantly to the third party fun-ding of the technology and is the basis for national and international research collaboration in funded projects. In 2012 four 0.25 µm and two 0.13 µm BiCMoS technology shuttles were processed.

Page 27: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

26 A n n u A l R e p o R t 2 0 2

d a S J a h r 2 0 1 2 – u p d a t e 2 0 1 2 d a S J a h r 2 0 1 2 – u p d a t e 2 0 1 2

Materialien für die Mikro- und Nanoelektronik(einschließlich Gemeinsames Labor IHP / BTU Cottbus)

Die erkundende Materialforschungsgruppe („Explora-tory Materials Research“) konzentriert ihre Arbeit auf innovative Graphen-basierte Bauelemente für die künf-tige Hochfrequenz-Silizium-Mikroelektronik. Eine theo-retische Simulationsarbeit wurde im Jahre 2012 in der Zeitschrift „IEEE Electron Device Letters“ veröffentlicht und belegt das hohe Potential des vom IHP patentierten „Graphene Base Transistor (GBT)“-Konzepts für Tera-hertz-Anwendungen. Der GBT bildet die Grundlage für ein erfolgreich eingeworbenes EU-STREP-Projekt, das im Oktober 2012 startete und in drei Jahren gemein-sam mit Infineon und weiteren akademischen Partnern aus Europa das Potential dieses Bauteils in der Praxis erforschen wird. Ein zentraler Prozessschritt bei der Etablierung von Graphen in der Silizium-Mikroelektro-nik ist das selektive Graphen-Wachstum hoher Qualität auf Isolatoren. Zu dieser Thematik wurde erfolgreich ein BMWi-Projekt gemeinsam mit der Firma Sentech sowie ein DFG „Oxide Catalyst“-Clusterprojekt einge-worben; ferner bestehen enge Forschungskooperati-onen mit Partnern in der Region (wie z.B. dem Gemein-samen Labor IHP / TH Wildau). In Zusammenarbeit mit der University of California Los Angeles (UCLA) in den USA werden mit Hilfe von Nanostrukturen auf Silizium Möglichkeiten untersucht, Graphen in Kombination mit plasmonischen Effekten für die Biomolekülsensorik zu nutzen. Ein Artikel in dem „high-impact“ Journal ACS Nano konnte publiziert werden.

Materials for Micro-and nanoelectronics(Including Joint lab Ihp / Btu)

the exploratory material research group concen-trates its work on innovative graphene-based devices for future high-frequency silicon microelectronics. A theoretical simulation work was published in 2012 in the journal “Ieee electron Device letters,“ and shows the high potential of the IHp patented “Graphene base transistor (GBt)“ concept for terahertz applica-tions. the GBt transistor is the basis for a successful-ly acquired eu StRep project, which started in octo-ber 2012 and will research the potential of this device in practice together with Infineon and other acade-mic partners in europe in the next three years. A key process step in the establishment of graphene in si-licon microelectronics is the selective growth of high quality graphene on insulators. on this issue both a BMWi project together with the company Sentech, and a DFG cluster project “oxide Catalyst“ were suc-cessfully acquired. there is also a close research cooperation with regional partners such as the Joint lab IHp / tuAS Wildau. In collaboration with the uni-versity of California, los Angeles (uClA) in the u.S., options are examined with the help of nanostructures on silicon, to use graphene in combination with plas-monic effects for sensor technology with biomole-cules. A scientific article in the “high-impact“ journal ACS nano was published.

Page 28: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

27A n n u A l R e p o R t 2 0 2

d a S J a h r 2 0 1 2 – u p d a t e 2 0 1 2 d a S J a h r 2 0 1 2 – u p d a t e 2 0 1 2

Die „Front-End-of-Line“ (FEOL)-Gruppe untersucht die Integration alternativer Halbleiter auf der Sili-zium-Plattform zur Leistungssteigerung bzw. Funk- tionserweiterung. Im Bereich der Photonik arbeitet die IHP-Materialforschung gemeinsam mit der Techno-logieabteilung in der SiGe-Forschung an verspannten Ge-Bauteilen, denen ein hohes Potential als Si-CMOS kompatible Leuchtquelle zugeordnet wird. Die Verspan-nung wird am IHP mittels mikromechanischer Brücken-strukturen aufgebaut, um einen Si-CMOS kompatiblen Prozessfluss zu erarbeiten. Prof. Dr. Giovanni Capellini von der Universita Roma Tre in Italien arbeitet im Rah-men einer „Associate Research Group“ am IHP, um die-se Forschungsaktivität voranzutreiben. Prof. Ya-Hong Xie von der University of California Los Angeles wurde im Jahre 2012 durch einen Humboldt-Forschungspreis für seine Verdienste in der SiGe-Forschung ausgezeich-net. Die Nominierung erfolgte durch das IHP und der Preis, der sowohl den Forscher als auch den Gastge-ber auszeichnet, bildet die Grundlage für die weitere Zusammenarbeit. Weiterhin verstärkt Dr. Gang Niu als Alexander von Humboldt-PostDoc Fellow das Hete- roepitaxie-Team. Gemeinsam mit der Siltronic AG hat das IHP einen flexiblen Oxidpufferansatz zur Integra-tion einkristalliner Galliumnitridschichten auf Si(111) patentiert. Ein gemeinsamer Forschungsvertrag für die Jahre 2013-2015 wurde unterzeichnet und die Zusam-menarbeit wird darin auf strukturierte Waferansätze zur GaN-Integration ausgeweitet. Dr. Adam Szyska von der TU Wroclaw unterstützt als Marie Curie Fellow diese „GaN on Si“-Aktivitäten.

Die „Back-End-of-Line“ (BEOL)-Gruppe arbeitet inten-siv im Sinne der „More than Moore“ Strategie des IHP an der Modulintegration in die Si-BiCMOS-Technologie. Einen Schwerpunkt bilden sogenannte „Embedded non-volatile Memory“ (e-NVM)-Module in der BiCMOS-Tech-nologie des IHP für künftige Sensornetzanwendungen. Der sogenannte RRAM-Speicheransatz des IHP beruht auf HfO2-basierten Metall-Isolator-Metall-Speicherzel-len, deren Widerstand durch elektrische Impulse rever-sibel schaltbar ist.

the “front-end-of-line“ (Feol) group investigates the integration of alternative semiconductors on the silicon platform to improve performance or to add new features. In photonics the IHp materials research works together with the technology department in the SiGe research on strained Ge-devices, which have a high potential as a Si-CMoS-compatible light source. At IHp the tension is built up by microme-chanical bridge structures to develop a Si-CMoS- compatible process flow. prof. Giovanni Capellini from the universita Roma tre in Italy is working in a “Associate Research Group“ at IHp to advance this re-search activity. prof. Ya-Hong Xie from the university of California los Angeles in 2012, was distinguished with a Humboldt Research Award for his work in SiGe research. the nomination was made by the IHp and the prize, which is awarded both to the researcher and the host, is the basis for further collaboration. Furthermore, Dr. Gang niu supports the heteroepi-taxy team as a Alexander von Humboldt postdoctoral fellow. together with Siltronic, IHp has patented a flexible oxide buffer approach for the integration of single-crystal gallium nitride on Si (111). A research contract for the years 2013-2015 was signed, exten-ding the cooperation to structured wafer approaches for the integration of Gan wafers. Dr. Adam Szyska from the tu Wroclaw supports these “Gan on Si“ acti-vities as a Marie Curie Fellow.

the “back-end-of-line“ (Beol) Group is working in the sense of the “More than Moore“ strategy of IHp on the integration of modules into the Si BiCMoS technology. one focus are so-called “embedded non-volatile memory“ (e-nVM) modules in the IHp BiCMoS technology for future sensor network applications. the so-called RRAM approach of the IHp is based on Hfo2-based metal-insulator-metal memory cells whose resistance is reversibly switchable by electric pulses.

Page 29: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

28 A n n u A l R e p o R t 2 0 2

d a S J a h r 2 0 1 2 – u p d a t e 2 0 1 2 d a S J a h r 2 0 1 2 – u p d a t e 2 0 1 2

Im September 2012 startete gemeinsam mit der TU Darmstadt ein neues DFG-Projekt, um die Materialphy-sik des reversiblen Schaltens im Detail zu verstehen und zu optimieren. Darüber hinaus wurde ein BMBF NanoMatFut-Antrag (gemeinsam mit dem Forschungs-zentrum Jülich und der Firma X-FAB) in der ersten Stufe positiv evaluiert und steht nun kurz vor der fi-nalen Begutachtung, um in dieser Thematik eine un-abhängige Nachwuchsgruppe am IHP zu etablieren. Im Rahmen der vom Land Brandenburg geförderten biomedizinischen Projekte gelang die BEOL-Integration von Aluminiumnitrid (AlN)-Schichten, die aufgrund der hohen akustischen Geschwindigkeit von hohem Inter-esse für „Surface Acoustic Wave (SAW)“-Sensoren sind. Komplette SAW-Bauteile für Frequenzen von etwa 4 GHz konnten in einen konventionellen Silizium-CMOS-Pro-zess integriert werden. Derartige Bauelemente werden häufig zur Messung von Druck und Temperatur oder für mikrofluidische Anwendungen benötigt. Z. Zt. werden ferner spezifische Oberflächenfunktionalisierungen für die Biomolekülsensorik erarbeitet, die die Grundlage für weitere SAW-Projekte bilden werden.

Im Gemeinsamen Labor IHP / BTU untersucht das vom BMU geförderte Verbundprojekt Solar-WinS, das im Frühjahr 2011 gestartet wurde, das Verhalten von hoch-reinem multi-kristallinem Silizium. Dabei stehen Unter-suchungen der Verteilung nichtdotierender Verunreini-gungen (O, C und N) und der elektrischen Aktivität von Kristalldefekten, Korngrenzen und Verunreinigungen mit verschiedenen diagnostischen Methoden im Mittel-punkt der Arbeiten. Im BMBF-Verbundprojekt PVcomB, das noch bis 2014 läuft, wird die elektrische und op-tische Defektanalytik für die Dünnfilmphotovoltaik wei-terentwickelt und insbesondere für a-Si / c-Si-Strukturen auf Glas eingesetzt. Das BMBF-Verbundprojekt SINOVA, in dem Grundlagenuntersuchungen zu Supergittern c-Si / SiO2 durchgeführt wurden, wurde im Frühjahr 2012 erfolgreich abgeschlossen.

In September 2012, together with the technical uni-versity of Darmstadt, a new DFG project was started to understand and optimize the physics of the revers-ible switching material in detail. In addition, a BMBF nanoMatFut-application (together with the Research Centre Jülich and the company X-FAB) was positively evaluated in the first stage and is now nearing the final examination in order to establish an indepen-dent research group at the IHp in this area. As part of the federal state of Brandenburg funded biomedical projects, the Beol integration of aluminum nitride (Aln)-layers was successful, which is of high interest for “surface acoustic wave (SAW)” sensors due to the high acous-tic velocity. Complete SAW devices for frequencies of about 4 GHz were integrated into a conventional silicon CMoS process. Such devices are often required for the measurement of pressure and temperature, or for microfluidic applications. Cur-rently, further specific surface functionalization for biomolecule sensors are being developed, which will form the basis for further SAW projects.

In the Joint lab IHp / Btu the BMu-funded joint project SolarWinS, which was launched in spring 2011, investigates the behavior of high purity multi-crystalline silicon. the investigation of the impurity distribution (o, C and n) and the electrical activity of crystal defects, grain boundaries and impurities with different diagnostic methods are in the focus. In the BMBF project pVcomB, which runs until 2014, the electrical and optical defect analysis for thin film photovoltaic is further developed and is especial-ly used for a-Si / c-Si-structures on glass. the BMBF project SInoVA, where basic research on super-lattices c-Si / Sio2 was carried out, was successfully con- cluded in early 2012.

Page 30: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

29A n n u A l R e p o R t 2 0 2

d a S J a h r 2 0 1 2 – u p d a t e 2 0 1 2 d a S J a h r 2 0 1 2 – u p d a t e 2 0 1 2

Für das BMBF-Verbundprojekt SiGe-TE (Silizium- und Silizium-Germanium-Dünnfilme für thermoelektrische Anwendungen) konnten im 3. Quartal 2012 die ersten Funktionsmuster siliziumbasierter thermoelektrischer Generatoren mit Versetzungsnetzwerk realisiert wer-den. Im Ergebnis der derzeit laufenden Auswertungen werden Schlussfolgerungen zu Möglichkeiten und Gren-zen derartiger in Si-Technologien integrierbarer Ther-moelektrogeneratoren erwartet. Weiterhin wurde in der Zusammenarbeit mit dem MPI für Mikrostrukturphysik Halle ein ungewöhnlicher ‚super-metallischer‘ Trans-port von Ladungsträgern entlang von Versetzungen beobachtet.

Die Zusammenarbeit mit der Siltronic AG über Gettern in zukünftigen Si-Wafern verlief auch in 2012 sehr er-folgreich, sodass die Kooperation weitergeführt wird.

In der bilateralen Zusammenarbeit mit der Universität Stuttgart wurde 2012 begonnen, GeSn-Strukturen auf Si zu untersuchen, die beispielsweise für integrierte IR-Detektoren von Interesse sind.

In the BMBF project SiGe-te (silicon and silicon-ger-manium thin films for thermoelectric applications) the first functional prototypes of silicon-based ther-moelectric generators with dislocation networks were realized in the 3rd quarter of 2012. As a result of the ongoing evaluations, conclusions on possibilities and limitations of such in Si technologies integratable thermoelectric generators are expected. Further-more, in collaboration with Max planck Institute of Microstructure physics, Halle, an unusual, ‚super-me-tallic‘ transport of charge carriers along dislocations was observed.

the cooperation with Siltronic on gettering in future silicon wafers was also very successful in 2012, so that the cooperation will continue.

In bilateral cooperation with university of Stuttgart the investigation of GeSn-structures on Si, which are for example interesting for IR integrated detectors, was started in 2012.

Besuch der Ministerin für Wissenschaft, Forschung und Kultur des Landes Brandenburg – Prof. Dr. Sabine Kunst in Diskussion mit Dr. Gunter Fischer (IHP).Visit of the Minister of Science, Research and Culture, State of Brandenburg – prof. Sabine Kunst in discussion with Dr. Gunter Fischer (IHp).

Page 31: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

0 A n n u A l R e p o R t 2 0 2

Selected Projects

Page 32: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

ErweiterteSicherheitfürkritischeInfrastrukturen

Ziel des Projektes ist die Entwicklung einer ganzheit-lichen Sicherheitslösung für die industrielle Informa- tionstechnik (IIT) von kritischen Infrastrukturen.

In den letzten Jahren ist in der industriellen Informations-technik ein Trend weg von den proprietären, kabelgebunde-nen und abgeschlossenen Bussystemen hin zu drahtlosen, standardisierten und offenen Verbindungsnetzwerken zu verzeichnen. Durch die Verbindung dieser Technologien werden die Systeme, die die Phänomene der realen Welt überwachen, in ein gemeinschaftliches Netz eingebun-den. Derartige Systeme werden als Cyber Physical Systems (CPS) bezeichnet und stellen die Sicherheit von industri-ellen Informationssystemen vor völlig neue Probleme. Das steigende Bedrohungspotential und die Schwierigkeit der Abwehr von Angriffen sind bereits heute sichtbar.

Die im Rahmen des Projektes entstandene Lösung, soll den Anwendern bei der Erfassung des Soll-Zustandes und der Überwachung des Ist-Zustandes seiner Anlage unterstützen. Hierzu wurden ein Planungswerkzeug, ein verteiltes Expertensystem, eine Sicherheitsbiblio-thek und eine Simulationsumgebung erstellt.

Das Planungswerkzeug, der ESCI-Topologieeditor, dient dem Erfassen des Soll-Zustandes der Anlage. Hierzu wird aus den Planungsdaten eine graphische Übersicht erstellt, die die Topologie, die Kommunikationsflüs-se und die Protokolldaten der Anlage darstellt. Auf Grundlage dieser Darstellung kann der Anwender eine sicherheitstechnische Instrumentierung seiner Anlage durchführen und die Projektierungsunterlagen um eine notwendige Sicherheitsbeschreibung ergänzen. Aus den erweiterten Projektierungsdaten wird die Beschreibung des Soll-Zustandes erstellt. (Abb. 1)

Zur Überwachung des Ist-Zustandes vergleicht das plattform-unabhängige Expertensystem JavaExS den aktuellen Zustand der Anlage mit der Beschreibung des Soll-Zustandes. Hierbei weisen Abweichungen auf Ano-malien hin, die zwar nicht zwingend eine Sicherheitsver-

Enhanced Security for Critical Infrastructures

this project aims at developing of a holistic security solution for industrial information systems of Critical Infrastructures.

In recent years there is a trend in the industrial infor-mation technology from proprietary, wired and closed bus systems to wireless, standardized and open com-munication systems. By combining these technolo-gies, systems which monitor real world phenomena, will be integrated in a common network. Such systems are called Cyber physical Systems (CpS) and confront the security of industrial information systems with completely new problems. the increasing potential of threats and the problem of defense against attacks are already visible.

In order to support the user in determining the target state and to monitor the actual state of his facility, a planning tool, a distributed expert system, a secu-rity library and a simulation environment were deve- loped.

the planning tool, the eSCI topology editor, will be used to determine the target state of the facility. For this, a graphical overview will be created based on the plan-ning information. the graphical overview illustrates the topology, the communication flows and the protocol data. Based on this overview the user can determine the security-related technical instrumentation of his fa- cility and can supplement its planning information with the required security description. the extended plan-ning information will be used to generate a description of the target state of its equipment. (Fig. 1)

For monitoring the actual state the expert system JavaexS compares the current values of the equip-ment with the target state description. Deviations indicate an anomaly, which does not have to be a se-curity violation, but can be interpreted as a strong

Page 33: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

2 A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

letzung darstellen müssen, aber ein starkes Indiz hierfür sein können. JavaExS ist vollständig in Java umgesetzt und kann auf allen Geräten eingesetzt werden, die über eine J2ME-Plattform verfügen. Der verteilte Einsatz von JavaExS bildet die Grundlage der dezentralen Überwa-chung der Anlage. Sie erlaubt eine detaillierte Unter-suchung von Systemereignissen ohne das Verhalten der Anlage zu verändern oder diese gar zu beeinträchtigen.

Für eine vertrauenswürdige Ereignisübermittlung sind eine Authentifizierung der Teilnehmer und ein Integri-tätsschutz der übertragenen Daten notwendig. Hierzu wurde im Rahmen des Projektes eine Sicherheitsbi- bliothek entwickelt, die Algorithmen zum Erstellen und Prüfen von Signaturen und zum Verschlüsseln von Da-ten für eingebettete Systeme bereitstellt. Sie bildet in Verbindung mit dem JavaExS die Kernkomponente der verteilten Sicherheitsplattform.

Darüber hinaus wurde durch eine Erweiterung der Si-mulationsumgebung OMNet++ eine Möglichkeit zum Validieren der sicherheitstechnischen Instrumentierung der Anlage erstellt. So können in der Simulation Proto-kollabläufe und Sicherheitsprofile erprobt werden, ohne in den Ablauf der IIT eingreifen zu müssen.

indication of a security issuer. JavaexS is completely implemented in Java and can be used in all devices which provide a J2Me platform. the distributed ope-ration of JavaexS is the basis of the decentralized monitoring of the facility. It allows a deep analysis of system events without changing the behavior of the equipment or even affecting it.

A trustworthy event transmission requires an authen-tication of participants and an integrity protection of the transmitted data. For this, a security library well suital for being used on embedded system was developed. It provides algorithms for generating and verifying signatures as well as encrypting data. In combination with the JavaexS it is the core of the distributed security platform.

Furthermore, an extension of the simulation environ-ment oMnet++ was implemented that allows valida-tion of the security-related technical instrumenta-tion. the simulation allows testing of protocol flows and security schemes without affecting productive operations.

Abb. 1: Workflow zur Erfassung des Soll-Zustandes und zur sicherheitstechnischen Instrumentierung der IIT.Fig. 1: Workflow for determination of the target state and for a security-related technical instrumentation of the IIt.

Page 34: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t Sa u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

MATRIX–MiddlewarefürdieRealisierunginternetbasiertertelemedizinischerDienste

Das Ziel des Projektes MATRIX war die Entwicklung einer offenen, auf Standards basierenden Middleware-Platt-form für telemedizinische Dienste, die sich über extrem heterogene Geräteklassen vom Server, über mobile Kom-munikationsgeräte bis hin zum integrierten Sensorkno-ten zur Aufnahme von Vital- und Umweltdaten, erstreckt. Die Plattform organisiert den Umgang mit heterogenen Netzen und bietet einen starken integrierten Schutz der Privatsphäre der Patienten bei telemedizinischen Diens-ten. Die tatsächliche technische Umsetzung der teleme-dizinischen Dienste bleibt für den Nutzer als auch für den Dienstentwickler transparent.

Kernaufgabe des IHP war die Entwicklung und exempla-rische Implementierung einer generischen Middleware-Plattform, die auf bestehende Infrastrukturen aufsetzt und eine durchgängige, transparente Anwendung der MATRIX-Basiskonzepte unter Wahrung aller benötigten Sicherheitsmaßnahmen zulässt. Der Aufbau des Sys-tems ist in Abb. 2 dargestellt.

MATRIX – Middleware for Realizing Internet-Based Telemedical Services

the goal of this project was to develop an open and standardized middleware platform for telemedical ser-vices across very heterogeneous entities from sensors for vital and environmental parameters over handheld devices to full grown pC’s or server infrastructures. the middleware ensures connectivity over various wireless and wired networks, fully integrated strong encryption and integrated privacy protection means for telemedical services and service users. the tech-nical implementation of such services remains hidden for patients and service providers and thereby eases the use of telemedical applications.

the main task of IHp was to design and implement a generic middleware platform based on existing in-frastructures that completely integrates strong security mechanisms. It allows using the basic concepts of se-cure end-to-end telemedical services while all technical details remain transparent for developers and users. the design of the MAtRIX infrastructure is depicted in Fig. 2.

Abb. 2: Aufbau des MATRIX-Systems. Das Telemedizinzentrum (TMCC) ist über das Internet mit den Gateways seiner Patienten verbunden, welche eine Menge verschiedenster Sensoren des Patienten verwalten.Fig. 2: System architecture of the MAtRIX infrastructure. the telemedicine center (tMCC) connects to gateways assigned to patients that mediate and manage communication between various sensors and the tMCC.

Page 35: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

Abb. 3: Layout des IHP-Krypto-Mikroprozessors mit Padframe von 128 Pins. Zusätzlich dargestellt ist die Größe des gesamten Chips im Vergleich zu einer 1-Eurocent-Münze.Fig. 3: the layout of the IHp crypto-microprocessor with 128 pin pad frame. In addition, the total size of the crypto- microcontroller is compared to the size of a one euro-cent coin.

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Das herauszuhebende technische Ergebnis ist, dass mit Hilfe des MATRIX-Projektes eine vollständige Ende-zu-Ende Verschlüsselung zwischen medizinischen und nicht-medizinischen Sensoren und dem telemedizinischen Zen-trum der Charité umgesetzt wurde. Daher ergibt sich die Möglichkeit, sichere telemedizinische Dienste auf beste-hende Infrastrukturen aufzusetzen und über heterogene Netze und Geräte hinweg anzubieten.

Zum Schutz von Patientendaten sichert die MATRIX-Middleware die Integrität erfasster Daten und schützt diese automatisch vor ungewollter Veränderung und nicht-autorisiertem Zugriff. Dazu verfügt die Middle-ware über verschiedene, integrierte Sicherheitsmecha-nismen, die eine Zugangskontrolle, die Datensicherheit und den Schutz der Privatsphäre durch einen geeig- neten Mix aus symmetrischen (AES) und asymme-trischen (ECC) Verschlüsselungsmethoden bereitstellen. Für beide Verschlüsselungsmethoden wurden Hardware-Bausteine im IHP entworfen und in eine angepasste Variante des IHP-Krypto-Mikroprozessors integriert, der das Herzstück der MATRIX-Sensorknoten bildet.

Der im IHP in 250 nm Strukturgröße gefertigte Kryp-to-Mikrokontroller basiert auf dem asynchronen ipms_430x-Prozessorkern der code-kompatibel zur MSP430-Mikrokontroller-Familie von Texas Instrument ist. Er besitzt eine Chipfläche von circa 16 mm2 und kann im 16-Bit- und im 20-Bit-Modus mit erweitertem Adressraum verwendet werden. Abb. 3 zeigt das Layout des Mikroprozessors und dessen Größe im Vergleich zu einer 1-Eurocent-Münze. Verpackt wurde der Chip in einem TQFP-Gehäuse (Größe: 14x20 mm) mit 128 Pins.

the outstanding technical result of the MAtRIX project is that a complete end-to-end encryption between medical and non-medical sensors and the telemedicine center of the Charité was implemented. Based on this, we have given proof of evidence that setting up secure tele-medical services on existing infrastructures and their secure delivery across heterogeneous networks and devices is feasible.

to ensure the protection of patient data, the MAtRIX middleware automatically ensures the integrity of captured data and protects it against undesirable changes and non-authorized access. to this end, the middleware provides fully-integrated security mechanisms that provide access control, data securi-ty and privacy protection through an appropriate mix of symmetric (AeS) and asymmetric (eCC) encryption methods. For both encryption methods, hardware modules designed at IHp have been integrated into an adapted version of the IHp-crypto-enabled micro-processor. this microcontroller builds the core of the MAtRIX sensor nodes.

this microcontroller has been manufactured using the IHp 250 nm CMoS pilot line. It is based on the asyn-chronous processor core ipms_430x, which is code-compatible to the MSp430 microcontroller family from texas Instrument. It has a chip area of about 16 mm2 and can be used in 16-bit and 20-bit mode with an extended address space. Fig. 3 shows the lay-out of the microprocessor and its size compared to a one euro cent coin. the chip was packaged in a tQFp package (size: 14x20 mm) with 128 pins.

Page 36: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

IR-UWBEinchip-Lösung

Im Rahmen des TANDEM-Projekts wurde eine Einchip-Lösung für IR-UWB nach dem Standard IEEE 802.15.4a entwickelt. Dieses IR-UWB-Radio unterstützt eine Da-tenrate von 850 Kbit / s und besteht aus einem HF-Teil, einem A-D-Wandler sowie einem digitalen Basisband. Das komplette System wurde in 250-nm-BiCMOS-Tech-nologie des IHP gefertigt. Die Funkübertragung und der Empfang erfolgen bei 7,9872 GHz. Das System stellt eine der wenigen Einchip-Lösungen für IR-UWB dar, d.h. es integriert die aufwändigen Analog- und Digi-talschaltungen auf einen Chip. Die Lösung erreicht un-ter Laborbedingungen eine Reichweite von circa 12 m bei akzeptabler Paketfehlerrate.

Der IR-UWB-Sender enthält ein digitales Basisband, wel-ches mit einer Taktfrequenz von 31,2 MHz arbeitet und führt Kanalcodierungen wie Reed-Solomon, Faltungsco-dierung sowie binäre Positionsmodulation (BPM) und BPSK durch (siehe Abb.4). Mit einem 16:1-Serialisierer wird das Basisbandsignal auf 499,2 MHz gewandelt. Das Radiofrequenz-Frontend erzeugt aus diesem serialisier-ten digitalen Signal rechteckige Impulse mit 2 ns Dauer. Danach wird das Signal durch ein LPF gaußförmig gefil-tert, um die spektralen Anforderungen zu erfüllen und zuletzt auf 7,9872 GHz hoch gemischt.

Single-Chip Solution for IR-UWB

Within the project tAnDeM a single-chip solution for the standard Ieee 802.15.4a was developed. the IR-uWB wireless system supports a data rate of 850 Kbit / s and contains a high frequency frontend, a digital baseband and an AD converter. the complete system was fabricated using the IHp’s 250 nm BiCMoS tech-nology. the operating frequency is 7.9872 GHz. the solution presents one of very few single-chip reali-zations for IR-uWB worldwide, integrating the elabo-rate analogue as well as digital circuit design on one chip. Initial laboratory measurements demonstrated a 12 m communication range using the IR-uWB. the packet error rate was found to be acceptable. the IR-uWB transmitter comprises a baseband which runs at a clock frequency of 31.2 MHz and performs channel coding algorithms such as Reed Solomon, convolutional coding, binary position modulation (BpM) and BpSK (see Fig. 4). With the 16:1 serializer the baseband signal is translated to a 499.2 MHz se-rial stream. the radio frequency frontend generates rectangular pulses of 2 ns duration. A lpF is used for shaping the rectangular pulses to Gaussian in order to make them fit to the spectrum mask set by FCC and finally the signal is up-converted to 7.9872 GHz.

Abb.4: IR-UWB-Sender.Fig. 4: IR-uWB transmitter.

Abb. 5: IR-UWB-Empfänger. Fig. 5: IR-uWB Receiver.

Page 37: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

6 A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Auf der Empfängerseite findet nach der Antenne eine analoge hochfrequente Signalverarbeitung statt. Dazu gehören LNA, Mischer und Verstärkungsstufe. Es wurde ein Energie-Detektionsempfänger implementiert, wo-bei ein analoges Empfangssignal durch einen Integra-tor über 16 ns integriert wird. Dies erlaubt einen etwas langsameren AD-Wandler einzusetzen, wodurch für den Empfänger eine energiesparende Lösung ermöglicht wird. Der AD-Wandler arbeitet mit einer Abtastrate von 62,4 MHz und besitzt eine Auflösung von 6 Bit (Abb. 5). Zwei parallel arbeitende Integratoren, deren Aus-gänge durch einen Multiplexer geschaltet werden, liefern alle 16 ns die Integrationsergebnisse an den AD-Wandler. Solch ein Algorithmus gibt den Inte-gratoren genügend Zeit zum Zurücksetzen. Die In-tegrationsergebnisse werden danach durch eine SAR-AD-Wandlung mit einer Abtastrate von 62,4 MHz und einer 6 Bit-Auflösung digitalisiert.

Der digitale Basisbandempfänger arbeitet mit einer Takt- frequenz von 31,2 MHz und enthält einen Korrelator für Synchronisation, BPM-Demodulation sowie Reed-Solo-mon-Kanaldecodierung.

Das Basisband umfasst zwei RAM-Speicher mit je 256 Byte Kapazität und eine SPI-Schnittstelle. Durch die SPI-Schnittstelle werden die Pakete vom MAC in den RAM geschrieben. Mit der darauffolgenden Anweisung des Masters wird das Paket übertragen. Das empfan-gene Paket wird im zweiten RAM gespeichert.

At the receiver side the signal is processed first with the RF frontend which contains lnA, down-con- verter and variable gain amplification. the reception is based on an energy detection scheme where the analogue receive signal is integrated over 16 ns. Such a detection method allows adopting a slower AD con-verter which improves the energy efficiency. the AD converter samples at the rate of 62.4 MHz and sup-ports the resolution of 6 bits (see Fig. 5).

two parallel operating integrators are used that deli-ver the results of integration to the AD converter every 16 ns. Switching between the two integrators is achie-ved with a multiplexer. Such an algorithm guarantees enough time for the integrators to discharge com- pletely so that every integration can start from zero. the integration results are then sampled with the rate of 62.4 MHz by the SAR AD converter. the baseband receiver runs at the clock rate of 31.2 MHz and contains correlators for achieving syn-chronization, BpM demodulation and Reed-Solomon decoding.

two RAM memories, both with the capacity of 256 bytes, are integrated into the baseband for storing the data to be transmitted and received. Additionally, the baseband has a built-in SpI interface for communicating with the outside world. through this interface RAMs can be read and written. With a certain instruction from the master, packets can be transmitted from the RAM and the re- ceive packet can be stored in the receive RAM.

Abb. 6: Layout-Bild des Einchip-IR-UWB. Fig.6: layout photo of the single chip IR-uWB.

hF-

Fron

tend

Base

band

Int&ad Converter

Page 38: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

7A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Abb. 7: IR-UWB-Sendesignal am Eingang der Antenne.Fig. 7: IR-uWB transmit signal waveform at the input to the antenna.

Abb. 8: Demonstrator mit IHP-Krypto-Mikroprozessor und Einchip IR-UWB.Fig. 8: Demonstrator with IHp crypto-microprocessor and IR-uWB single chip.

Das komplette System wurde in einen Chip integriert; das Layout ist in Abb. 6 veranschaulicht. Das Design bedarf nur einer Siliziumfläche von 3,25 x 3,25 mm2. Das Sendesignal, das einem Paket entspricht, ist in Abb. 7 illustriert. Das Signal besitzt eine Form, die die spektrale Emissionsbedingung von -41 dBm / MHz er-füllt. Dieses IR-UWB kann in verschiedenen Bereichen eingesetzt werden, wobei der Energieverbrauch wegen der Batterieversorgung gering sein muss. Einsatzbei-spiele sind u.a. BAN (body area network)- und Sen-sornetzwerke mit stromsparender Funkübertragung. Für Demonstrationszwecke wurde der IR-UWB-Einchip-Transceiver in einen Sensorknoten integriert, welcher andere Komponenten wie z.B. den IHP-Krypto-Mikropro-zessor und verschiedene Schnittstellen UART / SPI / I2C enthält (siehe Abb. 8). Diese Sensorknoten können für die Übertragung der Sensordaten genutzt werden.

the complete system was realized on a single chip as visualized in Fig. 6 which only requires a silicon area of 3.25 x 3.25 mm2.

the transmit signal waveform corresponding to one packet is illustrated in Fig. 7. As can be seen the signal possesses a suitable shape and satisfies the requirements of the spectrum mask which is -41.3 dBm / MHz. the single chip IR-uWB can be applied in a number of areas where battery powered devices are of interest and energy consumption should be low. examples of such areas include BAn (body area network), sensor networks and many others which require energy saving wireless commu-nication. For demonstration purposes the IR-uWB chip was integrated into a sensor node from IHp with an IHp crypto-microprocessor, which encom-passes other components such as microcontrollers, several other interfaces like uARt / SpI / I2C and memory elements (see Fig. 8). these nodes can be applied for transmitting data from sensor nodes.

Page 39: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

8 A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Middleware-Switch-ASIC

Ziel des Projektes war es, mit Hilfe einer speziellen ASIC-Entwicklung den Aufbau neuartiger netzwerkzentrischer Systemkonzepte zu ermöglichen. Grundlage dafür bildete das von der DLR in Bremen entwickelte „Spacecraft Area Network“ (SCAN).

Eine solche für Raumfahrtanwendungen entwickelte Systemarchitektur ist in der Lage, ständig wachsende Anforderungen an die Systemzuverlässigkeit mit einem gleichzeitig niedrigen Kostenaufwand zu verbinden. Dieses Ziel wird hauptsächlich dadurch erreicht, dass eine hochzuverlässige zentrale Schaltkomponente, der sogenannte Middleware-Switch, mit weniger zu-verlässigen, dafür aber redundanten Komponenten in effizienter Weise verbunden wird (Abb. 9). Diese so verbundenen Komponenten sind als „commercial-off-the-shelf“ (COTS)-Komponenten kostengünstig und ohne zusätzlichen Entwicklungsaufwand oder etwaige US-Exportrestriktionen (ITAR) auf dem freien Markt verfügbar. Außerdem kann eine solche Architektur sehr einfach und flexibel für unterschiedlichste Anwen-dungen konfiguriert werden.

Middleware-Switch ASIC

the project goal was to enable the construction of an innovative network centric system concept, using a special ASIC development. the concept is based on the “Spacecraft Area network” (SCAn) approach, developed by DlR in Bremen.

Such a system architecture, which was developed for space applications, has the ability to simultaneously answer the constantly growing requirements regard-ing high system-reliability and low cost development. this objective is mainly achieved by the fact that a highly reliable central unit, the so-called Middleware-Switch, is connected with less reliable, but redundant components in an efficient way. the SCAn system architecture, together with Middleware Switch is represented in Fig. 9. these less-reliable components, connected in the mentioned way are known as „com-mercial-off-the-shelf“ (CotS) – components. they are cost-effective and available on the free market without additional development requirements or any uS-export restrictions. Furthermore, such an archi-tecture can also be very easily and flexibly configured for a wide range of applications.

Abb. 9: Die SCAN-Systemarchitektur.Fig. 9: the SCAn system architecture.

Page 40: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

9A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Während der Projektlaufzeit wurde die zentrale SCAN-Komponente, der Middleware-Switch, im IHP entwickelt und hergestellt. Dabei handelt es sich um eine noch nicht strahlungsfeste Evaluierungsversion, die zunächst für umfangreiche Systemtests dienen soll. Der Switch selbst besitzt eine große Zahl an seriellen Schnittstel-len für die Kommunikation zwischen den angeschlos-senen Komponenten. Um eine reibungslose Kommuni-kation zu ermöglichen, müssen die unterschiedlichen Schnittstellen flexibel konfigurierbar sein. Außerdem werden die sehr unterschiedlichen Protokolle der an-kommenden Datenströme automatisch in ein einheit-liches internes Format konvertiert, um das problemlose Routing der Daten zu gewährleisten. Vor dem Versen-den erfolgt die Protokoll-Rückkonvertierung passend für die jeweilige Zielkomponente. Um den unterschied-lichen Übertragungsgeschwindigkeiten besser gerecht zu werden, wurden sowohl ein großer Crossbar-Switch, als auch mehrere DMA-Kanäle vorgesehen (Abb. 10).

During the project phase, the central component of the SCAn system, the Middleware-Switch, was de- veloped and produced in the IHp. the produced pro-cessor was used for extensive system tests and the-refore was not yet a radiation hardened version. the switch itself has a large number of serial ports in order to provide communication with the connected com-ponents. In order to allow smooth communication, the different interfaces must be flexible and configurable. In addition, extremely different protocols of the in-coming data streams are automatically converted into a uniform internal format, in order to provide easy data routing. Before data sending, protocol con-version suitable for the respective target component takes place. In order to provide support for the diffe-rent transmission speeds, the processor implements a large crossbar switch as well as several DMA-channels. the block diagram of the Middleware is shown in the Fig. 10.

Abb. 10: Blockschaltbild des Middleware-Switch-ASIC‘s.Fig. 10: Block Diagram of the Middleware Switch ASIC.

Page 41: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

0 A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Die Vermittlung zwischen den Komponenten erfolgt nach einem sogenannten Publisher-Subscriber Modell. Hierbei werden alle Nachrichten mit einer Topic-ID ver-sehen, die den Inhalt der Nachricht klassifiziert. Der Switch verwaltet eine Topic-ID Liste, um ankommende Nachrichten (vom Publisher) den richtigen Empfän-gern (den Subscribern) zuordnen zu können. Einfache Komponenten wie Sensoren müssen daher nicht das Ziel ihrer Sensordaten kennen. Es genügt, die Nachricht mit einer ID zu versehen, die den Inhalt (zum Beispiel „Temperatur von Gerät x“) kennzeichnet. Bei sehr ein-fachen Sensoren, die nur ihre Sensordaten senden kön-nen, kann diese Topic-ID auch im Middleware-Switch für den entsprechenden Port vorkonfiguriert werden.

Der ASIC verfügt über eine 32-bit Leon-2 CPU mit 2x4 kByte Cache, 18 DMA-Kanäle, einen 7x7 Crossbar-Switch, 14x2 kByte Fifo’s, 14 serielle Vollduplex-IO-Ports (bis 50 Mbit / s), eine SRAM-Schnittstelle mit Fehlerkor-rektur sowie mehrere Timer und ein Debug-Interface. Die Herstellung des ASIC’s erfolgte in der IHP SGB25V-Tech-nologie (Abb. 11). Die Chipfläche beträgt 64 mm2 und die Montage erfolgte in ein CQFP-Keramikgehäuse mit 208 Pins. Die erfolgreichen Tests ergaben bei 100 MHz Taktfrequenz eine maximal aufgenommene Leistung von etwa 2.5 W.

the message distribution system is based on a pub-lisher / subscriber model. Here, each message has its own message topic identifier (tID), which classifies the contents of the message. the switch maintains a topic ID list in order to provide a correct transfer of the incoming messages (from the publisher) to the prop-er recipients (the subscriber). Simple components such as sensors don‘t need to know the destination of the collected data. It is sufficient to identify the con-tent of a message (for example, “temperature of the device X”) with a tID. For very simple sensors, which can send only the related sensor data, the topic-ID can be preconfigured directly in the corresponding Middleware Switch port.

the ASIC implements a 32-bit leon-2 Cpu with 2x4 Kbyte cache, 18 DMA-channels, a 7x7 Crossbar-Switch, 14x2 Kbyte FIFos, 14 serial full-duplex-Io-ports (max 50 Mbit / s), a SRAM-Interface with error correction as well as timers and Debug-Interface. the ASIC was produced in the IHp SGB25V technology (Fig. 11). the chip area is 64 mm2, packaged in the ceramic CQFp package with 208 pins. the chip was successfully tested at the clock frequency of 100 MHz and power supply of 2.5 W.

Fig. 11: Chip Photo of the Middleware Switch.Abb.11: Chipfoto des Middleware-Switch‘s.

Page 42: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

12-Bit1,5GS/sDigital-Analog-Wandler-PrototypfürRaumfahrtanwendungen

Bei der Hochgeschwindigkeits-Datenübertragung, wie z.B. optischen, Radar- oder Satelliten-Kommunika- tionssystemen, werden Digital-Analog-Wandler (DAC) mittlerer Auflösung (4 – 12 Bits) und Abtastraten von 0,5 – 10 GHz zum Einsatz kommen. Zu den Anwen-dungsgebieten gehören Basisband-D / A-Signal-Umset-zung, Signal-Erzeugung in Ultra Breitband (UWB)-Kom-munikationssystemen und die direkte digitale Synthese (DDS). Die nächste Generation von Telekommunika-tions-Satelliten wird neben einem Space-Borne-Data- Relay auch über die Möglichkeit der Datenverarbeitung verfügen und Beam-Forming enthalten, um Antennen-signale in Gebiete zu lenken, die einen hohen Bedarf an Datenrate haben. Hierzu muss die Satelliten-Nutzlast äußerst flexibel ausgelegt sein. Daher sind neue welt-raumgeeignete, strahlungsharte Komponenten erfor-derlich. Eine der Kernkomponenten ist ein hochpräziser Hochgeschwindigkeits-DAC.

A 12-Bit 1.5 GS / s Digital-to-Analog-Converter Prototype for Space Applications

In high speed data links, e.g. optical, radar or sat-ellite communication systems, medium resolution (4 – 12 bits) digital-to-analog converters (DAC) with sampling rates of 0.5 – 10 GHz will be in use. Appli-cation examples include baseband D / A signal con-version, signal generation in ultra wideband (uWB) communication systems, and also direct digital syn-thesis (DDS). next generation telecom satellites will not only provide space-borne data relay, but will also allow data processing and make use of beam forming techniques to direct the antenna beam to areas with high capacity demand. to achieve these goals, the satellite payload must be highly flexible. therefore, new space-qualified radiation-hardened components are necessary. one of the key components is a high-precision and high-speed DAC.

Abb. 12: Die Testplatine (bereitgestellt von Projektpartner Kayser-Threde GmbH) für den Test des 12-Bit DAC-Chip-Prototypen (im Gehäuse).Fig. 12: testboard (supplied by the project partner Kayser threde GmbH) for a 12 bit DAC chip (in the housing).

Page 43: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

2 A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Das Ziel dieses Projekts war es, einen weltraumgeeig-neten 12-Bit 1,5 GS / s DAC-Prototypen mit integrierter Kalibrierung für die nächste Generation von digitaler Kommunikations-Nutzlast zu entwerfen. Der entwickel-te DAC-Prototyp-Chip unterstützt bis zu vier 12-Bit-Ein-gangs-Datenkanäle mit internem Multiplexing. Der Ausgangs-Spannungshub und viele weitere Parameter sind mittels SPI-Schnittstelle digital einstellbar. Zu Testzwecken wurden mehrere Testfunktionen wie ein Rampengenerator, statische Register etc. direkt auf dem Chip integriert.

Dieses Projekt wurde von der Europäischen Raumfahrt-behörde finanziert und zusammen mit der Kayser-Threde GmbH München und der AdviCo GmbH Recklinghausen durchgeführt.

the goal of this project was to develop a space-quali-fied 12-bit 1.5 GS / s DAC prototype with build-in cali bration for the next generation of digital telecommu-nication payloads. the developed DAC prototype chip supports up to four 12-bit input-data channels, which are internally multiplexed. the output voltage swing as well as many other parameters can be digitally ad-justed via SpI interface. For testing purposes, several build-in test functions were directly implemented on the chip, such as a ramp generator, static registers, etc.

this project was supported by european Space Agency and performed in the cooperation with Kayser-threde GmbH Munich and AdvICo GmbH Recklinghausen.

Abb. 13: Die Einzelton SFDR-(Spurious Free Dynamic Range) Messung bei 100 MHz.Fig. 13: the single-tone SFDR (Spurious Free Dynamic Range) measurement at 100 MHz.

Abb. 14: Das 100 MHz Sinus-Signal bei unterschiedlichen Hüben des Ausgangssignals mit 1,5 GS / s Abtastrate.Fig. 14: the 100 MHz sinusoidal signal sampled at 1.5 GS / s at various output signal swings.

Page 44: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

FlexiblerBreitbandsynthesizerfürRaumfahrtanwendungen

Ziel des Projektes ist es, einen programmierbaren 8–12 GHz-Frequenzsynthesizer zu entwickeln, der eine hohe spektrale Reinheit aufweist.

Flexible Nutzlasten in der Satellitenkommunikation erfordern einen Frequenzsynthesizer, der über einen großen Frequenzbereich in sehr kleinen Schritten ab-gestimmt werden kann. Gleichzeitig müssen Phasen-rauschen und Seitenbänder (Spurs) sehr niedrig sein, um eine gute Signalqualität zu erzielen. Des Weiteren müssen die Schaltkreise robust gegenüber Strahlung, Temperaturschwankungen und Alterung sein.

Gemeinsam mit der Kayser-Threde GmbH und der IMST GmbH wurde hierzu ein Chip entwickelt, der in Abb. 15 gezeigt ist. Er basiert auf zwei integrierten spannungs-gesteuerten Oszillatoren (VCO), die in einer Phasen- regelschleife (fractional-N PLL) eingebettet sind.

Die VCOs wurden mittels SiGe-HBTs auf ein geringes Phasenrauschen optimiert. Die Frequenzteiler wurden in ECL-Logik entworfen, während die langsameren Di-gitalbausteine in CMOS entworfen wurden. Das geringe Spur-Niveau und die Robustheit bezüglich Parameter-schwankungen wurde durch eine neuartige PLL-Archi-tektur erzielt [IEEE TCAS1, vol. 57(8), 2010, p. 1914].

Flexible Wideband Synthesizer for Space Applications

the goal is to develop a programmable 8–12 GHz fre-quency synthesizer, which shows a high spectral pu-rity.

Flexible payloads for satellite communications require a frequency synthesizer which can be tuned in very small steps over a wide frequency range. At the same time, phase noise and spurious tones (spurs) must be very low to allow a good signal quality. Moreover, the circuits must be robust with respect to radiation, temperature variations and aging.

together with the Kayser-threde GmbH and the IMSt GmbH we developed a chip shown in Fig. 15. the chip is based on a set of two integrated voltage-controlled oscillators (VCo) embedded in a fractional-n phase-locked loop (pll).

the VCos were optimized for a low phase noise by using SiGe-HBts. the frequency divider was designed in eCl logic, whereas the low-speed digital blocks were designed in CMoS. the low level of spurs was achieved by using a novel pll architecture [Ieee tCAS1, vol. 57(8), 2010, p. 1914].

Abb. 15: Chipphoto.Fig. 15: Chip photograph.

Page 45: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Abb. 16 zeigt die 8 Frequenzbänder eines der VCOs, wel-che den geforderten Abstimmbereich von 10–12 GHz ausreichend überdecken. Außerdem ist ein typisches Ausgangsspektrum in fractional-N Modus dargestellt.

Zur Minimierung des Phasenrauschens wurde ein program-mierbarer Offsetstrom am Ausgang der Ladungspumpe implementiert. Er ermöglicht eine Optimierung des Pha-sendetektors auf hohe Linearität. Im Ergebnis lassen sich Phasenfehler am PLL-Ausgang von etwa 1° RMS erzielen, wie in Abb. 17 gezeigt ist. Aufgrund der neuartigen PLL-Architektur ist dieses Verhalten nahezu unabhängig von Temperatur und Alterung. Der Chip ist voll funktionsfähig im Temperaturbereich von -30 bis 100°C.

Fig. 16 shows the 8 frequency bands of one of the two VCos covering the required tuning range from 10 to 12 GHz with sufficient margin. Moreover, a typical output spectrum in fractional-n operation is shown.

to minimize the phase noise a programmable offset current was added to the charge pump output. It allows the optimization of the pll phase detector with respect to linearity. As a result, rms phase er-rors around 1° can be achieved at the pll output, as shown in Fig. 17. Due to the novel pll architecture, this behavior is nearly independent of temperature and aging. the chip is fully functional between -30 and 100°C.

Abb. 16: Ausgangsfrequenz eines der zwei VCOs und typisches PLL Ausgangsspektrum.Fig. 16: output frequency of one of the two VCos and typical pll output spectrum.

Abb. 17: Integrierter Phasenfehler als Funktion der Ladungspumpenströme.Fig. 17: Integrated phase error as a function of charge pump currents.

Page 46: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

80 Gb / s Decision Feedback Equalizer

the data rate of fiber optic communication links is continuously growing to cope with the increasing internet traffic. It is expected that in the course of the next four years, the data rate of ethernet links will increase by a factor of four compared with the current bit rate of the 100Gbe standard, that is 400 Gb / s. A main obstacle for operation at such high data rates in fiber optic communications systems is intersymbol interference (ISI). ISI is a form of distortion of the transmitted signal, which leads to errors in bit decisi-on on the receiver side. electronic equalizers are used to mitigate the effect of ISI, thus supporting higher bit rates.

the goal of the project was to design an electronic 1-tap decision feedback equalizer (DFe) to enable ISI mitigation for 80 Gb / s data rates.

80Gb/sDatenentscheidermitRückkopplungsschleife

Die Datenraten bei Glasfaserkommunikationsverbin-dungen wachsen kontinuierlich um die erhöhten Da-tenströme des Internets zu bewältigen. Es wird erwar-tet, dass sich die Datenraten der Ethernetverbindungen innerhalb der nächsten vier Jahre im Vergleich zu den jetzigen Bit-Raten des 100GbE-Standards um einen Faktor vier erhöhen. Eine der größten Hindernisse für Glasfaserkommunikationssysteme bei so hohen Daten-raten ist die Intersymbol-Interference (ISI). Die ISI ist eine Art Verzerrung des gesendeten Signals, die zu Feh-lern bei der empfängerseitigen Bit-Entscheidung führt. Elektronische Equalizer werden eingesetzt um den ISI- Effekt abzuschwächen und dadurch höhere Datenraten unterstützen zu können.

Das Ziel dieses Projekts war das Design eines elektro-nischen 1-tap Datenentscheiders mit Rückkopplungs-schleife (DFE) zur Unterdrückung der ISI bei Datenra-ten von 80 Gb / s.

Abb. 18: 80 Gb / s Datenentscheider mit Rückkopplungsschleife.Fig. 18: 80 Gb / s Decision Feedback equalizer.

Page 47: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

6 A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

In a project funded by the German Research Founda-tion (DFG), the university of paderborn and IHp de-veloped a new architecture for DFes to overcome the feedback delay, which presents the bottleneck in DFe design for high bit rates. two parallel data paths and two separate feedback loops are used for the even and odd bits to decrease the bit rate within each of them to half the bit rate at the input. Based on this architecture, an integrated chip has been designed and fabricated in IHp SG13S 0.13 µm technology. the Chip is shown in Fig. 18.

A module for measuring the chip was designed and fabricated in the IHp prototype lab. the module was sent to Bell labs, Alcatel-lucent for full rate 80 Gb / s measurement. the measurement setup is shown in Fig. 19, where a data signal emulator (DSe) generated electronic and opto-electronic ISI. the DFe enables data transmission with a bit error rate (BeR) below 10 – 9.

Im Rahmen dieses Projektes, das durch die Deutsche Forschungsgemeinschaft (DFG) finanziert wurde, ent-wickelten die Universität Paderborn und das IHP eine neue DFE-Architektur, die das Problem von Rückkopp-lungsverzögerungen, das begrenzende Kriterium in DFE-Entwürfen für hohe Bit-Raten, überwindet. Zwei parallele Datenpfade und zwei separate Rückkopp-lungsschleifen kommen für die Übertragung von gera-den und ungeraden Bits zum Einsatz um die Bitrate im einzelnen Pfad zu verringern. Die halbe Bitrate ergibt sich hierdurch am Eingang. Es wurde ein integrierter Chip (Abb.18) entwickelt und in der IHP-SG13S- 0,13 μm-Technologie hergestellt, der auf der beschrie-benen Architektur basiert.

Des Weiteren wurde ein Modul zur Charakterisierung des Chips entwickelt und im Prototypenlabor des IHPs hergestellt. Das Modul wurde in den Bell Labs, Alcatel- Lucent durch Messungen bei voller Datenrate von 80 Gb / s getestet. Die Messkonfiguration zeigt Abb. 19. Dabei wurden durch einen Daten-Signal-Emulator (DSE) elektronische und opto-elektronische ISI er-zeugt. Der DFE ermöglicht Datenübertragung mit einer Bitfehlerrate (BER) unterhalb von 10 – 9.

Abb. 19: Messkonfiguration bei voller Datenrate von 80 Gb / s.Fig. 19: Measurement setup at the full rate of 80 Gb / s.

Page 48: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

7A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

DOTSEVEN

Gemeinsam mit 14 Partnern aus 6 europäischen Län-dern beteiligt sich das IHP am Projekt DOTSEVEN. Mit dem von der EU geförderten Projekt wird das Ziel verfolgt, die maximale Grenzfrequenz von Silizium- Germanium-Hetero-Bipolartransistoren (SiGe-HBTs) auf 0.7 Terahertz (= 700 Gigahertz) zu steigern und die Leistungsfähigkeit solcher Transistoren in Schaltungen und auf Systemebene zu demonstrieren. Das mit einer Laufzeit von 3½ Jahren geplante Projekt DOTSEVEN startete am 1. Oktober 2012. Es schließt in Zielrichtung und Aufgabenstruktur an das 2011 erfolgreich beende-te DOTFIVE-Projekt an.

Im Ergebnis von DOTFIVE konnten erstmals SiGe-HBTs mit einer maximalen Schwingfrequenz (fmax) von 500 GHz sowie einem neuen Rekordwert für die CML-Ringos-zillator-Gatterverzögerungszeit von 1,9 ps vorgestellt werden (Abb. 20). Außerdem gelang die Entwicklung von Schaltkreisen mit Arbeitsfrequenzen bis 1 THz. Damit sind SiGe-HBTs interessante Kandidaten für An-wendungen im mm- und sub-mm-Wellenlängenbereich, wozu z.B. drahtlose Punkt-zu-Punkt-Kommunikations-systeme bei >120 GHz, extrem breitbandige Analog-Digital-Konverter, optische Übertragungssysteme bei 400 Gb / s, hochauflösendes Autoradar bei 140 GHz, mobile Kommunikationssysteme der 4. Generation oder Sensor- und bildgebende Systeme für Industrie, Medi-zin sowie Sicherheitstechnik zählen.

DOTSEVEN

together with 14 partners from 6 european countries IHp participates in the project DotSeVen. this eu funded project aims to increase the maximum cut-off frequency of Silicon-Germanium Hetero-Bipolar-transistors (SiGe HBts) to 0.7 terahertz (=700 giga-hertz) and to demonstrate the performance potential of such transistors on a circuit and system level. the DotSeVen project, envisaged for a period of 3½ years, started on october 1, 2012. It follows up on the Dot-FIVe project which was finished successfully in 2011.

In this project, SiGe HBts with a maximum oscillation frequency (fmax) of 500 GHz were presented for the first time including a new record for the CMl ring-oscillator gate-delay with 1.9 ps (Fig. 20). Moreover, the development of circuits operating up to 1 tHz was successful. thus, SiGe HBts are promising can-didates for applications in the (sub)mm-wavelength range, including e.g. wireless point-to-point commu-nication systems at >120 GHz, extremely broadband analog-to-digital converters, optical transmission systems at 400 Gb / s, high-resolution automotive ra-dar at 140 GHz, mobile communication systems of the fourth generation or sensing and imaging systems for industrial, medical or safety purposes.

Abb. 20: Gatterverzögerungszeiten von CML-Ringoszillatoren der auf der IEDM-Konferenz 2008 bzw. auf der BCTM-Konferenz 2011 vorgestellten Generationen des am IHP entwickelten SiGe-Hetero-Bipolartransistors (HBT) mit selektiver Basis- Epitaxie. Mit minimalen Werten von 2,5 ps bzw. 1,9 ps wurden in beiden Fällen jeweils Rekordwerte präsentiert.Fig. 20: Gate-Delay-times measured at CMl Ring-oscillators presented at the IeDM conference in 2008 and at the BCtM conference in 2011, respectively. the minimum delay times of 2.5 ps and 1.9 ps, respectively, achieved with two subsequent generations of IHp‘s SiGe Hetero- Bipolartransistors (HBt) with selective base-epitaxy, represented record values at these times.

Page 49: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

8 A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Ausgangspunkt für die Arbeiten am IHP im Rahmen von DOTSEVEN sind zwei Technologieentwicklungen, die in den Jahren 2011 und 2012 der Öffentlichkeit vorge-stellt wurden. Zum einen konnten auf Basis eines neuen HBT- Konzeptes mit selektiver Basis-Epitaxie (Abb. 21) auf dem Bipolar / BiCMOS Circuits and Technology Mee-ting (BCTM) 2011 Leistungswerte präsentiert werden, die der DOTFIVE-Zielstellung entsprechen.

Die Erkundung der Leistungsreserven dieser Konstrukti-on gemeinsam mit dem Projektpartner Infineon ist ei-ner der Schwerpunkte für das IHP. Zum anderen wird die 0,13-μm-BiCMOS-Technologie SG13G2 den DOTSEVEN-Partnern für Schaltungspräparationen zur Verfügung gestellt. Der in SG13G2 implementierte HBT-Modul wurde im Rahmen des DOTFIVE-Projektes im 0,25-μm- Technologieniveau entwickelt und anschließend in die 0,13-μm-BiCMOS-Plattform überführt (siehe auch IHP-Jahresbericht 2011). Inzwischen wird dieser Prozess auch über den IHP-MPW-Service angeboten.

Starting point for the work in DotSeVen at IHp are two technological developments that were published in the years 2011 and 2012. First, based on a novel HBt concept with selective base epitaxy (Fig. 21), performance values corresponding to the DotFIVe target were presented at the Bipolar / BiCMoS Circuits and technology Meeting (BCtM) 2011.

the exploration of the potential performance im- provements together with the project partner Infineon is one of the priorities for IHp. Secondly, the 0.13 µm BiCMoS technology SG13G2 will be made available to the DotSeVen partners for circuit pre-parations. the HBt module implemented in SG13G2 results was developed within the DotFIVe project in the quarter micron level and subsequently trans-ferred to the 0.13 µm BiCMoS platform (see also IHp’s Annual Report 2011). Meanwhile, this process is also offered via IHp’s MpW service.

Abb. 21: TEM-Aufnahmen des inneren Bereiches des SiGe-Hetero-Bipolartransistors (HBT) mit selektiver Basis-Epitaxie im Querschnitt. Eine der wesentlichen Verbesserungen der 2011 vorgestellten Generation im Vergleich zu 2008 ist der einkristallin hergestellte Basisanschluss.Fig. 21: teM cross-section pictures of the innner SiGe-Hetero-Bipolar-transistor (HBt) with selective base-epitaxy. one of the fundamental improvements of the 2011 generation compared to 2008 is the single-crystalline base-link region.

Polykristalliner Basisanschlusspoly-Crystalline Base link

Einkristalliner BasisanschlussSingle-Crystalline Base link

Page 50: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

9A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

PhotonicsElectronicsFunctionalIntegrationonCMOS(HELIOS)

Einen Schwerpunkt der gegenwärtigen Forschung und Entwicklung bilden integrierte photonische Techno-logien, basierend auf der Vereinigung von Silizium-IC-Elektronik mit integrierter Optik (Siliziumphotonik).Nachdem die Funktionalität verschiedener silizium-photonischer Basiskomponenten wie Detektoren, Kopp-ler etc. bereits erfolgreich demonstriert wurde, sollte im EU-finanzierten Projekt HELIOS eine neue Quali-tät erreicht werden: die Integration von photonischen Komponenten mit integrierten Schaltkreisen. Das Ziel dieses komplexen Projekts bestand in der Integration von Photonik in einem mikroelektronischen Fabrika- tionsprozess.

Die am IHP durchgeführten Projektarbeiten hatten die Herstellung eines Modulators und Integration mit einem SiGe-Treiber für einen Demonstrator zum Gegen-stand. Die Arbeiten erfolgten in Kooperation mit den Partnern Photline Technologies, TU Berlin, TU Wien und Universität Southampton.

In der ersten Projektphase wurde ein Mach-Zehnder-Modulator-Chip ohne Treiberkomponente entwickelt. Design und Herstellungsprozess basieren auf der SG25-IHP-Technologie unter Verwendung des kom-pletten BEOL (Backend-of-Line), bestehend aus einer 5-Ebenen-Metallisierung, womit die Kompatibilität von Modulator-Design und IHP-Basistechnologie demons-triert werden konnte. Abb. 22 zeigt den Chip mit ver-schiedenen Modulatordesigns.

Photonics Electronics Functional Integration on CMOS (HELIOS)

Current research and development activities focus on integrated photonics technologies, in particular on the convergence of silicon IC technology and inte-grated optics (silicon photonics).Functional demonstration of basic building blocks such as lasers, detectors, couplings etc. has been realized. In the project HelIoS, financed by eu, a new quality was aspired: the integration of photonic components with integrated circuits. the objective of this complex project is the combination of photo-nics with CMoS using a microelectronics fabrication process.

project activities at IHp were the development of silicon photonic modulators and a SiGe driver demon-strator in cooperation with the following project partners: photline technologies, tu Berlin, tu Vienna, university of Southampton.

During the first project period a stand-alone Mach-Zehnder modulator chip without the RF SiGe driver amplifier was realized. the device was designed and fabricated using IHp’s SG25 technology with the complete 5 metal layers Beol module. this demon-strated the compatibility of the modulator design with boundary conditions of the Beol technology. Fig. 22 illustrates the chip with different modulator designs.

Abb. 22: Modulator-Chip. Fig. 22: Modulator chip (MZI 1 - 4mm length CpW 1 ; MZI 2 - 4mm length CpW 2; MZI 3 (&4) - 2mm length CpW ; MZI 5 (&6) - 6mm length CpW 1; MZI 7 (&8) - 1mm length CpW 2; MZI 9 (&10) - 1mm length CpW 1).

Page 51: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

0 A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Die Augendiagramme (Abb. 23 und 24) zeigen, dass die Modulatoren bei 10 Gb / s und sogar bei 20 Gb / s eine ausreichende Bandbreite haben.

Modulators were characterized and showed sufficient bandwidth for 10 Gb / s and even 20 Gb / s operations (see eye diagrams in Fig. 23 and Fig. 24).

Abb. 23: 10 Gb / s-Augendiagramm eines Modulators. Fig. 23: 10 Gb / s eye diagram of a modulator. electrodes in topMetal 2 (Measurement: Photline).

Abb. 24: 20 Gb / s-Augendiagramm eines 1 mm Modulators.Fig. 24: 20 Gb / s eye diagram of a 1 mm modulator. electrodes in topMetal 2; eR = 4.5 dB (Measurement: university of Southampton).

Abb. 25: Modulator-DemonstratorFig. 25: Modulator demonstrator

In der finalen Projektphase wurde ein Mach-Zehnder-Modulator, integriert im FEOL (Frontend-of-Line), mit 10 Gb / s SiGe-Treiber entworfen und im IHP hergestellt. Abb. 25 zeigt eine Übersichtsdarstellung von Modula-tor- und SiGe-Treiber. Modulator- und Treiber-Elektronik wurden in der IHP-Basistechnologie SG25H3 präpariert.

In the final project period a Mach-Zehnder modulator, integrated in the frontend-of-line, with a 10 Gb / s SiGe driver amplifier was designed and fabricated at IHp. Fig. 25 shows a general view on modulator part and SiGe driver part. Driver electronics and modulator are fabricated in IHp’s baseline technology SG25H3.

Page 52: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Die Integration von photonischen Modulen in den BiCMOS-Standardflow erforderte die Herstellung von speziellen Wafern mit lokalen SOI-Gebieten für die pho-tonischen Komponenten und Si-Bulk-Bereichen für die BiCMOS-Elektronik.

Die erste Generation von im FEOL integrierten Modula-toren und Treiberschaltungen arbeiten bis zu Raten von 10 Gbit / s. Damit konnte zum ersten Mal eine vollstän-dige elektronisch-photonische Integration auf Basis einer High-Performance-BiCMOS-Technologie demons-triert werden.

Der hohe Integrationsgrad des entwickelten Modula-tor-Treiber-Paars wird in Abb. 26 illustriert.

the integration of photonic modules in IHp’s stan-dard technologies required preparation of special wafers with so-called local SoI approach for a novel photonic BiCMoS process.

the first generation of Feol integrated modulators and driver devices operate with rates up to 10 Gb / s. this is the first demonstration of fully photonic-electronic integration in high-performance BiCMoS technology.

the high degree of integration achieved for the mo-dulator driver pair is illustrated in Fig. 26.

Abb. 26: Hoch integriertes Modulator-Treiber-Paar Fig. 26: Highly integrated modulator driver pair

Page 53: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

2 A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

TechnologieplattformfürheterogenintegrierteTHz-Schaltungen(HiTeK)

Das Projekt HiTeK wurde im Rahmen des Leibniz-Wettbe-werbs zur Förderung ausgewählt. Es ist eine Kooperati-on des IHP mit dem Ferdinand-Braun-Institut, Leibniz-Institut für Höchstfrequenztechnik (FBH) in Berlin.

Das Ziel des Projektes ist es, eine Technologieplattform für heterogen integrierte Schaltungen bei Terahertz- Frequenzen (0,1 – 1 THz) zu entwickeln. Dazu wird eine InP-Heterobipolar-Technologie des FBH mit einer BiCMOS-Technologie des IHP (SG25H1) kombiniert.

Davon ausgehend wurden integrierte Schaltungen bei Frequenzen oberhalb von 100 GHz entworfen, realisiert und charakterisiert. Diese Schaltkreise sollen das Po-tential für Betriebseigenschaften nachweisen, die weit über den heutigen Stand der Technik hinausgehen. Sie sollen insbesondere auf Mixed-Signal-Anwendungen hin optimiert werden.

Die Silizium- und InP-Wafer werden zunächst sepa-rat prozessiert. Dabei durchläuft der Siliziumwafer vollständig den BiCMOS-Prozess inklusive Front- und Backend. Zusätzlich wird die Passivierung so modi-fiziert, dass eine Oberfläche mit geringer Topografie entsteht. Um den Bondprozess durchführen zu können, werden die Si-Wafer mit Rückseiten-Justiermarken ver-sehen. Da der InP-Prozess am FBH nur 3“ Wafer erlaubt, werden die fertigen Si-Wafer auf diese Größe gesägt.

Technology Platform for Heterogeneous Inte-grated THz Circuits (HiTeK)

the project Hitek was selected for funding within the framework of the leibniz competition. It is a collaboration between the IHp and the Ferdinand-Braun-Institut, leib-niz-Institut fuer Hoechstfrequenztechnik (FBH) in Berlin.

the aim of the project is to develop a technology platform for heterogeneous integrated circuits at terahertz frequencies (0.1 – 1 tHz). For this, the Inp heterobipolar technology of the FBH is combined with an IHp BiCMoS technology (SG25H1).

Within this framework, integrated circuits at frequen-cies above 100 GHz have been designed, fabricated and characterized. these circuits are intended to demonstrate the potential for operating characte-ristics that go far beyond the current state of tech-no-logy. they will be especially optimized for mixed- signal applications.

First, the silicon and Inp wafers are processed sepa-rately. In this case, the silicon wafer runs through the full BiCMoS process, including front and back end. Additionally, the passivation is modified to form a surface with a low topography. to perform the bonding process, back side alignment marks are placed on the silicon wafer. Since the Inp process of FBH can use only 3“ wafers, finished Si wafers are cut to this size.

Abb. 27: Waferbond-Prozess zwischen einem 3“ Silizium Wafer (unten) und einem 3“ InP-Wafer (oben) (a), anschließend wird das InP-Substrat nasschemisch entfernt (b) und Durchkontaletierungen zum Silizium werden hergestellt sowie eine weitere Metall-Ebene G2, die der Verbindung von InP- und Si-Bauelementen dient, wird erzeugt (c).Fig. 27: Wafer bonding process between a 3“ silicon wafer (below) and a 3“ Inp wafer (above) (a), and the removing of the Inp substrate via wet-chemical etching (b) and preparing of interconnects to the silicon with a further metal layer G2, which serves to link the Inp and Si components (c).

Page 54: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Der InP-Wafer durchläuft ebenfalls die Frontend-Pro-zesse und wird mit einer Metallisierungsebene verse-hen. Anschließend werden beide Wafer mittels Benzo- cyclobuten (BCB) gebondet. Dabei ist eine hohe Lage-genauigkeit der Wafer beim Bondprozess entscheidend. Anschließend wird das InP-Substrat nasschemisch ent-fernt. Mittels Trockenätzen werden dann Vias ins BCB geätzt, die auf den Pads des Topmetal-2 enden.

Es wurden zunächst passive Elemente getestet. Dazu zählen insbesondere die DC- und HF-Übergänge. Es zeigte sich, dass bis 220 GHz geringe Verluste erreicht werden können, so dass eine Backend-Integration von InP und Silizium mit dieser Substrat-Transfer-Technolo-gie möglich ist.

Als Demonstrator wurde ein 85 GHz-VCO in BiCMOS mit einem InP-Verstärker integriert (siehe Abb. 28). Damit konnte die prinzipielle Machbarkeit des Technologie-konzeptes gezeigt werden.

the Inp wafer also passes through the front-end pro-cesses, and is provided with a metallization. then the two wafers are bonded by means of benzocyclobu-tene (BCB). A high positional accuracy of the wafer bonding process is crucial. Subsequently, the Inp substrate is removed by wet chemical etching. Vias are then etched into the BCB ending on the topmetal-2 using dry etching technique.

First, passive elements were tested. In particular, this includes the DC and RF transitions. It was found that low losses can be achieved up to 220 GHz, so that consequently a back-end integration of Inp and Si with this substrate-transfer technology is possible.

A 85 GHz VCo in BiCMoS was integrated with an Inp amplifier (see Fig. 28) as a demonstrator. this demonstrated the principal feasibility of the techno-logy concept.

Abb. 28: 85 GHz-VCO (links) integriert mit einem InP-Verstärker (rechts).Fig. 28: 85 GHz VCo (left) with integrated Inp-amplifier (right).

Page 55: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

CMOSbasierterSensorfürdiedielektrischeSpektroskopievonbiologischenZellen

Die dielektrische Spektroskopie wurde für Jahrzehnte effektiv genutzt, um Biomaterialien zu charakterisie-ren. Basierend auf Maxwell-Wagner Näherungen unter Nutzung von Cole-Cole-Parametern haben einige For-schungsgruppen die effektive Modellierung von dielek-trischen Eigenschaften biologischer Zellsuspensionen gezeigt. Die Modellierung wurde häufig verwendet, um intrinsische Zellparameter wie die Membrankapa-zität oder die zytoplasmatische Leitfähigkeit etc. zu charakterisieren. Jedoch ist die Charakterisierung der Konzentration einer bestimmten Zellspezies in einer Suspension mittels dielektrischer Messungen noch weitestgehend Neuland. In diesem Beitrag werden di-elektrische Messungen erläutert, mit denen man die Konzentration von Zellen in einer Suspension mittels eines CMOS-Sensors charakterisieren kann. Der CMOS-Sensor kann später mit einem MEMS basierten mikro-fluidischen System gekoppelt werden, um die Empfind- lichkeit der Messung zu erhöhen. Somit kann ein eigen- ständiger elektrochemischer Sensor auf der Basis dielek- trischer Messungen effektiv genutzt werden, um die Konzentration von Zellen in einer biologischen Zell-suspension zu bestimmen.

Typischerweise basieren elektrische Biosensoren oder elektrochemische Sensoren auf der Technik der Impe-danzmessung. Die meisten dieser Sensoren arbeiten mit Frequenzen im Bereich von Hunderten von Kilo-hertz bis einigen hundert Megahertz. Biologische Zel-len zeigen mehrere Dispersionsmechanismen in diesem Frequenzbereich, welche häufig genutzt werden, um intrazelluläre Eigenschaften der suspendierten Zellen zu charakterisieren. Es ist jedoch schwierig, die Kon-zentration von Zellen zu charakterisieren, die zelluläre parameterabhängige Dispersionsmechanismen aufwei-sen. Um diese Dispersionseffekte zu vermeiden, wurde bereits die kapazitive Detektion von Zellen in einem Frequenzbereich bis 2 GHz gezeigt. Jedoch haben wei-tere Studien auch gezeigt, dass insbesondere für DNA- Dispersionsmechanismen bis zu einer Frequenz von 10 GHz existieren können.

CMOS Based Sensor for Dielectric Spectroscopy of Biological Cell Suspension

Dielectric spectroscopy has been effectively used for decades to characterize biomaterials, giving informa-tion about membrane capacitance of cells, intra-cel-lular cell organells, etc. Several research groups have shown effective modeling of dielectric characteristics of biological cell suspensions, based on Maxwell Wag-ner approximations, and Cole Cole parameters. Most of the modeling has been used to characterize in-trinsic cell parameters like membrane capacitance or cytoplasmic conductivity, etc. However, characteriza-tion of the concentration of a particular cell species in a suspension, based on dielectric measurements, is yet to be explored in a broader aspect. In this paper we explain the proposed theory of dielectric measure-ment to characterize the concentration of cells in a suspension and also describe a CMoS sensor which is used for the above purpose. the CMoS sensor can later be coupled to a MeMS microfluidic system, to increase the sensitivity of characterization; hence a stand-alone electrochemical sensor based on dielec-tric measurement can be effectively used to calculate the concentration of cells in a biological cell suspen-sion.

Common electrical biosensors or electrochemical sen-sors are based on the impedance measurement tech-nique. Most of these sensors operate at frequencies ranging from hundreds of kilohertz to a few hundred megahertz. Biological cells show several dispersion mechanisms in this frequency range, which are often used to characterize intracellular characteristics of the suspended cells. However, it is difficult to characterize the concentration of cells with such cellular parameter-dependent dispersion mecha- nisms. Capacitive detection of cells at a frequency range of 2 GHz has been shown to avoid these disper-sions. However, studies have also shown that other dispersion mechanisms can exist up to a frequency of 10 GHz, especially for DnAs, compelling us to choose the operating frequency for dielectric measurements of cell concentration above 10 GHz.

Page 56: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Diese Ergebnisse machen es erforderlich, die Betriebs-frequenz für dielektrische Messungen der Zellkonzen-tration über 10 GHz zu wählen. Um die Konzentration der Zellen in einer biologischen Zellsuspension erken-nen zu können, sollte man die Messungen in einem Frequenzbereich oberhalb der charakteristischen Fre-quenz (17 GHz) von Wasser durchführen. Es wurde eine ineinander greifende Elektrodenstruktur als Sensorele-ment eingesetzt, um die Konzentration der Zellen zu detektieren. Die ineinandergreifende Kammstruktur ist mit einer Spule gekoppelt, um einen LC-Oszillator zu formen, dessen Resonanzfrequenz eine Funktion der Dielektrizitätskonstante der Lösung ist. Die Steuer-schaltung beruht auf einem Paar von kreuzgekoppelten Transistoren. Somit wird eine dielektrische Veränderung in der Lösung als Frequenzänderung des Oszillators de-tektiert.

In this work we propose a solely electrical measure-ment based biosensor to detect the concentration of cells in a biological cell suspension operating at a frequency range above the characteristic frequen-cy of water, 17 GHz. Interdigitated electrode struc-ture has been used as the sensor element to detect the concentration of cells. the interdigitated comb structure is coupled with inductors, to form an lC oscillator whose resonant frequency is a function of the permittivity of the solution underneath the sen-sor. the control circuit includes a pair of cross cou-pled transistors, similar to a cross coupled VCo, with an output buffer stage. thus a dielectric change in the solution is detected as the frequency change of the oscillator.

Abb. 29: Sensor mit ineinandergreifender Kondensatorstruktur zur Messung der Änderung der Resonanzfrequenz.Fig. 29: top view of the sensor with the interdigitated capacitor for measuring the shift of the resonance frequency.

Page 57: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

6 A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Graphen-Basis-Transistor

Das Ziel des Projektes ist die Entwicklung von graphen-basierten elektronischen Bauelementen mit Arbeitsfre-quenzen bis in den THz-Bereich.

Graphen-Basis-Transistor (GBT) ist ein neuartiges Hochfrequenz-Bauelementekonzept, das die Geschwin-digkeit und Funktionalität von Si-basierter Elektronik verbessern kann. Im Herzen des GBT liegt eine ein-zelne Schicht Graphen. Graphen fungiert hier als eine extrem dünne Basiselektrode mit hoher Leitfähigkeit zum Steuern des Flusses von Elektronen zwischen zwei anderen Anschlüssen des Transistors, nämlich dem Emitter und dem Kollektor. Da alle Anschlüsse des Transistors voneinander mit Tunnelbarrieren getrennt sind, erfordert eine praktische Realisierung eines GBT die Fähigkeit, qualitativ hochwertiges Graphen auf dielektrischen oder halbleitenden Substraten zu wach-sen. Zusätzlich muss noch eine einheitliche dielek-trische Barriere auf der Graphen-Schicht abgeschieden werden. Diese Prozesse sind die zwei wichtigsten tech-nischen Herausforderungen und Gegenstand weltweiter Forschungsanstrengungen.

In Zusammenarbeit mit Partnern untersucht das IHP direkte Graphen-Synthesemethoden auf nichtmetal-lischen Substraten. Diese Studien sind noch in einem sehr frühen Entwicklungsstadium. Eine temporäre Lö-sung, die die Herstellung von Prototyp-GBTs ermöglicht, ist der Transfer von CVD-Graphen, das auf Cu-Folien ge-wachsen worden ist. Eine solche Übertragungsmethode wurde am IHP etabliert und ermöglicht die Ablagerung von Graphen-Schichten in Chip-Größe auf beliebigen Substraten (Abb. 30, links). Dieser Prozess wird nun verwendet, um die einzelnen Bausteine des GBT herzu-stellen und zu untersuchen.

Graphene Base Transistor

the goal of the project is the development of gra-phene-based electronic devices with operation fre-quencies extending into the tHz regime.

Graphene base transistor (GBt) is a novel high-fre-quency device concept which has the potential to improve the speed and functionality of Si-based elec-tronics. At the heart of the GBt lies a single layer of graphene. Graphene functions here as an extremely thin and highly conductive base electrode controlling the flow of electrons between two other terminals of the transistor, namely the emitter and the collector. Since all terminals of the device are separated from one an-other by tunneling barriers, a practical reali-zation of GBt requires the ability to grow high quality graphene on dielectric or semiconducting substrate and to deposit a uniform dielectric barrier on the graphene layer. Both of these processes are the key engineering challenges triggering worldwide re-search efforts.

In collaboration with partners, IHp investigates direct graphene synthesis methods on non-me-tallic substrates. Since these studies are still in a very early development stage, a temporary solution enabling prototyping of GBts is the transfer of CVD graphene grown on Cu surfaces. Such a transfer method has been established at IHp and allows deposition of chip-size graphene layers on arbitrary substrates (Fig. 30, left). this process is now used to form and investigate individual building blocks of the GBt.

Page 58: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

7A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Sobald die Graphen-Schicht auf dem vorstrukturierten Substrat platziert ist, tritt die zweite Herausforde-rung auf: die inerte Natur des Graphens macht es sehr schwierig, eine isolierende Schicht von guter Qualität auf seiner Oberfläche zu bilden. Um dieses Problem zu lösen untersuchen wir atomares Wachstum von HfO2 auf Graphen, das auf 8-Zoll-Si-Substrate übertragen worden ist (Abb. 30, rechts). Vielversprechende Ergebnisse die kürzlich erreicht werden konnten, lassen eine beschleu-nigte Entwicklung der GBT-Bauelemente in der nahen Zukunft erwarten.

once the graphene layer is on its place on the pre-patterned substrate another challenge arises: the in-ert nature of graphene makes it very difficult to form an insulating layer of high quality on its surface. to overcome this bottleneck we are investigating atomic vapor deposition of Hfo2 on graphene transferred to 8-inch Si substrates (Fig. 30, right). promising results obtained recently in this branch give rise to expecta-tions of accelerated development of GBt devices in the near future.

Abb. 30: CVD-Graphen, übertragen auf ein SiO2 / Si Substrat (links). Graphen-Schichten in Chip-Größe bedeckt mit einer HfO2-Schicht auf 8-Zoll- Si-Substrat (rechts). HfO2 wird als dielektrische Barriere zwischen der Basis und dem Kollektor des GBT-Transistors verwendet.Fig. 30: CVD graphene layer transferred to pre-patterned Sio2 / Si substrate (left). Chip-size graphene layers covered with Hfo2 dielectric on 8-inch Si wafer (right). Hfo2 is used as the dielectric barrier between base and collector electrodes of the GBt.

Page 59: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

8 A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

EinintegrierterEmitterfürnahesInfrarotbasierendaufverspanntemGermanium

Diese Forschungsaktivität zielt auf die Entwicklung eines elektrisch gepumpten Lasers auf der Siliziumplattform durch das fortschrittliche Bandstruktur-Engineering von Germanium verbunden mit optimierter Dotierung. Ein solches im infraroten (IR)Licht emittierendes Modul kann neben Anwendungen bei Hochleistungsrechnern die „More than Moore“-Siliziumtechnologie des IHP in dem Bereich optischer Sensoren erweitern.

Während der letzten Jahre hat die Silizium-Photonik, aufbauend auf der existierenden CMOS-Prozessierung, ihr Potential als kostengünstige Lösung zur Realisie-rung von optoelektronischen Hochleistungs-Geräten unter Beweis gestellt. Das größte verbliebene Hinder-nis für die Realisierung von voll funktionsfähigen si-liziumphotonischen integrierten Schaltkreisen ist die Verwirklichung einer integrierten Laserlichtquelle. Be-dingt durch die indirekte Bandlücke des Siliziums, ist es extrem schwierig mit diesem Halbleiter eine effiziente Lichtemission zu erreichen. Unter anderem werden des-halb heutzutage Germanium-basierte Ansätze verfolgt, und es konnte ein erster elektrisch injizierter Germa-niumlaser demonstriert werden.

Obwohl Germanium ein indirekter Halbleiter ist, ist die Energiedifferenz zwischen den Leitungsbandminima am Γ- und am L-Punkt der Brioullinzone relativ klein (135 meV) und kann durch eine tensile Verspannung weiter reduziert werden. Folglich werden tensil verspannte Ge-Strukturen erhöhte Oszillatorstärken für optische Ab-sorptions- und Emissionsprozesse zeigen.

Seit 2011 verfolgt das IHP einen voll CMOS-kompa- tiblen Ansatz, um SiN / Ge / Si-Mikrostrukturen her-zustellen, die auf einer SiN-Stressorschicht basieren, die eine hohe tensile Verspannung in eine n-dotierte Ge / Si-Heteroepitaxieschicht einbringt. Durch Form und Größe der Mikrostruktur kann die Verspannungs-verteilung in der Ge-Schicht optimiert und genau ein-gestellt werden.

An Integrated Near Infrared Light Emitter Based on Strained Germanium

this research activity aims at developing an elec-trically injected laser on a silicon platform through advanced band-structure engineering of germanium and optimized doping. Besides applications in high performance computing (HpC), such a light emitting module in the infrared (IR) range could extend IHp`s “More than Moore” Si technology towards optical sen-sor markets.

over the past few years, Silicon-photonics has demonstrated its potential as a low-cost solution for realizing high-performance electro-optic devices leveraging on the existing CMoS processing. the ma-jor remaining hurdle for realizing a fully functional silicon-photonic integrated circuit is the implemen-tation of an integrated laser light source. It is ex- tremely difficult to obtain efficient light emission from silicon, owing to its indirect band gap. Among different approaches Germanium-based solutions are now considered the most promising ones and have already led to the demonstration of an electrically injected laser on Si.

Although Ge is an indirect-band gap material, the en-ergy difference between its conduction band minima at the Γ and l points of the Brillouin zone is relative-ly small (135 meV) and can be further decreased if a biaxial tensile strain ε is applied. As a consequence, tensile strained Ge structures are expected to show increased oscillator strength for both light absorp-tion and emission processes.

Since 2011 IHp is pursuing a fully Si-CMoS compa-tible approach to fabricate Sin / Ge / Si micro-struc-tures based on a Sin stressor layer inducing a high level of tensile strain in an n-doped Ge / Si hetero-epitaxial layer. By adjusting micro-structure size and shape, the strain distribution within the Ge layer can be optimized and accurately controlled.

Page 60: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

9A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Es wurden verschiedene Typen von Mikrostrukturen her-gestellt, die eine Expansion der Ge-Schicht in eine, zwei oder drei Dimensionen erlauben (Abb. 31a), b) und c)). Die sich ergebenden Verspannungsprofile entlang der Strukturen wurden mit Mikro-Ramanspektrosko-pie vermessen und mit numerischen Simulationen des Verspannungsfeldes verglichen (Abb. 32). Die Simu-lationen erfolgten mit dem kommerziellen FLex-PDE- Packet, das auf der Finite-Elemente-Methode (FEM) basiert.

Die Photolumineszenz (PL) der Mikrostrukturen wurde bei Raumtemperatur (RT) mit einem Mikro-PL-Auf-bau mit einer Anregungswellenlänge von 532 nm bei niedriger Anregungsenergie untersucht, um eine Auf-heizung der Strukturen zu vermeiden. Die gemessene Photolumineszenz wurde mit den Vorhersagen von Modellierungen verglichen, die auf der 30-Band-k.p-Be-schreibung der Bandstruktur unter Verwendung der mit FEM simulierten Spannungsverteilung basieren.

Microstructures of different types have been fabri- cated, allowing the Ge layers to freely expand into one, two, or three dimensions (Figs. 31 a), b) and c) re-spectively). the resulting strain distribution profiles along the structures were probed by micro-RAMAn spectroscopy and compared with numerical simula-tions of the strain field distribution using the Flex-pDe commercial package based on the finite element method (FeM) (Fig. 32).

photoluminescence (pl) measurements were per-formed at room temperature (Rt) using a micro-pl set-up with an 532 nm excitation wavelength and low excitation power to prevent heating of the micro-structures. the measured photoluminescence reso-nance was compared with the one predicted by the modeling based on a 30 band k.p description of the band structure taking into account the strain distri-bution simulated by FeM.

Abb. 31: Aufnahmen im Rasterelektronenmikroskop(REM) von typischen Mikrostrukturen (hier Länge 20 μm, Breite 2 μm): (a) Mikrostreifen, (b) Mikrobrücke und (c) freigeschnittene Brücke.Fig. 31: Scanning electron microscope (SeM) images of typical micro-structures (l=20 µm, w=2 µm): (a) micro-stripe, (b) micro-bridge, and (c) trimmed micro-bridge.

Page 61: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

60 A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Durch den Vergleich mit den Simulationen wurde die beobachtete Raumtemperatur-Photolumineszenzemis-sion der Rekombination an der direkten Bandlücke zu-geordnet. Abb. 33 zeigt die PL-Spektren von Mikrostrei-fen (die Struktur in Abb. 31(a)) mit unterschiedlichen Breiten. Es gibt eine deutliche Rotverschiebung des PL-Peaks sowie eine starke Zunahme der PL-Intensi-tät für abnehmende Breite der Mikrostreifen. Beides sind Signaturen für eine zunehmende Verspannug bei schmaleren Streifen. Für den schmalsten Streifen (L=90 μm, w=1 μm) ist das PL-Spektrum konsistent mit einer Verspannung von 0,6 %, was in Übereinstimmung mit den Ramanmessungen und der FEM-Modellierung ist.

the observed room temperature pl emission has been attributed to direct-band gap recombination by com-parison with the simulations. Fig. 33 shows the pl spectra from microstripes (structure in Fig. 31(a)) with different widths. there is a clear red-shift of the pl peaks as well as a strong increase in intensity with decreasing width of the microstripe. Both are signatures of an increase of strain for thinner stripes. For the thinnest stripe (l=90 µm, w=1 µm), the pl spectrum is consistent with a 0.6% equivalent biaxial strain in agreement with the Raman measurements and with FeM modeling.

Abb. 32: (a) Biaxiale Strainwerte (linke Achse) und gemessene Ramanverschiebung entlang der Längsachse (Länge=20 μm) mit einer Breite von 1 μm (Kreise) und 2 μm (Quadrate) für blau: einen Mikrostreifen, rot: eine Mikrobrücke und grün: eine freigeschnittene Mikrobrücke. Die vertikalen gepunkteten Linien markieren die Struktur. (b) Berechnetes Verschiebungsfeld im Zentrum eines Mikrostreifens mit 20 μm Länge und 2 μm Breite. Fig. 32: (a) Biaxial strain values (left axis) and Raman shift measured along the length of Ge microstructures (l=20 µm) having a width of 1 µm (circle) and 2 µm (square). Blue: a microstripe, red: a micro-bridge, green: a trimmed micro-bridge. the vertical dotted lines define the micro-structure length. (b) Displacement field calculated for a 20 µm long and 2 µm wide micro-stripe at its center.

a) b)

Page 62: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

6A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Unsere zukünftigen Aktivitäten werden darauf abzie-len, die tensile Spannung der Mikrostrukturen weiter zu erhöhen und die Spannungsverteilung innerhalb der Ge-Schicht im Hinblick auf ein Design für eine optische Kavität zu optimieren. Außerdem wird relativ hohe n-Dotierung verwendet werden, um die Besetzungsin-version zu erleichtern und damit die optische Verstär-kung zu vergrößern und die optische oder elektrische Leistung zu reduzieren, die benötigt wird, um die Laser-schwelle zu überschreiten.

our future activities will aim at further increasing the tensile strain in the microstructure and opti mizing the strain distribution within the Ge layer, with a design compatible with the realization of an optical cavity. Relatively high n-type doping will also be used in order to ease the population inversion and, as a consequence, to increase optical gain and decrease the optical or electrical power needed to overcome the lasing threshold.

Abb. 33: Photolumineszenzspektren von Mikrostreifen mit verschiedener Breite bei Zimmertemperatur zusammen mit dem Spektrum eines blanken Ge-Wafers.Fig. 33: Room temperature pl spectra of microstripes with different widths together with the spectrum of a reference blanket Ge wafer.

Page 63: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

62 A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Puls-induziertesElektroformiereneinesinSi-CMOSeingebetteten4kbitRRAMArrays

Widerstandsbasierte Speicher (RRAM) sind derzeit ei-ner der vielversprechendsten Kandidaten für eingebet-tete nichtflüchtige Speichermodule (eNVM). Die Inte-gration dieser Speicher folgt dem „More than Moore“ Ansatz der IHP Forschungsstrategie, die auf System-on-Chip (SoC) Lösungen für die drahtlose SiGe:C-BiCMOS- Kommunikation fokussiert ist. Die Einsatzmöglichkeiten von RRAM können im Bereich eingebetteter Anwen-dungen für drahtlose Sensorknoten gesehen werden.

Zukünftige Sensorknoten könnten deshalb von schnellen und nichtflüchtigen RRAM profitieren, mit dem Vorteil ei-ner geringeren Chipfläche und einer höheren Strahlungs-härte. Mit diesem Speicherkonzept wäre es insbesondere möglich, die Leistungsaufnahme im inaktiven Modus (Standby) eines Sensorknotens deutlich zu reduzieren.

Im Rahmen der Prozessintegration bietet RRAM den Vor-teil der Kompatibilität mit der Back-End-of-line (BEOL)-Prozessierung. Somit konnten bereits Fortschritte im Verständnis der Physik des resistiven Schaltverhaltens an integrierten 4 kbit Speicher-Arrays erzielt werden.

Abb. 34 zeigt das 4 kbit Speicherarray mit einem Zei-lendecoder (XDC MUX), der eine einzelne Wortleitung (WL) auswählt und einem Spaltendecoder (YDC MUX) zur Adressierung einzelner Bitleitungen (BL) / Source-leitungen (SL).

Pulse-induced electroforming of a Si CMOS Embedded 4 kbit RRAM Array

Resistive memories (RRAM) are currently among of the most promising emerging candidates for embed-ded non-volatile memory modules (enVM). the inte-gration of these memories follows the “More than Moore” approach of IHp’s research strategy, focusing its activities on advanced system-on-chip (SoC) so-lutions for wireless SiGe:C BiCMoS communication systems. the potential applications of RRAM are given by the wide field of wireless sensor networks.

Future sensor nodes could benefit from new high speed resistive memories, which are non-volatile, save chip area and provide less radiation suscepti- bility. In particular, this memory concept would allow a significant power dissipation reduction in the inac-tive (standby) mode of sensor nodes.

In the context of process integration, RRAM offers compatibility with the back-end-of-line (Beol) pro-cess scheme and thus considerable progress has al-ready been made in understanding the physics of the resistive switching behavior in 4 kbit memory arrays.

the cells in the 4 kbit memory array (Fig. 34) are se-lected with an address code on the inputs of the de-coders. the row decoder (XDC MuX) selects a single word line (Wl) out of the word lines of the memory array. the column decoder (YDC MuX) selects a single bit line (Bl) / source line (Sl) out of bit / source lines of the memory array.

Abb. 34: Foto des 4 kbit Speicher-Arrays mit Ansteuerung.Fig. 34: photograph of the 4 kbit memory array with control circuits.

Page 64: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

6A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Im Folgenden ist das puls-induzierte Elektroformie-ren an 600×600 nm2 TiN / HfO2 / Ti / TiN-MIM-Zellen in einem 4 kbit Speicher-Array untersucht worden. Das Elektroformieren wurde durch Anlegen von Spannungs-pulsen im Bereich von 500 ns bis 10 μs erreicht, wobei die BL-Spannung 2,2 – 2,8 V betrug.

Abb. 35 zeigt ein Konturdiagramm der gelesenen Strö-me an 512 MIM-Zellen vor der Elektroformierung. Der gemessene Strom von frischen MIM-Zellen liegt im Be-reich von 10-8 A.

Abb. 36 entspricht dem Konturdiagramm in Abb. 35 nach Anlegen von Spannungspulsen in der Größen- ordnung von 1,2 ns bis 10 μs zum Elektroformieren.

In the following, pulse induced electroforming of in-tegrated 600x600 nm2 tin / Hfo2 / ti / tin MIM devices in a 4 kbit memory array was investigated. electrofor-ming was achieved by applying voltage pulses in the range of 500 ns up to 10 µs, using a Bl voltage in the range from 2.2 to 2.8 V.

to demonstrate these findings, Fig. 35 shows the con-tour plot of 512 devices before pulse forming (current read at VBl = 0.3 V). the measured current of fresh memory devices is observed in the range of 10-8 A.

Fig. 36 corresponds to the previous contour plot showing the same devices after applying a forming pulse on the order of 1.2 ns to 10 µs.

Abb. 35: Konturdiagramm für 512 1T-1R Zellen im 4 kbit Speicher-Arrays (VWL = 1,4 V) vor dem Formieren.Fig. 35: the contour plot before forming for 512 1t-1R devices in the 4 kbit memory array (VWl = 1.4 V).

Abb. 36: Konturdiagramm für 512 1T-1R Zellen im 4 kbit Speicher-Arrays (VWL = 1,4 V) nach dem Formieren.Fig. 36: the contour plot for 512 1t-1R devices in the 4 kbit memory array (VWl = 1.4 V) after forming.

Page 65: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

6 A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Darüber hinaus wurde die Bitleitungsspannung (y-Achse, BL voltage) als zweiter Parameter ausge-wählt. In dieser Abbildung wird klar, dass Impulse mit einer Impulsbreite von 500 ns – 10 μs benutzt werden können, wobei die BL-Spannung 2,2 – 2,8 V betragen sollte.

Abb. 37 zeigt einen typischen Set- und Reset-Prozess, nachdem eine einzelne MIM-Zelle mit einem 10 μs Puls geformt wurde. Im nächsten Schritt soll das puls-indu-zierte Schalten detaillierter mit unterschiedlichen An-stiegs- und Abfallzeiten untersucht werden.

Zusammenfassend wurde puls-induziertes Elektrofor-mieren an 600×600 nm2 TiN / HfO2 / Ti / TiN-MIM-Zel-len in einem 4 kbit Speicher-Array mit Pulsweiten von 500 ns – 10 μs erreicht.

Additionally, the bit line voltage (y-axis, Bl voltage) was chosen as a second parameter. In this figure it is clearly demonstrated that pulses with a pulse width of 500 ns – 10 µs can be used to electroform memory cells in the 4 kbit array, using a Bl voltage in the ran-ge of 2.2 to 2.8 V.

Finally, Fig. 37 shows a typical reset and set process performed after pulse forming with a pulse width of 10 µs. the next important step will be to determine the relationship between reset and set pulses, the rise time, fall time etc.

In conclusion, pulse-induced electroforming of memory devices in a 4 kbit memory array has been realized by varying the pulse width on the order of 500 ns – 10 µs. typical IV characteristics after pulse forming were obtained.

Abb. 37: In der 1T-1R Architektur werden nMOS-Transistoren mit W / L = 1.14μm / 0.24μm in Reihe mit den MIM-Zellen verbunden. I-V Charakteristik nach der Pulsformierung: Typische reset (a) und set-Kurven (b).Fig. 37: In the 1t-1R architecture, nMoS transistors with W / l = 1.14µm / 0.24µm are connected in series with the MIM devices. I-V characteristics after pulse forming: typical reset (a), and set process (b).

Page 66: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

6A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

VersetzungsnetzwerkealsaktiveKomponenteninMOSFETs

Die elektrischen und optischen Eigenschaften von Versetzungsnetzwerken (NW) werden durch das Joint Lab IHP / BTU seit einigen Jahren gemeinsam mit dem MPI für Mikrostrukturphysik Halle erforscht. Ziel der aktuellen Arbeiten, die u.a. in Verbindung mit dem Verbundprojekt SiGe-TE geleistet werden, ist es, die außergewöhnlichen Eigenschaften der NW auszunut-zen, die beim Transport von Ladungsträgern zu beob-achten sind. Die NW sollen als aktive Komponenten in Bauelemente eingesetzt werden. Dabei wird im Projekt SiGe-TE eine mögliche Nutzung für neuartige Si-basier-te thermo-elektrische Generatoren evaluiert. In diesem Zusammenhang wird die Auswirkung der NW auf ein-fache Bauelemente charakterisiert, um die physika-lischen Mechanismen des Ladungsträgertransportes zu verstehen. Als Beispiel soll hier die Wirkung von NW vorgestellt werden, die kontrolliert in den Kanal von MOSFETs eingebracht wurden.

Netzwerke mit definierter Struktur lassen sich durch Bondtechnik in eine SOI-Schicht einbringen, siehe Abb. 38. In diese Schicht kann anschließend ein MOSFET präpariert werden, der in seinem Kanal ein NW enthält.

Dislocation Networks as Active Components in MOSFETs

the electrical and optical properties of dislocation networks (nW) represent a long-term research topic at the Joint lab IHp / Btu. the investigations are being carried out jointly with Max planck Institute of Microstructure physics in Halle. the current re-search, conducted amongst others within the frame- work of the joint project SiGe-te, aims at utilizing the extraordinary charge carrier transport properties of such networks. thus, the nWs are to be used as ac-tive components in electronic devices. In particular, possibilities for utilization of nWs in novel Si-based thermoelectric generators will be evaluated in the project SiGe-te. to elucidate the physical mecha- nisms of charge carrier transport the impact of nWs on simple devices will be investigated in this con-text. As an example, the impact of nWs introduced in a controlled manner into the channel of MoSFets will be presented here.

networks with defined structure can be introduced into SoI layers by bonding, see Fig. 38. A MoSFet that contains a dislocation network can then prepared in the layer.

Abb. 38: Versetzungsnetzwerk (NW) in eine dünne SOI-Schicht integriert (XTEM-Aufnahme).Fig. 38: Dislocation network integrated into a thin SoI layer (XteM image).

Page 67: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

66 A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Der Vergleich der Transferkennlinie eines n-MOSFETs mit NW mit dem Referenz-FET ohne NW weist eine starke Erhöhung (50 x) des Drainstroms Id auf, siehe Abb. 39. Dies ist auf die Transporteigenschaften der Ladungsträ-ger am NW zurück zu führen und könnte als Hinweis der Zunahme der Elektronenbeweglichkeit im Kanal (etwa 10.000 cm2 / Vs) gewertet werden. Unter Kühlung funk-tionieren die MOSFETs mit NW noch bei 5 K, während im Referenz-FET die Ladungsträger ausgefroren sind. Die in Abb. 40 gezeigten Kennlinien weisen einen Sub-threshold Swing (SS) von etwa 20 mV / decade auf, was ein extrem guter Wert ist. Für bestimmte NW-Strukturen wird bei tiefen Temperaturen eine stufenförmige Kenn-linie beobachtet (Abb. 41), die auf Quanteneffekte hindeutet. In Anlehnung an Ishikawa et al. könnte es sich dabei um Coulomb-Blockaden handeln.

A comparison of the transfer characteristics of the nMoSFet with nW with that of the reference nMoS-Fet without nW yields a strong increase (50 x) of the drain current for the MoSFet with nW (Fig. 39). this is attributed to the carrier transport properties at the nW and may be conceived as an increase of electron mobility in the channel (about 10.000 cm2 / Vs). the MoSFets with nW still work at t = 5 K while carriers are already frozen out in the reference MoSFet at this temperature. the characteristics depicted in Fig. 40 demonstrate a sub-threshold swing (SS) of about 20 mV / decade which is a very good value. For specific nW structures a step-like characteristic is observed at low temperatures (Fig. 41), indicating quantum effects. Following Ishikawa et al. Coulomb blockades could be at the origin of the behaviour observed.

Abb. 39: Transferkennlinien für n-MOSFET mit NW und für Referenz (ohne NW), gemessen bei Raumtemperatur. Ein Vergleich der Kennlinien zeigt, dass Id ist beim Transistor mit NW ca. 50x größer ist als beim Referenz-FET.Fig. 39: transfer characteristics of an nMoSFet with a nW and of a reference (without nW) measured at room temperature. Comparison of Id characteristic demonstrates a nearly 50 times higher current for the nW device.

Abb. 40: Kennlinien für n-MOSFET mit NW, gemessen bei T = 5 K. Der Sub-threshold Swing beträgt SS = 21 mV/decade.Fig. 40: Characteristics of nMoSFet with nW, measured at t = 5 K. the sub-threshold swing is SS = 21 mV/decade.

Abb. 41: Kennlinien gemessen bei T = 5 K für n-MOSFET , der ein NW mit bestimmter Struktur enthält. Die Stufen im Drainstrom Id werden nach Y. Ishikawa et al. (Appl. Phys. Lett. 88 (2006) 073112) durch Coulomb-Blockaden verursacht.Fig. 41: Characteristics of an nMoSFet containing an nW of a specific strucure. According to Y. Ishikawa et al. (Appl. phys. lett. 88 (2006) 073112), the observed steps of the drain current Id are caused by Coulomb blockades.

Page 68: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

67A n n u A l R e p o R t 2 0 2

GetterungvonhohenundniedrigenKupfer-Konzentrationen

Das Ziel dieser Untersuchungen war der Vergleich der Gettereffizienz von Sauerstoffpräzipitaten und sekun-dären Defekten bei der Getterung von hohen und nie-drigen Cu-Konzentrationen in Siliziumscheiben.

Es ist bekannt, dass Cu-Verunreinigungen im Herstel-lungsprozess von mikroelektronischen Bauelementen die Funktion der Bauelemente beeinträchtigen können. Um Cu-Atome aus dem aktiven Bereich der mikroelek-tronischen Bauelemente zu entfernen, können verschie-dene Gettertechniken verwendet werden. Eine davon ist die interne Getterung. Bei dieser Gettertechnik, werden gelöste Cu-Atome durch Sauerstoffpräzipitate oder se-kundäre Defekte, wie Versetzungen und Stapelfehler, gebunden. Die Defekte sind durch Sauerstoffpräzipita-tion absichtlich erzeugt worden. Schnelle thermische Behandlungen (RTA) wurden zur Vorbehandlung der Siliziumscheiben verwendet, um die Vakanzenprofile darin einzustellen. Da bekannt ist, dass Vakanzen die Präzipitation von Sauerstoff in Czochralski (CZ)-Silizi-um beeinflussen, kann die RTA-Vorbehandlung verwen-det werden, um die Erzeugung von Sauerstoffpräzipi-taten zu steuern.

Die Proben in dieser Arbeit wurden durch RTA bei ver-schiedenen Temperaturen für 30 s in Ar / O2-Atmosphäre vorbehandelt, gefolgt von einer Temperung im Tempera-turbereich zwischen 700 und 1000 ° C für verschiedene Zeiten, um Sauerstoffpräzipitate in unterschiedlicher Konzentration und Größe zu erzeugen. Danach wurden zwei Arten von Getter-Tests durchgeführt. Für die Kon-tamination der Proben mit einer hohen Cu-Konzentra-tion wurde der so genannte Haze-Gettertest verwendet [1]. Im Fall von Proben mit niedriger Cu-Konzentration wurde ein Gettertest mit 7 Tage Lagerung durchgeführt. Das Prinzip dieses Gettertests basiert auf der Messung der Cu-Konzentration an der Si-Oberfläche mittels ToF-SIMS.

Gettering of Low and High Copper Concentrations

the objective of the investigations was to compare the getter efficiency of oxygen precipitates and se-condary defects for gettering of low and high concen-trations of Cu in silicon wafers.

It is well known that Cu contamination in the fabri-cation process of integrated circuits can disturb the function of microelectronic devices. In order to keep Cu atoms out of the device active zone, different get-tering techniques can be used. one of these is inter-nal gettering. In this technique, dissolved Cu atoms are trapped by oxygen precipitates or secondary de-fects such as dislocations and stacking faults which were intentionally generated by oxygen precipitati-on. Rapid thermal annealing (RtA) pre-treatments are used to control the vacancy profiles within the silicon wafer. As vacancies are well known to enhance the precipitation of oxygen in Czochralski (CZ) silicon, the RtA pre-treatment can be used to control the ge-neration of oxygen precipitates.

the samples used in this work were pre-treated by RtA at various temperatures for 30 s in Ar / o2 atmosphere, followed by annealing at temperatures between 700 and 1000 °C for different times in order to generate oxygen precipitates of different concentration and size. Hereafter, two kinds of getter tests were carried out. For the contamination of samples with a high Cu concentration, the haze getter test was used [1]. In the case of samples contaminated with low Cu con-centrations, the getter efficiency was determined by a 7 days storage test based on the measurement of the surface concentration of Cu by means of toF-SIMS.

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Page 69: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

68 A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

In Abb. 42 wurden die Ergebnisse der Cu-Getteref-fizienz gegen die normalisierte innere Oberfläche S aufgetragen. S ist das Produkt der Fläche eines ein-zelnen Sauerstoffpräzipitats SOP, der Dichte der Sau-erstoffpräzipitate NBMD und der Dicke des Wafers dw (S=SOP ∙ NBMD ∙ dw). Die Daten der Proben mit Sauerstoff-präzipitaten mit und ohne Versetzungen wurden mit dem kritischen Wert für effektive Getterung von Hölzl et al. verglichen [2]. In den Proben mit hoher Cu-Konzen- tration wurden deutlich unterschiedliche kritische Wer-te der normierten inneren Oberfläche für Proben mit und ohne Versetzungen an den Sauerstoffpräzipitaten gefunden. Während der kritische Wert in den Proben mit Sauerstoffpräzipitaten in guter Übereinstimmung mit dem kritischen Wert von Hölzl ist, ist der kritische Wert in Proben mit Sauerstoffpräzipitaten und Verset-zungen etwa zwei Größenordnungen niedriger.

the results of the obtained Cu getter efficiency were plotted against the normalized inner surface S which is the product of the surface of a single pre-cipitate Sop, the density of precipitates nBMD and the thickness of the wafer dw (S=Sop∙nBMD∙dw) as shown in Fig. 42. the data of the samples with oxygen precipi-tates with and without dislocations were compared with the threshold value obtained by Hölzl et al [2]. In the samples contaminated with high Cu concentra-tion, different critical values of the normalized inner surface were clearly found for the samples containing oxygen precipitates with and without dislocations. While the threshold value in the samples with oxy-gen precipitates is well in agreement with Hölzl’s threshold, the threshold value in samples with oxygen precipitates accompanied by dislocations is about two orders of magnitude lower.

Abb. 42: Normierte innere Oberfläche von Sauerstoffpräzipitaten; Proben kontaminiert mit hoher Cu-Konzentration (links), und niedriger Cu-Konzentration (rechts).Fig. 42: normalized inner surface of oxygen precipitates; samples contaminated with high concentration of Cu (left), and low Cu concentration (right).

Page 70: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

69A n n u A l R e p o R t 2 0 2

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Abb. 43: STEM-Bilder von Cu-Präzipitaten (CuP) an Versetzungen (D) entstanden durch Sauerstoffpräzipitate (OP) in einer Probe mit hoher Cu-Kontamination (links) und Hellfeld (BF)-Aufnahme eines plättchenförmigen Sauerstoffpräzipitats überlappt durch EDX-Bilder von Cu und O in einer Probe verunreinigt mit niedriger Cu-Konzentration (rechts).Fig. 43: SteM images of Cu precipitates (Cup) at dislocations (D) punched out by oxygen precipitates (op) in a sample contaminated with high Cu concentration (left), and Bright Field (BF) image of plate-like oxygen precipitates overlapped by eDX images of Cu and o in a sample contaminated with low Cu concentration (right). .

[1] K. Graff, Metal Impurities in Silicon-Device Fabrication in: Springer Ser. Mater. Sci., 24 52 (1995)[2] R. Hölzl, et al., Proc. Semiconductor Silicon 2002, in: Electrochem. Soc. Proc., 2002-2 608 (2002)

Im Falle von Proben verunreinigt mit niedrigen Cu-Kon-zentrationen verhalten sich beide Arten von Proben ähnlich und sind kompatibel mit dem kritischen Wert von Hölzl, wie in Abb. 42 rechts gezeigt ist. Diese Ergeb-nisse bedeuten, dass in den hoch kontaminierten Pro-ben Cu leichter an Versetzungen präzipitiert als an der Oberfläche von Sauerstoffpräzipitaten im Gegensatz zu den Proben mit niedriger Cu-Konzentration, wo die Präsenz von Versetzungen die Gettereffizienz der Pro-ben nicht verbessert. Dieses Verhalten von Cu wurde mit STEM- und EDX-Untersuchungen bestätigt. In Abb. 43 links, präzipitiert Cu an Versetzungen, während in der Probe mit niedriger Cu-Konzentration Cu nur am Rand des plättchenförmigen Sauerstoffpräzipitats gefunden wurde, wie in Abb. 43 rechts gezeigt ist.

In the case of samples contaminated with low Cu con-centration both types of samples with and without dislocations behave similarly and they are com- patible with Hölzl’s threshold as is shown in Fig. 42 on the right. these results mean that in the highly contaminated samples Cu precipitates more easily at dislocations than at the surface of oxygen precipi-tates in contrast to the samples contaminated with low Cu concentration where the presence of disloca-tions does not improve the getter efficiency of the samples. this behavior of Cu was confirmed by SteM and eDX investigations. In Fig. 43 on the left, Cu pre-cipitates at punched out dislocations while in the samples contaminated with low Cu concentration, Cu precipitates were found only at the edge of plate-like precipitates as shown in Fig. 43 on the right.

Page 71: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

70 A n n u A l R e p o R t 2 0 2

Joint Labs

Page 72: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

7A n n u A l R e p o R t 2 0 2

G e M e I n S a M e l a B o r e – J o I n t l a B S

Gemeinsames Labor IHP / BTU Cottbus„Materialforschung“

Das Gemeinsame Labor IHP / BTU auf dem Campus der Brandenburgischen Technischen Universität (BTU) Cott-bus besteht seit 2000. Es bündelt die Forschungspoten-tiale beider Partner und leistet, unter maßgeblicher Ein-beziehung von Studenten, interdisziplinäre Forschung auf dem Gebiet der Halbleitermaterialien. Dabei be-zieht es Lehrstühle der BTU in seine Forschungstätig-keit ein wie Experimentalphysik, Theoretische Physik, Physikalische Chemie oder Schaltkreisentwurf. Darüber hinaus beteiligte sich auch die Fachhochschule Lausitz mit technisch-präparativen Arbeiten.

National kooperiert das Gemeinsame Labor im Rahmen seiner Projektarbeit mit einer Reihe von Forschungsein-richtungen wie dem MPI für Mikrostrukturphysik Halle, den Universitäten Göttingen, Halle und Stuttgart, der TU Berlin, dem HZB Berlin oder dem IKZ Berlin sowie mit Unternehmen aus der Silizium-Branche wie der Sil-tronic AG. Eine wichtige Aufgabe stellt der Ausbau der internationalen Vernetzung des Gemeinsamen Labors dar. Die BTU und das IHP sind über das Gemeinsame Labor Mitglied im internationalen Konsortium SiWEDS (Silicon Wafer Engineering & Defect Science Center), dem renommierte Halbleiterfirmen und namhafte Uni-versitäten angehören. Unter den bestehenden interna-tionalen Verbindungen ist besonders der enge Kontakte mit der Universität St. Petersburg (Russland) hervorzu-heben. Gemeinsam mit der Zhejiang Universität in Hangzhou (China) wurde das 4. Deutsch-Chinesische- Symposium „The Silicon Age“ vorbereitet, das im Sep-tember 2012 in Berlin stattfand. Darüber hinaus war das Gemeinsame Labor maßgeblich an der Vorbereitung und Betreuung des Symposiums „Advanced Si Materials Research for Electronic and Photovoltaic Applications III“ beteiligt, das auf dem E-MRS Spring Meeting im Mai 2012 in Strasbourg (Frankreich) abgehalten wurde. Das Gemeinsame Labor führt Forschungsarbeiten durch, deren Ziel es ist, bisher ungenutzte Eigenschaften des Siliziums für einen künftigen Einsatz auf neuen Gebie-

Joint lab Ihp / Btu Cottbus“Materials research”

the Joint lab IHp / Btu located on campus at the Brandenburg technical university Cottbus (Btu ) was founded in 2000. It pools the research potential of the partners IHp and Btu and conducts interdisci- plinary research – with substantial participation of students – in the field of silicon-based semicon-ductor materials. the chairs in experimental physics, Materials Science, theoretical physics, physical Chemistry and Circuit Design at the Btu are inte- grated in its research activities. Furthermore, the nearby university of Applied Sciences lausitz is also associated with the Joint lab and has contributed engineering and preparation work.

Within the framework of its research projects, the Joint lab collaborates on contract basis nation-wide with various research facilities such as the MpI of Microstructure physics Halle, HZB Berlin or IKZ Berlin, universities in Göttingen, Halle and Stuttgart, tu Ber-lin, and with silicon companies such as Siltronic AG. the expansion of its international networking is a further important task of the Joint lab. Btu Cottbus and IHp – via the Joint lab IHp / Btu – are members of the international consortium SiWeDS (Silicon Wa-fer engineering & Defect Science Center), associating reputed semiconductor companies and well-known universities. Among existing international scientific contacts, collaboration with the Institute of physics at the St. petersburg State university (Russia) has grown particularly close. together with the Zhejiang university in Hangzhou (China), the 4th Sino-German Symposium “the Silicon Age” was organized and held in September 2012 in Berlin. Furthermore, the Joint lab was significantly involved in the preparation and supervision of the symposium “Advanced Si Materials Research for electronic and photovoltaic Applications III”, which was held at the e-MRS Spring Meeting in May 2012 in Strasbourg (France). the Joint lab con-ducts research aimed at utilizing silicon properties that have not been used to date for new application areas. Based on the results of this forerunning re-

Page 73: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

72 A n n u A l R e p o R t 2 0 2

G e M e I n S a M e l a B o r e – J o I n t l a B S

ten zu erschließen. Auf der Basis der Ergebnisse dieser Vorlaufforschung können für das IHP Entscheidungen für seine zukünftige inhaltliche Ausrichtung vorberei-tet werden. Die Arbeiten zur Photovoltaik wurden im Vergleich zu den Vorjahren in 2012 nicht weiter inten-siviert. Es laufen noch die Mitarbeit im „Kompetenz-zentrum Dünnschicht- und Nanotechnologie für Photo-voltaik Berlin“(PVComB) und im BMU-Verbundprojekt SolarWinS. Das BMBF-Projekt SINOVA zu Nanostruktu-ren für eine zukünftige Photovoltaik wurde ebenfalls abgeschlossen. Weiter konnte der durch das Gemein-same Labor betreute Doktorand der Firma Conergy seine Doktorarbeit in 2012 abschließen.

Die nachfolgend aufgeführten Forschungsschwerpunkte sollen Beiträge zur Weiterentwicklung der Mikroelektro-nik, zur Einführung einer Si-basierten Nanoelekronik und Photonik und zur Unterstützung der Photovoltaik liefern und werden im Rahmen von Projekten, meist in Arbeitsteilung mit externen Partnern und unter Hinzu-ziehung von BTU-Lehrstühlen, verfolgt:- Versetzungs-Engineering und Ge-Schichten für Lichtemitter und andere Anwendungen, wie z.B. Si-basierte Thermoelektrik- Elektrische Aktivität von Kristalldefekten in Solar-Si für ein Wirkungsgrad-Engineering in der wafer- basierten Photovoltaik- Si-Wafer für zukünftige Technologiegenerationen- Entwicklung spezieller Mess- und Diagnose- verfahren.

Im Jahr 2012 bearbeitete bzw. beendete das Gemein-same Labor sieben Drittmittelprojekte, darunter drei BMBF-Projekte, ein BMU-Projekt, ein DFG-Projekt und zwei Industrieprojekte. Durch diese Projekte standen in 2012 etwa 700 T Euro Drittmittel zur Verfügung, die vom IHP bzw. der BTU verwaltet wurden.

search, decisions regarding future research directions of IHp are prepared. the photovoltaic research of the Joint lab was not intensified in 2012 in comparison to the years before. Current activities are the coope-ration in the “Competence Centre thin Film and nano-technology for photovoltaics Berlin” (pVComB) and in the BMu project SolarWinS. the BMBF project SInoVA on nanostructures for future photovoltaics was com-pleted. A doctorate candidate from the Conergy AG, supervised by the Joint lab, finished his thesis.

the research topics listed below aim to deliver con-tributions for the future development of microelec-tronics, for the implementation of Si-based nano-electronics and photonics, and for the support of photovoltaics. the activities are typically organized in the form of projects, usually carried out in col- laboration with external partners and including Btu chairs when useful:- Dislocation-engineering and Ge layers for light emitters and other applications, e.g. Si-based thermoelectric generators- electrical activity of crystal defects in solar silicon for support of efficiency engineering in wafer- based photovoltaics- Si wafers for future technology generations- Development of special methods for measurement and diagnostic procedures.

In 2012 the Joint lab worked on or finished seven projects funded by third parties, among these three projects funded by BMBF (Federal Ministry of educa-tion and Research), one project funded by BMu (Fede-ral Ministry for the environment, nature Conservation and nuclear Safety), one DFG project and two industry funded projects. About € 700 k third-party funds were available for the projects running in 2012. the funds were administered by IHp and Btu Cottbus, respec-tively.

Page 74: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

7A n n u A l R e p o R t 2 0 2

G e M e I n S a M e l a B o r e – J o I n t l a B S

Gemeinsames Labor IHP / TH Wildau (FH)„Hochgeschwindigkeitsbauelemente / Photonik“

Das Gemeinsame Labor des IHP und der TH Wildau hat im Jahr 2012 die Aktivitäten in der Forschung und Aus-bildung weiter vertieft. Die Forschungsschwerpunkte des Joint Lab waren in diesem Jahr:

- Untersuchungen zum Schichtwachstum von Graphen auf Isolatoren - Beschichtung vorgefertigter Teststrukturen mit graphenartigen Schichten- Charakterisierung der Schichten durch Raman- Spektroskopie und Hall-Messungen- kohlenstoffbasierte Schutz- und Funktionsschichten für die Informations- und Kommunikationstechnik einschließlich organischer Elektronik und Photonik sowie Sensorik- elektro-optische Modulatoren und Sensoren.

Im Anschluss an das vom Bundesministerium für Bildung und Forschung (BMBF) geförderte Innova- tionsforum „Schützen und Veredeln von Oberflächen“ gründete die TH Wildau mit Unterstützung des Bundes-ministeriums für Wirtschaft und Technologie (BMWi) ein NEMO-Netzwerk gleichen Namens. Das Netzwerk ist mit Firmen aus der Region Berlin-Brandenburg sowie mit überregionalen Partnern besetzt und begann am 01.07.2012 seine Arbeit. Das strategische Ziel der im Netzwerk zusammengeschlossenen Unternehmen be-steht in der Entwicklung, Realisierung und Vermarktung von innovativen Lösungen auf dem Gebiet der Oberflä-chen- und Beschichtungstechnik. Ebenfalls 2012 wurde ein EU-Projektantrag mit 12 weiteren Partnern, unter anderem dem IHP, erarbeitet.

Joint lab Ihp / tuaS wildau“high-speed devices / photonics”

the Joint laboratory of IHp and the tuAS Wildau have further expanded their activities in research and ed-ucation. the research focus of the Joint lab in 2012 was on:

- Studies on the growth of graphene layers on insulators- Coating of test structures with graphene-like layers- Characterization of the layers by Raman spectroscopy and Hall measurements- Carbon-based protective and functional coatings for the information and communication technology, including organic electronics and photonics as well as sensor systems- electro-optic modulators and sensors.

Following the Innovation Forum “protecting and re-fining surfaces“ funded by the German Federal Mi-nistry of education and Research (BMBF), the tuAS Wildau founded a neMo network of the same name with the support of the Federal Ministry of econo-mics and technology (BMWi). the network is open to firms from the Berlin-Brandenburg region and natio-nal partners and started its work on 01-07-2012. the strategic goal of the companies in the network is the development, implementation and marketing of inno-vative solutions in the field of surface and coating technology. Also in 2012 an eu project proposal with 12 other partners, including IHp, was prepared.

Das Gemeinsame Labor unterstützt das Lehrangebot der BTU mit Vorlesungen, Übungen und Praktika. Im Jahr 2012 wurden je eine Promotion und Diplom-Arbeit abgeschlossen und eine externe Habilitation begleitet.

Weiterführende Informationen über dieses Gemeinsame Labor sind unter www.jointlab.de abrufbar.

the Joint lab supports teaching at Btu Cottbus by conducting lectures, exercises and practical courses. In 2012, one phD thesis as well as one diploma thesis were finished by members of the Joint lab. one exter-nal postdoctoral lecture qualification was assisted.

For further information about the Joint lab please visit the website www.jointlab.de.

Page 75: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

7 A n n u A l R e p o R t 2 0 2

G e M e I n S a M e l a B o r e – J o I n t l a B S

In diesem Projekt geht es um die Entwicklung eines photonischen Sensors mit multiplen Funktionen zur Überwachung der Wasserqualität. Dazu kommen Prak-tika, Bachelor- und Masterarbeiten sowie Kolloquien am IHP und an der TH Wildau.

2012 wurde das Praktikum im IHP für Studenten der TH Wildau, das unter der Bezeichnung „Chipprozessing I und II“ für den Diplomstudiengang über viele Jahre erfolgreich durchgeführt wurde, an die Bedingungen der Bachelorausbildung angepasst. Damit ist die Möglichkeit gegeben diese Studienform, die von den Studenten positiv angenommen wurde, weiter auszu-bauen. Es wurde eine sehr interessante Bachelorarbeit zu „Transfer und Charakterisierung von CVD-Graphen“ erarbeitet. Die hierfür benötigten Graphenschichten auf Kupferfolien wurden im Zuge der Aktivitäten des Gemeinsamen Labors in einer CVD-Vakuumkammer an der TH Wildau hergestellt. Die Charakterisierung des Graphens mittels Ramanspektrometrie erfolgte parallel sowohl am IHP als auch an der TH Wildau. Zudem läuft seit November 2011 eine Promotion an der TH Wildau in Zusammenarbeit mit der Universität Tor Vergata in Rom zum Thema „funktionale Kohlenstoffschichten“. Das Gemeinsame Labor ist hierbei ein wichtiger Part-ner bei der Bereitstellung und Charakterisierung der benötigten Proben sowie bei fachlicher Unterstützung. Hierzu findet ein regelmäßiger Erfahrungsaustausch in Form von Meetings und Vorträgen statt.

Das Projekt CSG-Funktionsschichten wurde 2012 be-gonnen. Dieses Projekt dient der Förderung des wis-senschaftlichen Nachwuchses mit der Zielsetzung, den Übergang von der Hochschule in die Berufstätigkeit zu verbessern und den Verbund zwischen der Technischen Hochschule Wildau (FH) und dem IHP, einer Forschungs-einrichtung in der EU-Zielregion Brandenburg Nord-Ost, weiter zu entwickeln. Der Verbund hat die Stärkung der Forschungskompetenzen, des Wissenstransfers und der Qualität der Lehre in den Zukunftsfeldern Photonik und optische Technologien, Mikro- und Nanoelektronik, Hochleistungsmaterialien, Informations- und Kommu-nikationstechnik sowie Sensorik zum Ziel.

this project involves the development of a photonic sensor with multiple functions for monitoring water quality. Further activities are the internships, bache-lor and master theses, and colloquia at the IHp and at the tuAS Wildau.

In 2012 the practical course for students of the tuAS Wildau at the IHp with the title “Chip processing I and II”, which had been carried out successfully for the Diploma program for many years, was adapted to the conditions of the undergraduate education. thus, it was possible to further expand this study form, which was accepted by the students in a posi-tive sense. A very interesting thesis on “transfer and characterization of CVD graphene“ was prepared. the required graphene layers on copper foils were pre-pared in a CVD vacuum chamber in Wildau as part of the activities. the characterization of graphene by Raman spectrometry was carried out in parallel both at the IHp and at the tuAS Wildau. In addition, since november 2011, a phD thesis on “functional carbon films“ from the tuAS Wildau is under way in colla-boration with the university of tor Vergata in Rome. the Joint lab is an important partner in the provision and characterization of the required samples, as well as for technical support. For this purpose there is a regular exchange of experience in the form of meetings and presentations.

the project CSG functional films started in 2012. this project promotes young scientists with the aim of improving the transition from college to profes- sional life and to further develop the network between the tuAS Wildau and the IHp, a research institute in the eu target region Brandenburg north-east. the goals of the network are to strengthen research skills, knowledge transfer and the quality of teaching in the future fields of photonics and optical technologies, micro- and nanoelectronics, advanced materials, in-formation and communication technology as well as sensors.

Page 76: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

7A n n u A l R e p o R t 2 0 2

G e M e I n S a M e l a B o r e – J o I n t l a B S

Die Forschungsstrategie sieht die Entwicklung neuer Wirkprinzipien und Bauelementkonzepte für die op-tische Informations- und Kommunikationstechnik sowie verwandte Anwendungsgebiete vor. Grundlage hierfür ist die Erforschung neuartiger Funktionsma-terialien, welche vorzugsweise aus den Elementen der vierten Hauptgruppe, insbesondere Kohlenstoff (C), Silizium und Germanium gebildet werden (CSG-Materi-alien). Neben der Bündelung der Forschungs- und Ent-wicklungskapazitäten der beteiligten Einrichtungen im Gemeinsamen Labor ist insbesondere die Entwicklung von forschungsorientierten Arbeitsmöglichkeiten für Studierende und Doktoranden vorgesehen. Dies um-fasst einerseits den Ausbau der Kapazität für die Durch-führung von Forschungspraktika Studierender der TH Wildau am IHP Frankfurt (Oder) und andererseits die Einrichtung einer Forschergruppe, welche es besonders begabten Studierenden und Absolventen ermöglicht, aktiv an Forschungsprojekten des Gemeinsamen La-bors IHP / TH Wildau teilzunehmen, um Beleg-, Bache-lor-, Master- und Doktorarbeiten zu den o.g. Schwer-punktthemen anzufertigen.

the research strategy involves the development of new active principles and device concepts for op-tical information and communication technology and related applications. this is based on the study of novel functional materials, which are preferably formed from the elements of the fourth main group, in particular carbon (C), silicon, and germanium (CSG-materials). Besides combining the research and development capacity of the institutions involved in the Joint lab, the development of research-oriented work opportunities for students and doctoral stu-dents is of special interest. this includes on the one hand the development of the capacity for conducting research internships for students of the tuAS Wildau at the IHp in Frankfurt (oder) and on the other hand the establishment of a research group, which allows exceptionally talented students and graduates to ac-tively participate in research projects of the Joint lab IHp / tuAS Wildau in order to prepare working docu-ments, bachelor, master and doctoral theses on the above key topics.

Abb. 44: Heizer für Graphen mit Proben und Thermoelement.Fig. 44: Graphene heater with samples and thermocouple.

heat shield

Heater with heatexchanger

connections forwater / cooling gas

Page 77: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

76 A n n u A l R e p o R t 2 0 2

G e M e I n S a M e l a B o r e – J o I n t l a B S

Gemeinsames Labor IHP / TU Berlin„Silizium-Photonik“

Die Photonik entwickelt sich gegenwärtig zu einer Schlüsseltechnologie mit einem breiten Anwendungs-spektrum, das sich nicht mehr nur auf das Gebiet der optischen Kommunikation beschränkt. Optische Funk-tionalität ist hierbei ein entscheidendes Kriterium für den angestrebten kommerziellen Erfolg. Einen Schwer-punkt der gegenwärtigen Forschung und Entwicklung bilden integrierte photonische Technologien, basierend auf der Vereinigung von Silizium-IC-Elektronik mit inte-grierter Optik (Silizium-Photonik).

Die Entwicklung einer photonischen Technologie, basie-rend auf den am IHP etablierten BiCMOS-Technologien, ist nur in enger Kooperation mit der Technischen Uni-versität Berlin (FG Hochfrequenztechnik / Photonik) möglich. Das IHP profitiert dabei von dem an der TU Berlin verfügbaren photonischen Know-how, wobei im Gegenzug die TU Berlin für ihre photonischen For-schungen und Entwicklungen Zugang zu den IHP-Tech-nologien bekommt. Als eine effektive Form der Zusam-menarbeit hat sich dabei das im Juni 2010 gegründete Gemeinsame Labor Silizium-Photonik bewährt.

Das Gemeinsame Labor ist auf dem Gebiet der Silizi-um-Photonik in der europäischen Forschungsland-schaft voll integriert und etabliert. Ausdruck dafür ist die Zusammenarbeit in zahlreichen EU finanzierten Photonik-Projekten (HELIOS, ESSENTIAL, GALACTICO, MERMIG). Im Rahmen des Ende 2012 erfolgreich be-endeten HELIOS-Projekts konnte zum ersten Mal eine vollständige elektronisch-photonische Integration auf Basis einer High-Performance-BiCMOS-Technologie am IHP demonstriert werden.

Zusätzlich zu den europäischen Aktivitäten ist das Ge-meinsame Labor auch an verschiedenen nationalen und regionalen (Berlin-Brandenburg) Projekten zu photo-nischen Schwerpunkten, wie z.B. MINIMUM, RF2THzSi-SoC (CATRENE), SASER (CELTIC), sowie am DFG-Sonder-forschungsbereich 787 Nanophotonics beteiligt.

Joint lab Ihp / tu Berlin“Silicon photonics”

photonics is becoming a key technology in everyday life. the application of photonic technologies is no longer limited to optical communications. optical functionality is a crucial criterion for commercial suc-cess. Many present developments focus on integrated photonics technologies, in particular on the conver-gence of silicon IC technology and integrated optics (silicon photonics).

In order to set up a photonics technology based on IHp’s BiCMoS toolset, a close collaboration with technische universität Berlin (FG Hochfrequenztech-nik / photonik) has been established. IHp benefits from the photonics know-how available in tu Berlin, while Berlin gains access to the technology of IHp for photonic research purposes. the frame for this colla-boration is the Joint lab Silicon photonics, founded in June 2010.

the Joint lab Silicon photonics is fully integrated and established member of the european research landscape in photonics, which is reflected in the considerable number of Fp7-funded collaborative projects with focus on photonic technologies (He-lIoS, eSSentIAl, GAlACtICo, MeRMIG). the HelIoS project was finished successfully at the end of 2012 with the first demonstration of fully photonic-elect-ronic integration in IHp’s high-performance BiCMoS technology.

In addition to european activities, the Joint lab Si-licon photonics has been successful in acquiring fe-deral and regional (Berlin-Brandenburg) funding for projects such as MInIMuM, RF2tHzSiSoC (CAtRene), SASeR (CeltIC) and DFG Sonderforschungsbereich 787 (nanophotonics).

Page 78: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

77A n n u A l R e p o R t 2 0 2

G e M e I n S a M e l a B o r e – J o I n t l a B S

Das im Herbst 2012 gestartete VDI / VDE-Verbundprojekt SILIMOD mit dem Institut für Optik und atomare Physik der TU Berlin soll zeigen, wie das Innovationspotential von Forschungsergebnissen aus einem früheren Projekt (SiliconLight) erfolgreich validiert werden kann.

Die langjährige Zusammenarbeit mit dem Leibniz- Institut für Astrophysik Potsdam bei der Entwicklung und Anwendung von Siliziumnitrid-Wellenleitern ist ein Beispiel für die fruchtbare Kooperation zweier Bran-denburger Institute der Leibniz-Gemeinschaft.

Die exzellente Vernetzung des Joint Labs dokumentiert die folgende Auflistung wichtiger Kooperationspartner aus Industrie und Forschung:ALCATEL-LUCENT (Deutschland), CEA-LETI (Frankreich),ASTRIUM (Frankreich), FHG-HHI (Deutschland),CONSTELEX (Griechenland), FHG-IZM (Deutschland),CORIANT (Portugal), IMEC (Belgien), DAS (Spanien), TNO (Niederlande), MODULIGHT (Finnland), TYNDALL (Irland), PHOTLINE (Frankreich), UPVLC (Spanien), SECOPTA (Deutschland), Uni Southampton (Großbritannien), TELECOM ITALIA (Italien),U2T (Großbritannien & Deutschland)

Im November 2012 war das Gemeinsame Labor Veran-stalter eines mehrtägigen Trainingsworkshops der Sili-con-Photonics-Technologieplattform ePIXfab mit zahl-reichen Teilnehmern aus zehn verschiedenen Ländern.

Neben den zahlreichen Forschungsaktivitäten verbes-sert das Gemeinsame Labor Silizium-Photonik auch die akademische Anbindung des IHP. Das Gemeinsame Labor ist mit zwei Vorlesungen an der TU Berlin vertre-ten, die von Prof. Dr. B. Tillack und Dr. L. Zimmermann gehalten werden. Im Gemeinsamen Labor werden zahl-reiche Studien-, Diplom-, Master und Doktorarbeiten betreut.

the joint VDI / VDe project with tu Berlin (Institut für optik und atomare physik) SIlIMoD was started in au-tumn 2012. A successful validation of research results of the former project Siliconlight is the main goal of this cooperation.

In the frame of a bilateral cooperation with leibniz- Institut für Astrophysik potsdam, silicon-nitride waveguides were developed and implemented. this is an excellent example of fruitful cooperation between two Brandenburg research institutes of leibniz Asso-ciation.

the excellent integration of IHp’s Joint lab Silicon photonics is demonstrated by the list of cooperation partners from industry and research institutes:AlCAtel-luCent (Germany), CeA-letI (France),AStRIuM (France) , FHG-HHI (Germany),ConSteleX (Greece), FHG-IZM (Germany),CoRIAnt (portugal), IMeC (Belgium), DAS (Spain), tno (netherlands), MoDulIGHt (Finland), tYnDAll (Irland), pHotlIne (France), upVlC (Spain), SeCoptA (Germany), uni Southampton (united Kingdom),teleCoM ItAlIA (Italy),u2t (united Kingdom & Germany)

In november 2012, the Joint lab arranged a training course for the european Silicon photonics platform epIXfab with numerous participants from ten coun-tries.

In addition to extensive research activities the Joint lab actively links IHp to academia. Currently, two courses at tu Berlin are provided by IHp, lectured by prof. B. tillack and Dr. l. Zimmermann. A consider-able number of diploma, master, and phD theses are conducted in the frame of the Joint lab.

Page 79: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

78 A n n u A l R e p o R t 2 0 2

Gemeinsames Labor IHP / HU Berlin „Drahtlose Kommunikationssysteme“

Auf der Basis eines Kooperationsvertrages zwischen der Humboldt-Universität zu Berlin mit dem Leibniz Insti-tut für innovative Mikroelektronik, IHP, wurde zur Bün-delung der Forschungskompetenzen ein Gemeinsames Labor gegründet und im Mai 2012, anlässlich des Tages der Informatik, offiziell eröffnet.

Joint lab Ihp / hu Berlin“wireless Communication Systems”

Based on a cooperation agreement between Hum-boldt-university Berlin and IHp, both institutions es-tablished a Joint lab. the purpose of the Joint lab is to bundle the research expertise of both institutions. It was formally opened on occasion of the Day of In-formatics on the 3rd of May 2012.

Abb. 45: Feierliche Eröffnung des Joint Lab IHP / HU Berlin anlässlich des Tages der Informatik am 03.05.2012. (v.l.n.r. Prof. Dr. Grass (IHP / HU), Prof. Dr. Meffert (HU), Prof. Dr. Kraemer (IHP), Prof. Dr. Mehr (IHP), Prof. Dr. Freytag (HU))Fig. 45: Formal opening of Joint lab IHp / Hu Berlin on occasion of the Day of Informatics on 03-05-2012. (from left: prof. Grass (IHp / Hu), prof. Meffert (Hu), prof. Kraemer (IHp), prof. Mehr (IHp), prof. Freytag (Hu))

Mit dem Aufbau und der Leitung dieses Gemeinsamen Labors ist Prof. Dr.-Ing. Eckhard Grass betraut. Das Fachgebiet „Drahtlose Kommunikationssysteme“, ver- treten durch die gleichnamige Professur innerhalb der Tech-nischen Informatik, befasst sich in Lehre und Forschung mit Kommunikationssystemen für höchste Datenraten.

In der Lehre wird neben den Grundlagen der Nachrich-tentechnik auch auf spezielle aktuelle Entwicklungen und Standards eingegangen. Der rapide wachsende Anteil an multimediafähigen Smartphones und die Ein-führung von HD- und 3D-Videostandards führt zu einem rasanten Anstieg der notwendigen Übertragungsraten für mobile Endgeräte. Ausgehend von den Kanaleigen-schaften werden Algorithmen, Architekturen und Imple-

prof. Grass has taken charge for establishing and for the leadership of this Joint lab. His research field and subject of teaching is focused on Wireless Communi-cation Systems.

In the lectured module Wireless Broadband Commu-nications Systems, basic wireless communication techniques are taught. Special focus is on current and future wireless standards such as Ieee802.11ad and Ieee802.15.3c. the rapidly growing percentage of smartphones and other wireless devices in con-junction with the introduction of HD- and 3D video standards leads to a dramatic increase of required

G e M e I n S a M e l a B o r e – J o I n t l a B S

Page 80: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

79A n n u A l R e p o R t 2 0 2

Die Forschungsschwerpunkte des Gemeinsamen Labors liegen auf den Gebieten Breitbandkommunikation, Lokalisierungsverfahren, Signalverarbeitung und Co- design. (http://www.ihp-hub-bb.jointlab.de/)

the main research areas pursued in the Joint lab are Wireless Broadband Communication Systems, locali-zation and Ranging as well as Model Based Design.(http://www.ihp-hub-bb.jointlab.de/)

Ihp: applied researchWireless Broadband Communications, technology, Application of Wireless Systems, localization / Ranging … • Industry projects from Airbus to VW• BMBF projects

hu Berlin: Basic researchnetwork Architectures, Model-based design, Digital Signal processing, Distributed and embedded Systems …• Graduation (MetRIK, …)• DFG projects

mentierungsaspekte für drahtlose Kommunikationssys-teme mit höchsten Datenraten erörtert. Die Teilnehmer werden an den Entwurf und die Implementierung von drahtlosen Kommunikationssystemen herangeführt. Das in der Vorlesung vermittelte Wissen wird im Prakti-kum angewendet und an konkreten Beispielen in einer Übung vertieft.

Das Gemeinsame Labor ermöglicht eine zukunftswei-sende, zieloffene und ergebnisoffene Forschungskoope-ration zwischen der HU Berlin und dem IHP. Die Kompe-tenzen beider Einrichtungen werden zum gegenseitigen Nutzen gebündelt.

data rate. Based on wireless channel characteris-tics, algorithms, architectures and implementation aspects of wireless communication systems are pre-sented. the students are introduced to the develop-ment and implementation of wireless systems. the material taught in the lecture is applied in a lab class and to specific problems in an exercise.

the Joint lab facilitates a future-oriented, mutually beneficial cooperation between Hu-Berlin and IHp. For the benefit of both institutions their resources and competences are bundled.

G e M e I n S a M e l a B o r e – J o I n t l a B S

Page 81: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

80 A n n u A l R e p o R t 2 0 2

Gemeinsames Labor IHP / TU Berlin „Bioelektronik“

Im Juli 2012 wurde vom Institut für Biotechnologie der TU Berlin und dem IHP das Gemeinsame Labor für Bioelektronik gegründet. Die ersten gemeinsamen Ak-tivitäten haben am Fachgebiet Bioverfahrenstechnik begonnen und betreffen den Einsatz mikroelektronisch gefertigter Sensoren für das online Monitoring von Biore-aktoren. Bioreaktoren werden heute in vielfältiger Weise in der Nahrungsmittelindustrie, der industriellen Biotechno-logie, zur Produktion erneuerbarer Energieträger (Bioetha-nol, Biogas), sowie in der Pharmaproduktion eingesetzt.

Joint lab Ihp / tu Berlin“Bioelectronics”

In July 2012 the Joint lab Bioelectronics was founded by tu Berlin and IHp. First activities of the Joint lab aim at the usage of microelectronic sen-sors for the on-line monitoring of bioreactors and fermenters. today, bioreactors are applied in numerous ways in the food industry, industrial biotechnology, for the production of renewable energy sources (bio-ethanol, biogas) as well as in pharmaceutics.

Abb. 46: Mikroelektromechanisches System (MEMS) des Glucose- sensorchips vom IHP bestehend aus einem aus Titannitrid gefertigten Bügel in X-Form, der an vier Aufhängepunkten befestigt ist und 2,5 μm über der Grundplatte schwebt. Bei Anlegen einer elektrischen Spannung wird der Bügel mechanisch ausgelenkt und es verändert sich die elektrische Kapazität der Anordnung. Im Gemeinsamen Labor für Bioelektronik wird die Eignung solch neuartiger Biosensoren für das Monitoring von Bioreaktoren unter- sucht.Fig. 46: Microelectromechanical system (MeMS) of IHp’s glucose sensor chip having an X-shaped tin beam, which is attached to four anchor points and situated 2.5 µm above a capacitor ground plate. Applying an electrical voltage causes a mechanical deflection of the beam and a variation of the MeMS capacitance. the usability of such innovative biosensors for the monitoring of bioreactors is under investigation in the Joint lab Bioelectronics.

Der verfolgte Ansatz zielt darauf ab, mikroelektronisch gefertigte Biomolekülsensoren an den Einsatz in Bio-reaktoren anzupassen und damit die großen Poten- ziale der Mikroelektronik für die Miniaturisierung und Integration intelligenter Funktionen zu nutzen. Eine Anwendung ist dabei die Bestimmung von niedermo-lekularen Analyten wie z.B. Glucose, dem wichtigsten Nährstoffmolekül in Bioreaktoren. Ausgangspunkt der Aktivitäten ist der in den letzten Jahren am IHP ent-wickelte Sensorchip zum kontinuierlichen Glucose-Mo-nitoring, der die Überwachung von Diabetikern zum Ziel hat. Der Chip arbeitet als mikroelektromechanisches

It is intended to adapt biosensors fabricated by se-miconductor technology to their usage in bioreac-tors and to exploit the large potentials of microelec- tronics for miniaturization and integration of intelli-gent functions. An application is the determination of low-molecular-weight analytes like glucose, the most relevant feeding source in bioreactors. Starting point of activities is the sensor chip fabricated at IHp for continuous glucose monitoring, which has been developed for the monitoring of diabetic patients. the chip operates as a microelectromechanical sys-tem (MeMS) by the principle of affinity viscosimetry,

G e M e I n S a M e l a B o r e – J o I n t l a B S

Page 82: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

8A n n u A l R e p o R t 2 0 2

System (MEMS) nach dem Prinzip der Affinitätsviskosi-metrie, d. h. er bestimmt die Konzentration von Glucose aus der Veränderung der Viskosität einer sensorischen Flüssigkeit, in dem die Glucose und ein Glucosepolymer (Dextran) um die Bindung an das pflanzliche Protein Concanavalin A (ConA) konkurrieren. Je nach Vernet-zungsgrad zwischen Dextran und ConA herrscht eine von der Glucosekonzentration abhängige Viskosität, die über die Geschwindigkeit bestimmt wird, mit der sich ein mi-kroskopisch kleiner Bügel durch die Flüssigkeit bewegt.

Als weiteres Ziel hat sich das Gemeinsame Labor „Bio-elektronik“ die Aufgabe gestellt, Studenten der Lebens-wissenschaften stärker mit der Mikroelektronik vertraut zu machen. Die Ausbildung von Studenten am Gemein-samen Labor Bioelektronik hat das Ziel, dual ausge- bildete Absolventen hervor zu bringen, die sowohl im Bereich der Mikroelektronik als auch der Lebenswissen-schaften über berufsqualifizierende Kenntnisse verfügen. Im Sommersemester 2012 hat das erste Mal die Vorle-sung „Einführung in die Bioelektronik“ stattgefunden (Dr. M. Birkholz), die im Verantwortungsbereich der Bio- verfahrenstechnik (Prof. P. Neubauer) gehalten wird.

i.e. the concentration of glucose is determined from the modulation of viscosity of a sensoric liquid, in which glucose and a glucose polymer (dextrane) com-pete for the binding sites of the plant protein Con-canavalin A (ConA). A glucose-modulated viscosity is adjusted by the assay which depends on the degree of cross-linking between macromolecules and which is determined from the velocity by which a microscopic beam moves within the liquid.

In addition, a further task of the Joint lab Bio- electronics is to bring students of life sciences into closer contact with microelectronics. the education of students at the Joint lab aims to qualify graduates with knowledge in both biotechnology and micro-electronics. A first lecture course has been held titled “Introduction in Bioelectronics” (Dr. M. Birkholz) and will be offered in the future every summer se-mester in the department of bioprocess engineering (prof. p. neubauer).

G e M e I n S a M e l a B o r e – J o I n t l a B S

Page 83: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

82 A n n u A l R e p o R t 2 0 2

Collaboration and Partners

Page 84: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

8A n n u A l R e p o R t 2 0 2

Z u S a M M e n a r B e I t u n d p a r t n e r – C o l l a B o r a t I o n a n d p a r t n e r S

Industrie / Industry*

adMoS GmbH, GermanyadvICo microelectronics GmbH, GermanyAgilent technologies, uSAAlcatel-lucent Deutschland AG, GermanyAlcatel-thales III-V lab, France alpha microelectronics GmbH, GermanyApache Design Solutions Inc., uSAAstrium SAS, FranceAustriamicrosystems AG, AustriaBachmann electronic GmbH, GermanyBio Sensor technology GmbH, GermanyB•R•A•H•M•S GmbH, GermanyBrandenburgklinik Berlin-Brandenburg GmbH & Co. KG, GermanyCambridge Silicon Radio ltd., uKCentellax Inc., uSACisco optical GmbH, GermanyDr. Wolf Wireless GmbH, Germanyericsson AB, Swedeneuropean Aeronautic Defence and Space Company, Germanyeuropean Space Agency, GermanyFrance telecom SA, FranceFGup npp pulsar, Russia Green Way Systems GmbH, GermanyHellenic Aerospace Industry, GreeceIlBC GmbH, GermanyIMSt GmbH, GermanyInfineon technologies AG, GermanyInnoSent GmbH, GermanyItAVA Systems GmbH, GermanyKayser-threde GmbH, Germanylesswire AG, GermanylIMeteC Biotechnologies GmbH, Germany

MeYteC GmbH Informationssysteme, GermanyMicroelectronic Assembly Frankfurt (oder) GmbH, Germany Mikron JSC Moscow, Russia nanotron technologies GmbH, GermanynXp Semiconductors Belgium n.V., Belgiumpac tech GmbH, Germany philips, the netherlandsphilotech GmbH, GermanypicoQuant GmbH, GermanypRIGnItZ Mikrosystemtechnik GmbH, GermanyQuantum Hydrometrie Gesellschaft für Mess- und Systemtechnik mbH, GermanyRobert Bosch GmbH, GermanyRohde & Schwarz GmbH & Co. KG, GermanySecopta GmbH, GermanySelMIC, FinlandSenteCH Instruments GmbH, Germany SHt Smart High tech AB, Sweden Siemens energy Automation GmbH, GermanySilicon Radar GmbH, GermanySiltronic AG, GermanySitec Sensortechnik GmbH, GermanySpace engineering S.p.A., ItalySt Microelectronics SA, Francetelecom Italia S.p.A., ItalyteS electronic Solutions GmbH, Germanythales, France u2t photonics AG, Germany up transfer GmbH, Germany Vistec electron Beam GmbH, Germany VI Systems GmbH, GermanyX-FAB Semiconductor Foundries AG, Germany

*Ausgewählte Partner / Selected partners

Page 85: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

8 A n n u A l R e p o R t 2 0 2

Z u S a M M e n a r B e I t u n d p a r t n e r – C o l l a B o r a t I o n a n d p a r t n e r S

Brandenburg university of Applied Sciences, GermanyBrandenburg university of technology, GermanyCeRn, SwitzerlandCSIRo Sydney, AustraliaChemnitz university of technology, GermanyDemocritus university of thrace, GreeceDeutsches elektronen-Synchrotron DeSY, GermanyetH Zürich, SwitzerlandetRI- electronics and telecommunications ResearchInstitute, Koreaeuropean Synchrotron Radiation Facility, Franceeuropean university Viadrina, GermanyFederal office for Information Security, GermanyFerdinand-Braun-Institut, leibniz-Institut für Höchstfrequenztechnik, GermanyForschungszentrum Jülich, GermanyFoRtH – Foundation for Research and technology – Hellas, GreeceFraunhofer enAS, GermanyFraunhofer HHI, GermanyFraunhofer IBMt, GermanyFraunhofer IIS, GermanyFraunhofer IZM, GermanyFrench national Center for Scientific Research,France

Friedrich-Alexander-universität erlangen-nuremberg,GermanyGerman Aerospace Center, GermanyHamburg university of technology, GermanyHelmholtz-Centre Berlin for Materials and energy,GermanyHumboldt-universität zu Berlin, GermanyIlmenau university of technology, GermanyIMeC, BelgiumInstitute for Solar energy Research Hameln/ emmerthal, GermanyInstitute of Microelectronics, SingaporeInstitute of nanotechnology, GermanyKarlsruhe Institute of technology, GermanyKtH Royal Institute of technology, Swedenleibniz Institute for Crystal Growth, Germanyleibniz Institute for Solid State and Materials Research Dresden, Germanyleibniz university Hannover, Germanyleti, Francelinköping university, SwedenMax planck Institute for Microstructure physics, GermanyMicroelectronics Research Institute “progress”, Russianational and Kapodistrian university of Athens, Greece

*Ausgewählte Partner / Selected partners

Forschungsinstitute und Universitäten / research Institutes and universities*

Page 86: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

8A n n u A l R e p o R t 2 0 2

Z u S a M M e n a r B e I t u n d p a r t n e r – C o l l a B o r a t I o n a n d p a r t n e r S

national taiwan university, taiwannational university of Ireland, Irelandnetherlands organisation for Applied Scientific Research, the netherlandsotto von Guericke university Magdeburg, Germany paul Drude Institute for Solid State electronics, Germanypoznan university of technology, polandRuhr-university Bochum, GermanyRWtH Aachen, GermanySabanci university, turkeytechnical university of Darmstadt, Germanytechnical university of Applied Sciences Wildau, Germanytechnical university of Berlin, Germanytechnical university of Braunschweig, Germany technical university of Dresden, Germanytechnical university of Madrid, Spaintelemedicine Center Charité – universitätsmedizinBerlin, Germanytohoku university, Japantu Delft, the netherlandsuCl leuven, Belgiumtyndall national Institute, Irelanduniversitat polite cnica de Vale ncia, Spainuniversité Bordeaux 1, France

university of Barcelona, Spainuniversity of Bologna, Italyuniversity of Bremen, Germanyuniversity of California, los Angeles, uSAuniversity of Cantabria, Spainuniversity of Kassel, Germanyuniversity of lisboa, portugaluniversity of osnabrück, Germanyuniversity of paderborn, Germanyuniversity of potsdam, Germanyuniversity of Stuttgart, Germanyuniversity of Surrey, uKuniversity of toronto, Canadauniversity of trento, Italyuniversity of udine, Italyuniversity of ulm, Germany university of Wuppertal, Germanyuppsala university, SwedenVtt technical Research Centre of Finland, FinlandWest pomeranian university of technology, Szczecin, polandWroclaw university of technology, polandYonsei university, KoreaZhejiang university, China

Page 87: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

86 A n n u A l R e p o R t 2 0 2

Guest Scientists and Seminars

Page 88: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

87A n n u A l R e p o R t 2 0 2

G a S t w I S S e n S C h a F t l e r u n d S e M I n a r e – G u e S t S C I e n t I S t S a n d S e M I n a r S

Gastwissenschaftler / Guest Scientists

Gastwissenschaftler Institution ForschungsgebietGuest Scientists Institution research area

1. Mr. Jakob Anders university of potsdam, Germany System Design2. Mr. Michael Augustin Brandenburg university of technology, Germany System Design3. Mr. Sergey Belyaev Moscow State Institute of electronic technology, Russia technology4. Mr. oleksandr Beznosyk national technical university of ukraine Kiev System Design polytechnic Institute, ukraine 5. prof. Giovanni Capellini Sapienza university of Rome, Italy Materials Research6. Mr. Anton Datsuk polyteda, Russia System Design & technology7. Dr. Rafal Dlugosz university of technology and life Sciences Materials Research in Bydgoszcz, poland 8. Mr. Andrzej Gajda technical university of Berlin, Germany technology9. Dr. niu Gang lyon nanotechnology Institute, France Materials Research10. Dr. Yasar Gürbüz Sabanci university, turkey technology11. prof. Christoph Jungemann RWtH Aachen, Germany technology12. Dr. Wojciech Koczorowski poznan university of technology, poland Materials Research13. Mrs. Minsu Ko Yonsei university, Korea Circuit Design14. Mrs. Despoina petousi technical university of Berlin, Germany technology15. Mrs. Vladica Sark Humboldt-universität zu Berlin, Germany System Design16. Mrs. nemanja Savic German Aerospace Center, Germany System Design17. prof. Valeriy Stikanov national technical university of ukraine Kiev System Design polytechnic Institute, ukraine 18. Dr. Adam Szyszka Wroclaw university of technology, poland Materials Research19. Dr. Ibrahim tekin Sabanci university, turkey technology20. Mrs. nuria torres Matabosch French national Center for Scientific Research, France technology21. Mr. Christoph tzschoppe technical university of Dresden, Germany System Design22. Mr. Ali umair university of paderborn, Germany Circuit Design23. Mrs. pu Wang university of California, los Angeles, uSA Materials Research24. Mr. Adam Wykrota poznan university of technology, poland Materials Research25. prof. Ya-Hong Xie university of California, los Angeles, uSA Materials Research26. Mr. Chong Zhang university of Surrey, united Kingdom technology

Page 89: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

88 A n n u A l R e p o R t 2 0 2

G a S t w I S S e n S C h a F t l e r u n d S e M I n a r e – G u e S t S C I e n t I S t S a n d S e M I n a r S

Seminare / Seminars

Vortragender Institution Themapresenter Institution topic

1. Dr. Dan Mihai Buca Forschungszentrum Jülich, Germany Strained Si(Ge) Channels and epitaxial Silicides for High Mobility QW-MoSFets2. Dr. Stefano Chiussi university of Vigo, Spain pulsed uV-laser processing of Amorphous and Crystalline Group IV Semiconductors3. Dr. Regina Dittmann Forschungszentrum Jülich, Germany nanoscale Analysis of electroforming and Switching in Resistive Switching Srtio3 Devices 4. Mr. Michael engel Karlsruhe Institute of technology (KIt), light-Matter Interaction in a Institute of nanotechnology (Int), Germany Microcavity-Controlled Graphene transistor5. Dr. Jan Ingo Flege university of Bremen, Germany Cerium oxide on Metal and Semiconductor Surfaces6. Dr. Cary Gunn Genalyte, uSA Silicon photonics technology for Diagnostics and Biological Research7. Dr. Manfred Hild Humboldt-universität zu Berlin, Germany Cognitive Sensorimotor loops8. Dr. Michael Hochberg university of Delaware, uSA Silicon Integrated optoelectronics: A transition from Devices to Systems9. prof. Christoph RWtH Aachen, Germany Microscopic Simulation of noise Jungemann in SiGe HBts10. prof. Josif Kjosev Ss. Cyril and Methodius university Switched-Capacitor power Converters: in Skopje, Macedonia Basics, overview and Current State11. Dr. Michael lehmann technical university of Berlin, Germany (HR)teM and electron Holography of Semiconductor nanophotonic Devices12. prof. Juin-J. liou university of Central Florida, uSA Recent Development in electrostatic Discharge (eSD) protection of RF Integrated Circuits13. Dr. Jens Martin university of exeter, uK local Compressibility Measurements of Correlated States in Suspended Bilayer Graphene

Page 90: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

89A n n u A l R e p o R t 2 0 2

G a S t w I S S e n S C h a F t l e r u n d S e M I n a r e – G u e S t S C I e n t I S t S a n d S e M I n a r S

Vortragender Institution Themapresenter Institution topic

14. prof. Janina Maultzsch technical university of Berlin, Germany Raman Spectroscopy of Graphene15. prof. Klaus-peter Brandenburg university of Applied Sciences, IR-thermography with Microscopic Möllmann Germany Spatial Resolution and High time- Resolution16. prof. Joachim KtH Royal Institute of technology, Sweden Monocrystalline Silicon Microwave oberhammer MeMS Devices at KtH Royal Institute of technology, Stockholm 17. Dr. Michele ortolani Istituto di Fotonica e nanotecnologie Strained Ge / SiGe Heterostructures (CnR-IFn), Rome, Italy for Silicon-Based emitters and Amplifiers of Radiation in the terahertz Range18. prof. Jacob piehler university of osnabrück, Germany Functional organization of proteins into Micropatterns19. Dr. Stefan Schmult technical university of Dresden, Germany State-of-the-art Gan based Heterostructures Grown by MBe20. prof. Andreas Stierle DeSY and university of Hamburg, Germany novel X-ray Diffraction Schemes for the Investigation of nanoparticles under Reaction Conditions21. prof. Christoph leibniz university Hannover, Germany low Dimensional electron Gases at tegenkamp Surfaces: transport properties and Collective excitations22. Dr. Andrey turchanin Bielefeld Institute for Biophysics and A Molecular Route to Carbon nanoscience, Germany nanomembranes and Graphene for Functional Applications23. prof. Michele Virgilio university of pisa, Italy Band Structure engineering of Ge-rich SiGe nanostructures for photonics Applications24. prof. Rainer Waser Forschungszentrum Jülich, Germany ReRAM – a Case Study of Wishful thinking and pitfalls

Page 91: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

90 A n n u A l R e p o R t 2 0 2

p u B l I K a t I o n e n – p u B l I C a t I o n S

Publications

Page 92: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

9A n n u A l R e p o R t 2 0 2

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

ErschienenePublikationenPublished Papers

(1) entwurf fehlertoleranter Zustands- automaten mit variablem Schutz für spezifische eingabesequenzen

M. Augustin, M. Gössel, R. Kraemer proc. 24. GI / GMM / ItG-Workshop: test-methoden und Zuverlässigkeit von Schaltungen und Systemen, 47 (2012)

(2) Cexalyoz / tin Stack analysis for MIM

applications: effect of annealing and the Metal electrode deposition Method

C. Baristiran Kaynak, M. lukosius, B. tillack, Ch. Wenger, A. Abrutis, M. Skapas thin Solid Films 520, 4518 (2012)

(3) energy Budget of an Implantable Glucose Measurement System

t. Basmer, D. Genschow, M. Fröhlich, M. Birkholz Biomedical technology 57, 276 (2012)

(4) nanostructured Silicon for Ge nanoheteroepitaxy

J. Bauer, Y. Yamamoto, p. Zaumseil, o. Fursenko, K. Schulz, G. Kozlowski, t. Schroeder, B. tillack Microelectronic engineering 97, 169 (2012)

(5) electrical Characterization of advanced MIM Capacitors with Zro2 Insulator for high-density packaging and rF applications

t. Bertaud, C. Bermond, S. Blonkowski, Ch. Vallée, t. lacrevaz, A. Farcy, M. Gros-Jean, B. Fléchet Ieee transactions on Components, packaging and Manufacturing technology 2(3), 502 (2012)

(6) hfo2-based rraM for embedded non- Volatile Memory: From Materials Science to Integrated 1t1r rraM arrays

t. Bertaud, D. Walczyk, M. Sowinska, D. Wolansky, B. tillack, G. Schoof, V. Stikanov, Ch. Wenger, S. thiess, t. Schroeder, Ch. Walczyk eCS transactions 50(4), 21 (2012)

(7) In-operando and non-destructive analysis of the resistive Switching in the ti / hfo2 / tin-based System by hard X-ray photoelectron Spectroscopy

t. Bertaud, M. Sowinska, D. Walczyk, S. thiess, A. Glosovskii, Ch. Walczyk, t. Schroeder Applied physics letters 101, 143501 (2012)

(8) resistive Switching of hfo2 based Metal- Insulator-Metal diodes: Impact of the top electrode Material

t. Bertaud, D. Walczyk, Ch. Walczyk, Ch. Wenger, S. Kubotsch, M. Sowinska, t. Schroeder, Ch. Wenger, C. Vallée, p. Gonon, C. Mannequin, V. Jousseaume, H. Grampeix thin Solid Films 520, 4551 (2012)

(9) resistive Switching of ti / hfo2-based Memory devices: Impact of the atmosphere and oxygen partial pressure

t. Bertaud, M. Sowinska, D. Walczyk, Ch. Walczyk, St. Kubotsch, Ch. Wenger, t. Schroeder Iop Conference Series: Material Science and engineering 41, 012018 (2012)

(10) Minimal-invasiver Blutzuckersensor (MIBS) M. Birkholz, K.-e. ehwald, M. Fröhlich, p. Kulse, t. Basmer, R. ehwald, t. Guschauski, u. Stoll, H. Siegel, S. Schmaderer, J. Szeponik, D. Zahn proc. 16. GMA / ItG-Fachtagung Sensoren und Messsysteme 2012, 177 (2012)

Page 93: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

92 A n n u A l R e p o R t 2 0 2

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

(11) textured Strontium titanate layers on platinum by atomic layer deposition

t. Blomberg, J. Anttila, S. Haukka, M. touminen, M. lukosius, Ch. Wenger, t. Saukkonen thin Solid Films 520, 6535 (2012)

(12) Support for a long lifetime and Short end-to-end delays with tdMa protocols in Sensor networks

M. Brzozowski, H. Salomon, p. langendörfer International Journal of Distributed Sensor networks (2012)

(13) high temperature X-ray diffraction Measurements on Ge / Si(001) hetero- structures: a Study on the residual tensile Strain

G. Capellini, M. De Seta, p. Zaumseil, G. Kozlowski, t. Schroeder Journal of Applied physics 111, 073518 (2012)

(14) tensile Strained Ge layers obtained Via a Si-CMoS Compatible approach

G. Capellini, G. Kozlowski, Y. Yamamoto, M. lisker, t. Schroeder, A. Ghrib, M. de Kersauson, M. el Kurdi, p. Boucaud, B. tillack proc. International SiGe technology and Device Meeting (IStDM 2012), (2012)

(15) narrow Intersubband transition in n-type Ge / SiGe Multi Quantum wells: Control of the terahertz absorption energy through the temperature dependent depolarization Shift

M. De Seta, G. Capellini, M. ortolani, M. Virgilio, G. Grosso, G. nicotra, p. Zaumseil nanotechnology 23, 465708 (2012)

(16) 120 Ghz radar Mixed-Signal transceiver W. Debski, W. Winkler, Y. Sun, M. Marinkovic, J. Borngräber, J.C. Scheytt proc. european Microwave Integrated Circuit Conference (euMIC 2012), (2012)

(17) an X-Band, high performance, SiGe-hBt power amplifier for phased arrays

t. Dinc, I. Kalyoncu, M. Kaynak, Y. Gurbuz proc. european Microwave Conference, (euMW 2012), 472 (2012)

(18) Side Channel attacks and the non-Volatile Memory of the Future

Z. Dyka, Ch. Walcyk, D. Walczyk, Ch. Wenger, p. langendörfer proc. International Conference on Compilers, Architectures and Synthesis for embedded Systems (CASeS 2012), 13 (2012)

(19) towards Strong Security in embedded and pervasive Systems: energy and area optimized Serial polynomial Multipliers in GF(2k)

Z. Dyka, p. langendörfer, F. Vater, St. peter proc. 5th IFIp International Conference on new technologies, Mobility and Security, (ntMS 2012), (2012)

(20) 60 Ghz wireless Broadband Cable replacement for Machine Vision applications

M. ehrig, M. petri proc. International Symposium on Signals, Systems and electronics (ISSSe 2012), (2012)

(21) a 60 Ghz eight-element phased-array receiver Front-end in 0.25 µm SiGe BiCMoS technology

M. elkhouly, Ch.-S. Choi, S. Glisic, F. ellinger, J.C. Scheytt euMA International Journal of Microwave and Wireless technologies 4(06), 579 (2012)

Page 94: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

9A n n u A l R e p o R t 2 0 2

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

(22) exploring pausible Clocking Based GalS design for 40-nm System Integration

X. Fan, M. Krstic, e. Grass, B. Sanders, Ch. Heer proc. Design, Automation and test in europe, (DAte 2012), 1118 (2012)

(23) performance analysis of GalS datalink based on pausible Clocking

X. Fan, M. Krstic, e. Grass proc. 18th Ieee International Symposium on Asynchronous Circuits and Systems (ASYnC 2012), 126 (2012)

(24) Simulation Methodology for dose effects in lateral dMoS transistors

p. Fernandez-Martinez, F.R. palomo, S. Diez, S. Hidalgo, M. ullan, D. Flores, R. Sorge Microelectronics Journal 43, 50 (2012)

(25) long-term reliability of high-performance SiGe:C heterojunction Bipolar transistors

G.G. Fischer, D. Micusik, A. pocej Ieee Bipolar / BiCMoS Circuits and technology Meeting, (BCtM 2012), 182 (2012)

(26) untersuchungen zur Biostabilität eines implantierbaren Glucosesensors

M. Fröhlich, K.-e. ehwald, p. Kulse, o. Fursenko, J. Katzer, M. Birkholz proc. DpG Frühjahrstagung, Fachverband Biologische physik, Bp 8.15 (2012)

(27) Biostability of an Implantable Glucose Sensor Chip

M. Fröhlich, M. Birkholz, K.-e. ehwald, p. Kulse, o Fursenko, J. Katzer Iop Conference Series: Materials Science and engineering 41, 012022 (2012)

(28) Characterization of Si nanowaveguide line edge roughness and its effect on light transmission

o. Fursenko, J. Bauer, A. Knopf, St. Marschmeyer, l. Zimmermann, G. Winzer Materials Science and engineering B 177(10), 750 (2012)

(29) optical properties and Band Gap Charac- terization of high dielectric Constant oxides

o. Fursenko, J. Bauer, G. lupina, p. Dudek, M. lukosius, Ch. Wenger, p. Zaumseil thin Solid Films 520, 4532 (2012)

(30) highly efficient Cw parametric Conversion at 1550 nm in SoI waveguides by reverse biased p-i-n Junction

A. Gajda, l. Zimmermann, G. Winzer, H. tian, M.J. Far, R. elschner, t. Richter, C. Schubert, B. tillack, K. petermann optics express 20(12), 13100 (2012)

(31) high efficiency Cw Four-wave Mixing at 1.5 µm in SoI nano-rib waveguides using p-i-n diodes

A. Gajda, G. Winzer, B. tillack, K. petermann, l. Zimmermann, H. tian, R. elschner, t. Richter, C. Schubert proc. 9th International Conference on Group IV photonics, 225 (2012)

(32) 60 Ghz polarimetric MIMo Sensing: architectures and technology

A.p. Garcia Ariza, R. Müller, R. Stephan, F. Wollenschläger, A. Schulz, M. elkhouly, J.C. Scheytt, u. trautwein, J. Müller, R.S. thomä, M.A. Hein proc. european Conference on Antennas and propagation, (euCAp 2012), 2578 (2012)

Page 95: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

9 A n n u A l R e p o R t 2 0 2

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

(33) Synchronous Signal acquisition and processing in FMCw-radar applications

D. Genschow, M. Mahlig proc. International Symposium on Signals, Systems and electronics (ISSSe 2012), (2012)

(34) Continuously tunable delay line Based on SoI tapered Bragg Gratings

I. Giuntoni, D. Stolarek, D.I. Kroushkov, J. Bruns, l. Zimmermann, B. tillack, K. petermann optics express 20(10), 11241 (2012)

(35) asynchronous Circuit design: From Basics to practical applications

e. Grass, M. Krstic, X. Fan, St. Zeidler proc. of the 15th Ieee Symposium on Design and Diagnostics of electronic Circuits and Systems Symposium (DDeCS 2012), 5 (2012)

(36) 130 nanometer CMoS MeMS Based novel Microfluidic System for Cytometry at 5 Ghz to 7 Ghz

S. Guha, K. Schmalz, Ch. Wenger, W. Krautschneider proc. 1st International Conference on MicroFluidic Handling Systems (MFHS 2012), (2012)

(37) advanced transistor architectures for half-terahertz SiGe hBts

B. Heinemann, A. Fox, H. Rücker eCS transactions 50(9), 61 (2012)

(38) eine strahlungsresistente 0.13 Mikrometer CMoS Bibliothek

u. Jagdhold proc. VDe VDI Zuverlässigkeit und entwurf, (2012)

(39) Clock Jitter Generator with picoseconds resolution

G. Jovanovic, M. Stojcev, t. nikolic, Z. Stamenkovic proc. 28th International Conference on Microelectronics (MIel 2012), 369 (2012)

(40) programmable Jitter Generator Based on Voltage Controlled delay line

G. Jovanovic, M. Stojcev, t. nikolic, Z. Stamenkovic Scientific publications of State university of novi pazar Series A: Applied Mathematics, Informatics and Mechanics 4(1), 61 (2012)

(41) development of CMoS Integrated aIn Based Saw-Filter and the role of Si Substrate resistivity

u. Kaletta, D. Wolansky, M. Fraschke, Ch. Wenger proc. 2012 International Conference Semiconductor Conference Dresden – Grenoble (ISCDG), (2012)

(42) Integrated Zno and aIn based Surface acoustic wave devices on Silicon

u. Kaletta, Ch. Wenger, p.V. Santos, S. Rauwerdink, W. Seidel, M. Fraschke, D. Wolansky, A. Scheit proc. 2nd International Advances in Applied physics and Materials Science Congress (ApMAS 2012), abstr. book, 183 (2012)

(43) p-type doping of Silicon Suitable for Structures with high aspect ratios by using a dopant Source of Boron oxide Grown by atomic layer deposition

B. Kalkofen, V.M. Mothukuru, M. lisker, e.p. Burte eCS transactions 45 (6), 55 (2012)

Page 96: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

9A n n u A l R e p o R t 2 0 2

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

(44) a SiGe Switched lna for X-Band phased-arrays

I. Kalyoncu, t. Dinc, M. Kaynak, Y. Gurbuz proc. european Microwave Conference (euMW 2012), 103 (2012)

(45) direct Band Gap luminescence from Ge pin diodes on Si Substrates

e. Kasper, M. oehme, J. Werner, t. Arguirov, M. Kittler Frontiers of optoelectronics in China 5(3), 256 (2012)

(46) room temperature direct Band Gap emission from Ge p-i-n heterojunction photodiodes

e. Kasper, M. oehme, t. Arguirov, J. Werner, M. Kittler, J. Schulze Advances in optoelectronics (2012)

(47) Behavior of n atoms after thermal nitridation of Si1-xGex Surface

t. Kawashima, M. Sakuraba, B. tillack, J. Murota thin Solid Films 520, 3392 (2012)

(48) a Q-Band rF-MeMS absorptive SpSt in a BiCMoS technology

M. Kaynak, W. Zhang, M. Wietstruck, B. tillack proc. 13th Symposium on RF-MeMS and RF-Microsystems (MeMSWAVe 2012), (2012)

(49) BiCMoS embedded rF-MeMS technologies for mm-wave applications

M. Kaynak proc. european Microwave Conference (euMW 2012), (2012)

(50) Capacitance tuning Behavior of a BiCMoS embedded rF-MeMS Switch

M. Kaynak, M. Wietstruck, W. Zhang, R. Scholz, B. tillack novel RF MeMS technologies, (Series in Micro and nanoengineering 20) / ed: G. papaioanou u.a., Bucuresti: editura, Academiei Romane, 93 (2012)

(51) latest developments on SiGe technology and rFMeMS-BiCMoS Co-Integration

M. Kaynak proc. GigaHertz 2012 Symposium, (2012)

(52) MeMS Module Integration into SiGe BiCMoS technology for embedded System applications

M. Kaynak, V. Valenta, H. Schumacher, B. tillack proc. Ieee Bipolar / BiCMoS Circuits and tech-nology Meeting, (BCtM 2012), 49 (2012)

(53) rF-MeMS Switch Module in a 0.25 µm BiCMoS technology

M. Kaynak, M. Wietstruck, W. Zhang, J. Drews, R. Scholz, D. Knoll, F. Korndörfer, C. Wipf, K. Schulz, M. elkhouly, K. Kaletta, M. v. Suchodoletz, K. Zoschke, M. Wilke, o. ehrmann, V. Mühlhaus, G. liu, t. purtova, A. C. ulusoy, H. Schumacher, B. tillack proc. Silicon Monolithic Integrated Circuits on RF Systems (SiRF 2012), 25 (2012)

(54) packaged BiCMoS embedded rF-MeMS Switches with Integrated Inductive loads

M. Kaynak, M. Wietstruck, W. Zhang, J. Drews, R. Barth, D. Knoll, F. Korndörfer, R. Scholz, K. Schulz, C. Wipf, B. tillack, K. Kaletta, M. v. Suchodoletz, K. Zoschke, M. Wilke, o. ehrmann, A. C. ulusoy, t. purtova, G. liu, H. Schumacher proc. International Microwave Symposium (IMS 2012), (2012)

Page 97: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

96 A n n u A l R e p o R t 2 0 2

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

(55) SFdr Considerations for Current Steering high-Speed digital to analog Converters

M. Khafaji, J.C. Scheytt, u. Jörges, C. Carta, D. Micusik, F. ellinger proc. Ieee Bipolar / BiCMoS Circuits and technology Meeting, portland, (BCtM 2012), 169 (2012)

(56) Strain Control of Si and Si1-yCy layers in Si / Si1-yCy / Si(100) heterostructures

t. Kikuchi, M. Sakuraba, I. Costina, B. tillack, J. Murota proc. 6th International SiGe technology and Device Meeting (IStDM 2012),

(57) Comparison of the Impact of thermal treatments on the Second and on the Millisecond Scales on the precipitation of Interstitial oxygen (eCS proceedings)

G. Kissinger, D. Kot, W. von Ammon eCS transactions 50(5), 145 (2012)

(58) Comparison of the Impact of thermal treatments on the Second and on the Millisecond Scales on the precipitation of Interstitial oxygen

G. Kissinger, D. Kot, W. von Ammon eCS Journal of Solid State Science and technology 1(6), p269 (2012)

(59) nitrogen doped 300 mm Czochralski Silicon wafers optimized with respect to Voids with laterally homogeneous Internal Getter Capabilities

G. Kissinger, G. Raming, R. Wahlich, t. Müller Materials Science Forum 725, 221 (2012)

(60) oxygen precipitation after thermal processing on the Second and on the Millisecond Scales

G. Kissinger, D. Kot, W. von Ammon, J. Dabrowski, A. Sattler proc. 6th International Symposium on Advanced Science and technology of Silicon Materials, 15 (2012)

(61) preface: advanced Silicon Materials research for electronic and photovoltaic applications

G. Kissinger, S. pizzini, H. Yamada-Kaneta, J. Kang physica Status Solidi C 9, (10–11), 1865 (2012)

(62) 300 mm Czochralski Silicon wafers optimized with respect to Voids with laterally homogeneous oxygen precipitation

G. Kissinger, G. Raming, R. Wahlich, t. Müller physica B: Condensed Matter 407(15), 2993 (2012)

(63) distribution of defects and Breakdown Sites in uMG-Si Solar Cells Studied by luminescence Imaging

A. Klossek, D. Mankovics, M. Kittler energy procedia 27, 143 (2012)

(64) a highly Integrated Ir-uwB transceiver for Communication and localization

o. Klymenko, D. Martynenko, G. Fischer proc. International System on Chip Design Conference (SoC Design 2012), 57 (2012)

(65) low-Cost, high-Voltage SiGe:C hBts for a 0.18 µm BiCMoS process

D. Knoll, V. Dmitriev, t. egorova, V. Seletskij, n. Shelepin, R. Barth, G.G. Fischer, t. Grabolla, B. tillack proc. Ieee Bipolar / BiCMoS Circuits and tech-nology Meeting, portland, (BCtM 2012), 153 (2012)

Page 98: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

97A n n u A l R e p o R t 2 0 2

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

(66) Substrate design and thermal Budget tuning for Integration of photonic Components in a high-performance SiGe:C BiCMoS process

D. Knoll, H.H. Richter, B. Heinemann, S. lischke, Y. Yamamoto, l. Zimmermann, B. tillack eCS transactions 50(9), 297 (2012)

(67) Silicon photonics-wireless Interface IC for 60-Ghz wireless link

M. Ko, J.-S. Youn, M.-J. lee, K.-C. Choi, H. Rücker, W.-Y. Choi Ieee photonics technology letters 24(13), 1112 (2012)

(68) Investigation of optimal Silicon avalanche photodiode pairs for 60-Ghz Balanced Subharmonic optoelectronic Mixers

M. Ko, M.J. lee, H. Rücker, W.-Y. Choi proc. Asia-pacific Microwave photonics Conference (ApMp 2012), (2012)

(69) X-ray diffraction Study of plastic relax- ation in Ge-rich SiGe Virtual Substrates

V. Kopp, V.M. Kaganer, G. Capellini, M. de Stea, p. Zaumseil physical Review B 85, 245311 (2012)

(70) Characterization of deep levels Introduced by rta and by Subsequent anneals in n-type Silicon

D. Kot, t. Mchedlidze, G. Kissinger, W. von Ammon eCS transactions 50(5), 269 (2012)

(71) Impact of rta on the Morphology of oxygen precipitates and on the Getter efficiency for Cu and ni in Si wafers

D. Kot, G. Kissinger, M.A. Schubert, t. Müller, A. Sattler Materials Science Forum 725, 239 (2012)

(72) Growth and relaxation processes in Ge nanocrystals on Free-Standing Si(001) nanopillars

G. Kozlowski, p. Zaumseil, M.A. Schubert, Y. Yamamoto, J. Bauer, J. Matejova, t. Schülli, B. tillack, t. Schroeder nanotechnology 23(11), 115704 (2012)

(73) how to Change a winning team: the Compliant Behaviour of Ge nanocluster arrays on Free-Standing Si nanostructures

G. Kozlowski, t. Schroeder „Spotlight on Science“ – auf Internetseite der europäischen Synchrotron Radiation Facility (eSRF) http: / / www.esrf.eu /

(74) the epitaxial Growth of low defect SiGe Buffer layers for Integration of new Materials on 300 mm Silicon wafers

G. Kozlowski, o. Fursenko, p. Zaumseil, t. Schroeder, M. Vorderwestner, p. Storck eCS transactions 50(9), 613 (2012)

(75) reliable architecture for heterogeneous home-networks: the omega I-Mac approach

R. Kraemer, M. Brzozowski, St. nowak Facta universitatis, Series: electronics and energetics 25(1), 43 (2012)

(76) applying tire pressure Monitoring devices for traffic Management purposes

M. Krstic, n. Savic, R. Kraemer, M. Junghans proc. International Symposium on Signals, Systems and electronics (ISSSe 2012), (2012)

(77) evaluation of GalS Methods in Scaled CMoS technology – Moonrake Chip experience

M. Krstic, X. Fan, e. Grass, l. Benini, M.R. Kakoee, C. Heer, B. Sanders, A. Strano, D. Bertozzi International Journal of embedded and Real-time Communication Systems (IJeRtCS) 3(4), 1 (2012)

Page 99: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

98 A n n u A l R e p o R t 2 0 2

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

(78) Fabrication of MeMS actuators from the Beol of a 0.25 µm BiCMoS technology platform

p. Kulse, M. Birkholz, K.-e. ehwald, J. Bauer, J. Drews, u. Haak, J. Katzer, K. Schulz, D. Wolansky Microelectronic engineering 97, 276 (2012)

(79) lithographic aspects for the Fabrication of BiCMoS embedded Bio-MeMS and rF-MeMS

p. Kulse, M. Birkholz, K.-e. ehwald, M. Kaynak, M. Wietstruck, J. Bauer, u. Haak, J. Drews, K. Schulz proceedings of SpIe 8352, 83520e (2012)

(80) design and analysis of down-Conversion Gate / Base-pumped harmonic Mixers using novel reduced-Size 180 hybrid with different Input Frequencies

J.J. Kuo, C.-H. lien, Z.-M. tsai, K.-Y. lin, K. Schmalz, R. Scholz, H. Wang Ieee transactions on Microwave theory and techniques 60(8), 2473 (2012)

(81) a 62 Ghz reflectometer for Biomedical Sensor readout in SiGe BiCMoS technology

B. lämmle, K. Schmalz, J.C. Scheytt, D. Kissinger, R. Weigel proc. 12th topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SIRF 2012), 45 (2012)

(82) an Integrated 125 Ghz Sensor with read- out Circuit for permittivity Measurement of liquids

B. lämmle, K. Schmalz, J.C. Scheytt, D. Kissinger, R. Weigel proc. International Microwave Symposium (IMS 2012), (2012)

(83) topologieüberwachung von drahtlosen Sensornetzen

St. lange, o. Stecklina proc. 11. GI / ItG KuVS Fachgespräch Draht-lose Sensornetze FGSn 2012, 56 (2012)

(84) distributed Shared Memory as an approach for Integrating wSns and Cloud Computing

p. langendörfer, K. piotrowski, M. Diaz, B. Rubio proc. ntMS Workshop on Wireless Sensor net-works: Architectures, Deployments and trends (WSn-ADt), 1(2012)

(85) wireless Sensor networks for Critical Infrastructure protection

p. langendörfer, l. Buttyan, A. Hessler, C. Casteluccia, A. Casaca, A. Alkassar, e. osipov Critical Infrastructure Security: Assessment, prevention, Detection, Response, WIt press, 155 (2012)

(86) wireless telemedicine Sensor nodes: requirements and Challenges ahead

p. langendörfer, t. Basmer, K. piotrowski, St. ortmann proc. 2012 Ieee Radio & Wireless Symposium (RWW 2012), (2012)

(87) Migrating legacy pon equipment towards Colorless onu through hybrid Integrated SoI all-optical λ-Converter

I. lazarou, C. Stamatiadis, B. Schrenk, l. Stampoulidis, l. Zimmermann, K. Voigt, G.B. preve, l. Moerl, J. Kreissl, H. Avramopoulos proc. oFC, (2012)

Page 100: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

99A n n u A l R e p o R t 2 0 2

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

(88) effects of Guard-ring Structures on the performance of Silicon avalanche photo- detectors Fabricated with Standard CMoS technology

M.J. lee, H. Rücker, W.-Y. Choi Ieee electron Device letters 33(1), 80 (2012)

(89) 60-Ghz Voltage-Controlled oscillator and Frequency divider in 0.25 µm SiGe BiCMoS technology

J.-M. lee, W.-Y Choi, H. Rücker proc. International SoC Design Conference (ISoCC 2012), 65 (2012)

(90) Molecular Beam epitaxy of Graphene on Mica G. lippert, J. Dabrowski, Y. Yamamoto, F. Herziger, J. Maultzsch, J. Baringhaus, C. tegenkamp, M.C. lemme, W. Mehr, G. lupina physica Status Solidi B 249(12), 2507 (2012)

(91) low dark Current Ge pIn photodiode for a high-performance, photonic BiCMoS process for radio-over-Fiber applications

St. lischke, D. Knoll, l. Zimmermann, Y.Yamamoto, M. Fraschke, A. trusch, A. Krüger, M. Kroh, B. tillack proc. 2012 Ieee photonics Conference, (2012)

(92) direct and Indirect radiative recombination from Ge

C.W. liu, t.-H. Cheng, Y.Y. Chen, S.-R. Jan, C.-Y. Chen, S.t. Chan, Y.-H. nien, Y. Yamamoto, B. tillack thin Solid Films 520(8), 3249 (2012)

(93) dual-Band Millimeter-wave VCo with embedded rF-MeMS Switch Module in BiCMoS technology

G. liu, M. Kaynak, t. purtova, A. C. ulusoy, B. tillack proc. Silicon Monolithic Integrated Circuits on RF Systems (SiRF 2012), 175 (2012)

(94) electrical and Morphological properties of ald and aVd Grown perovskite-type dielectrics and their Stacks for Metal- Insulator-Metal applications

M. lukosius, Ch. Wenger, t. Blomberg, A. Abrutis, p.K. Baumann, G. Ruhl eCS Journal of Solid State Science and technology 1(1), n1 (2012)

(95) Metal-Insulator-Metal Capacitors with ald Grown Srtio3: Influence of pt electrodes

M. lukosius, t. Blomberg, D. Walczyk, G. Ruhl, Ch. Wenger Iop Conference Series: Materials Science and engineering 41, 012015 (2012)

(96) properties of atomic-Vapor and atomic- layer deposited Sr, ti, and nb doped ta2o5

Metal-Insulator-Metal Capacitors M. lukosius, C. Baristiran Kaynak, S. Kubotsch, t. Blomberg, G. Ruhl, Ch. Wenger thin Solid Films 520, 4576 (2012)

(97) Complementary rF-ldMoS transistors realized with Standard CMoS Implantations

A. Mai, H. Rücker proc. 42nd european Solid-State Device Research Conference (eSSDeRC 2012), 181 (2012)

(98) dislocation-related photoluminescence Imaging of mc-Si wafers at room temperature

D. Mankovics, R.p. Schmid, t. Arguirov, M. Kittler Crystal Research and technology 47(11), 1148 (2012)

(99) luminescence of defects and Breakdown Sites in Multicrystalline Si Solar Cells

D. Mankovics, A. Klossek, Ch. Krause, t. Arguirov, W. Seifert, M. Kittler physica Status Solidi A 209(10), 1908 (2012)

Page 101: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

00 A n n u A l R e p o R t 2 0 2

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

(100) a 245 Ghz CB lna and ShM Mixer in SiGe technology

Y. Mao, K. Schmalz, J. Borngräber, J.C. Scheytt proc. Silicon Monolithic Integrated Circuits on RF Systems (SiRF 2012), 5 (2012)

(101) 245-Ghz lna, Mixer, and Subharmonic receiver in SiGe technology

Y. Mao, K. Schmalz, J. Borngräber, J.C. Scheytt Ieee transactions on Microwave theory and techniques 60(12), 3823 (2012)

(102) 245 Ghz Subharmonic receiver in SiGe Y. Mao, K. Schmalz, J. Borngräber, J.C. Scheytt proc. european Microwave Week, (euMW 2012), 183 (2012)

(103) performance and Complexity analysis of Channel Coding Schemes for Multi-Gbps wireless Communications

M. Marinkovic, M. Krstic, e. Grass, M. piz proc. Ieee International Symposium on perso-nal, Indoor and Mobile Radio Communications (pIMRC 2012), 1966 (2012)

(104) a low power 6.2-8.3 Ghz Frequency Synthesizer in SiGe BiCMoS for Ieee802.15.4a Standard

D. Martynenko, G. Fischer, o. Klymenko proc. International Symposium on Signals, Systems and electronics (ISSSe 2012), (2012)

(105) Implementation of the ultra-low power load-Independent lC VCo

D. Martynenko, G. Fischer, o. Klymenko proc. Ieee International Conference on Circuits & Systems (ICCAS2012), 27 (2012)

(106) a low power programmable Frequency divider Intended for Frequency Synthesizer designed in accordance to Ieee 802.15.4a Standard

D. Martynenko, G. Fischer, o. Klymenko proc. Ieee International Conference on Circuits & Systems (ICCAS2012), 21 (2012)

(107) uwB transmitter for Communication and localization for Ieee 802.15.4a Standard

D. Martynenko, G. Fischer, o. Klymenko proc. Ieee International Conference on Circuits & Systems (ICCAS2012), 32 (2012)

(108) Capability of photoluminescence for Characterization of Multi-Crystalline Silicon

t. Mchedlidze, W. Seifert, M. Kittler, A.t. Blumenau, B. Birkmann, t. Mono, M. Müller Journal of Applied physics 111, 073504 (2012)

(109) Investigation of defect States in heavily dislocated thin Silicon Films

t. Mchedlidze, M. Kittler Journal of Applied physics 111(5), 053706 (2012)

(110) new Methodology for process design Kit (pdK) of BiCMoS Compatible rF MeMS

A. Mehdaoui, G. Schröpfer, G. lorenz, M. Kaynak, M. Wietstruck proc. 13th Symposium on RF-MeMS and RF-Microsystems (MeMSWAVe 2012), (2012)

(111) Vertical Graphene Base transistor W. Mehr, J.C. Scheytt, J. Dabrowski, G. lippert, Y.-H. Xie, M.C. lemme, M. ostling, G. lupina Ieee electron Device letters 33(5), 691 (2012)

Page 102: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

0A n n u A l R e p o R t 2 0 2

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

(112) Feeder realization for Quasi-lumped Multilayer resonators with low Q-factor

D. Miljanovic, M. potrebic, D.V. tosic, Z. StamenkovicAdvances in Circuits, Systems, Automation and Mechanics, (eds.), 63 (2012)

(113) 60 Ghz ultrawideband Front-ends with Gain Control, phase Shifter and wave Guide transition in ltCC technology

R. Müller, F. Wollenschläger, A. Schulz, M. elkhouly, u. trautwein, M.A. Hein, J. Müller, A.p. Garcia Ariza, R.S. thomä proc. european Conference on Antennas and propagation, (euCAp 2012), 3255 (2012)

(114) atomically Controlled CVd processing of Group IV Semiconductors for ultra- large-Scale Integration

J. Murota, M. Sakuraba, B. tillack Advances in natural Sciences: nanoscience and nanotechnology 3(2), 023002 (2012)

(115) epitaxial growth of single crystalline lattice-matched pr0.9y1.1o3 on Sro- passivated Si (001): growth orientation and crystallization tailoring by interface engineering

G. niu, p. Zaumseil, M.A. Schubert, M. H. Zoellner, J. Dabrowski, t. Schroeder proc. Ieee International Conference on Solid-State and Integrated Circuit technology (Ieee ICSICt 2012), (2012)

(116) electrical Characterization of 311 defects and related Junction leakage Currents in n-type Si after Ion Implantation

C. nyamhere, F. olivie, F. Christiano, Z. essa, D. Bolze, Y. Yamamoto AIp Conf. proc. 1496, 171 (2012)

(117) Subharmonic 220- and 320-Ghz SiGe hBt receiver Front-ends

e. Öjefors, B. Heinemann, u.R. pfeiffer Ieee transactions on Microwave theory and techniques 60(5), 1397 (2012)

(118) Board Implementation and its performance for Ir-uwB Ieee.802.15.4a from Multiple aSIC Chips

S. olonbayar, D. Kreiser, D. Martynenko, G. Fischer, o. Klymenko, R. Kraemer proc. 18th european Wireless Conference (eW 2012), VDe Verl. (2012)

(119) a telemedicine System for Improved rehabilitation of Stroke patients

St. ortmann, p. langendörfer proc. International Conference on Health Informatics, 270 (2012)

(120) StrokeBack - telemedicine System empowering Stroke patients to Fight Back

St. ortmann ICt for Health Website – european Com-mission http: / / ec.europa.eu / information society / activities / health / research / fp7 projects / index en.htm

(121) telemedical assistance for ambulant rehabilitation of Stroke patient

St. ortmann, p. langendörfer, C.S. lányi proc. 9th World Congress on Brain Injury, abstr. book, 336 (2012)

(122) a Fully digital polar Modulator for Switch Mode rF power amplifier

p. ostrovskyy, J.C. Scheytt, S.J. lee, B.H. park, J. H. Jung proc. International Symposium on Circuits and Systems (ISCAS 2012)

Page 103: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

02 A n n u A l R e p o R t 2 0 2

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

(123) a 5-Gb / s 2.1-2.2 Ghz Bandpass ΔΣ Modu- lator for Switch-Mode power amplifier

p. ostrovskyy, H. Gustat, M. ortmanns, J.C. Scheytt Ieee transactions on Microwave theory and techniques 60(8) (2012)

(124) performance estimation of Fully digital polar Modulation driving a 2 Ghz Switch- Mode power amplifier

p. ostrovskyy, J.C. Scheytt, A. Sadeghfam, H. Heuermann proc. european Microwave Week, (euMW 2012), 1039 (2012)

(125) design of a Sensor node Crypto processor for Ieee 802.15.4 applications

G. panic, t. Basmer, H. Schomann, St. peter, F. Vater, K. tittelbach-Helmrich proc. 25th Ieee International System-on-Chip Conference (SoCC 2012), 213 (2012)

(126) Customized hardware platform for wireless Sensor networks in agricultural applications

u. pesovic, D. projovic, S. Randjic, Z. Stamenkovic proc. 5th WSeAS International Conference on Sensors and Signals, (2012)

(127) Implementation of Ieee 802.15.4 transceiver on Software defined radio platform

u. pesovic, D. Gliech, p. planinsic, Z. Stamenkovic, S. Randic proc. 20th telecommunications Forum (telFoR 2012), 376 (2012)

(128) tool-Supported Methodology for Component-Based design of wireless Sensor network applications

St. peter, p. langendörfer proc. of the 4th International Workshop on Component-Based Design of Resource-Con-strained Systems, (CoRCS 2012), 526 (2012)

(129) latency Impacts of different parallelism levels in data-Flow architectures

M. petri proc. 15th International Symposium on Wireless personal Multimedia Communica-tions, 497 (2012)

(130) design Methodology for Fault tolerant aSICs

V. petrovic, M. Ilic, G. Schoof, Z. Stamenkovic proc. of the 15th Ieee Symposium on Design and Diagnostics of electronic Circuits and Systems Symposium (DDeCS 2012), 8 (2012)

(131) Implementation of Middleware Switch aSIC processor

V. petrovic, M. Ilic, G. Schoof, S. Montenegro the telFoR Journal 4(2), 83 (2012)

(132) Seu and Set Fault Injection Models for Fault tolerant Circuits

V. petrovic, M. Ilic, G. Schoof, Z. Stamenkovic proc. of the 13th Biennial Baltic electronics Conference (BeC2012), (2012)

(133) Single event latchup power Switch Cell Characterisation

V. petrovic, M. Ilic, G. Schoof proc. 4th Small Systems Simulations Symposium (Yu SSSS 2012), (2012)

(134) Morphology and nanostructure of Ceo2(111) Surfaces of Single Crystals and of Si(111) Supported Ceria Films

H.H. pieper, C. Derks, M.H. Zoellner, R. olbrich, l. tröger, t. Schroeder, M. neumann, M. Reichling physical Chemistry Chemical physics 14, 15361 (2012)

Page 104: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

0A n n u A l R e p o R t 2 0 2

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

(135) long-range wireless Sensor nodes-lessons learned

K. piotrowski, A. Sojka, p. langendörfer proc. 6th european Conference on Antennas and propagation, (euCAp 2012), 57(2012)

(136) Multi-radio wireless Sensor node for Mobile Biomedical Monitoring

K. piotrowski, St. ortmann, p. langendörfer proc. BMt 2012, 46th DGBMt Annual Conference, 57(Suppl.1), 725 (2012)

(137) a novel tunable Integrated Filter for dispersion Compensation realized in Silicon (SoI)

A. Rahim, S. Schwarz, l. Zimmermann, J. Bruns, K. Voigt, D.I. Kroushkov, C.G. Schäffer, K. petermann proc. of the Sino-German Joint Symposium on opto- and Microelectronic Devices and Circuits (SoDC 2012), M13 (2012)

(138) Characterization of dislocation-Based nanotransistors

M. Reiche, M. Kittler SpIe proceedings; 8549 (2012)

(139) Group IV Materials and properties M. Reiche, M. Kittler Handbook of Silicon photonics / eds. l. pavesi, l. Vivien, taylor and Francis, 25 (2012)

(140) Characterization of Germanium-Sulfide thin Films Grown by hot wire Chemical Vapor deposition

D. Reso, M. Silinskas, n. Frenzel, M. lisker, e.p. Burte Frontiers in electronic Materials: Correlation effects, Spintronics, and Memristive phe-nomena – Fundamentals and Applications / eds.: J. Heber, D. Schlomm u.a., Weinheim: Wiley-VCH, 214 (2012)

(141) Growth of Germanium Sulfide by hot wire Chemical Vapor deposition for nonvolatile Memory applications

D. Reso, M. Silinskas, M. lisker, e.p. Burte Journal of non-Crystalline Solids 358, 1511 (2012)

(142) rF-MeMS Switching Circuits Fabricated in a SiGe process technology

S. Reyaz, C. Samuelsson, R. Malmqvist, M. Kaynak, A. Alvandpour, A. Rydberg proc. GigaHertz 2012 Symposium, (2012)

(143) a V-Band rF-MeMS Spdt Switch network in a SiGe BiCMoS process technology

S. Reyaz, C. Samuelsson, R. Malmquist, M. Kaynak, A. Rydberg proc. 13th Symposium on RF-MeMS and RF-Microsystems (MeMSWAVe 2012), (2012)

(144) Millimeter-wave rF-MeMS Spdt Switch networks in a SiGe BiCMoS process technology

S. Reyaz, C. Samuelsson, R. Malmquist, M. Kaynak, A. Rydberg proc. european Microwave Conference (euMW 2012), 1071 (2012)

(145) half-terahertz SiGe BiCMoS technology H. Rücker, B. Heinemann, A. Fox proc. Silicon Monolithic Integrated Circuits on RF Systems (SiRF 2012), 133 (2012)

(146) SiGe BiCMoS technologies for applications above 100 Ghz

H. Rücker, B. Heinemann, A. Fox proc. 2012 Ieee Compound Semiconductor Integrated Circuit Symposium (CSICS 2012), (2012)

Page 105: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

0 A n n u A l R e p o R t 2 0 2

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

(147) SiGe BiCMoS technology for mm-wave Systems

H. Rücker, B. Heinemann proc. International SoC Design Conference (ISoCC 2012), 266 (2012)

(148) Complex product development: using a Combined VoC lead user approach

A. Sänn, D. Baier Studies in Classification, Data Analysis and Knowledge organization, 45 (2012)

(149) lead users and non-lead-users: Breakthrough preferences Measured by online analysis

A. Sänn proc. of the R&D Management Conference 2012, abstr. book, 44 (2012)

(150) lead users and non-lead-users: prefe- rences Measured by Conjoint analysis

A. Sänn, D. Baier proc. of the 34th Marketing Science Con-ference (ISMS 2012), abstr. book, 17 (2012)

(151) Gigahertz Monolithic delay lines for Surface acoustic waves on Silicon

p.V. Santos, S. Rauwerdink, K. Biermann, B. Drescher, W. Seidel, Ch. Wenger, u. Kaletta, M. Fraschke, D. Wolansky, M. Kaynak Iop Conference Series: Materials Science and engineering 41, 012009 (2012)

(152) SiGe BiCMoS transceivers, antennas, and ultra-low-Cost packaging for the ISM Bands at 122 and 245 Ghz

J.C. Scheytt, Y. Sun, K. Schmalz, R. Wang proc. International Microwave Symposium (IMS 2012), (2012)

(153) a 245 Ghz lna in SiGe technology K. Schmalz, J. Borngräber, Y. Mao, H. Rücker, R. Weber Ieee Microwave and Wireless Components letters 22, (10), 533 (2012)

(154) a 245 Ghz transmitter in SiGe technology K. Schmalz, J. Borngräber, B. Heinemann, H. Rücker, J.C. Scheytt proc. Ieee Radio Frequency Integrated Circuits Symposium (RFIC 2012), 195 (2012)

(155) Systemarchitektur für raumfahrt- anwendungen

G. Schoof, V. petrovic, S. Montenegro proc. 24. GI / GMM / ItG-Workshop testmetho-den und Zuverlässigkeit von Schaltungen und Systemen, 29 (2012)

(156) embedded low power Clock Generator for Sensor nodes

o. Schrape, F. Vater proc. norchip 2012 (2012)

(157) Smarter ICs H. Schumacher, M. Kaynak, V. Valenta, B. tillack Ieee Microwave Magazine nov. / Dec., S33 (2012)

(158) a distributed Intrusion detection System for Industrial automation networks

F. Schuster, A. paul proc. 17th International Conference on emerging technologies & Factory Automation (eFtA 2012), (2012)

(159) low-power BiCMoS track-and-hold Circuit with reduced Signal Feedthrough

B. Sedighi, Y. Borokhovych, H. Gustat, J.C. Scheytt proc. International Microwave Symposium, (IMS 2012), (2012)

Page 106: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

0A n n u A l R e p o R t 2 0 2

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

(160) low-power SiGe BiCMoS transimpedance amplifier for 25-GBaudoptical links

B. Sedighi, J.C. Scheytt Ieee transactions on Circuits and Systems II 59(8), 461 (2012)

(161) low-power 20-Gb / s SiGe BiCMoS driver with 2.5 V output Swing

B. Sedighi, p.ostrovskyy, J.C. Scheytt proc. International Microwave Symposium (IMS 2012), (2012)

(162) low-power 8-Bit 5-GS / s d / a Converter for Multi-Gigabit wireless transceivers

B. Sedighi, M Khafaji, J.C. Scheytt International Journal of Microwave and Wireless technologies, 1 (2012)

(163) 40 Gb / s VCSel driver IC with a new output Current and pre-emphasis adjustment Method

B. Sedighi, J.C. Scheytt proc. International Microwave Symposium 2012 (IMS 2012), (2012)

(164) a 77 Ghz on-Chip dipole antenna with etched Silicon Substrate

M. Seyyed-esfahlan, M. Kaynak, I. tekin proc. 13th Symposium on RF-MeMS and RF-Microsystems (MeMSWAVe 2012), (2012)

(165) platform for automated hw / Sw Co-Verification, testing and Simulation of Microprocessors

A. Simevski, R. Kraemer, M. Krstic proc. 13th latin American test Workshop (lAtW 2012), 1 (2012)

(166) Scalable design of a programmable nMr Voter with Inputs’ State descriptor and Self-Checking Capability

A. Simevski, e. Hadzieva, R. Kraemer, M. Krstic proc. nASA / eSA Conference on Adaptive Hardware and Systems (AHS 2012), 182 (2012)

(167) Gap Collector development for SiGe hBt performance Increase: a heterostructure Growth Study

o. Skibitzki, F. Hatami, Y. Yamamoto, p. Zaumseil, A. trampert, M.A. Schubert, B. tillack, W. t. Masselink, t. Schroeder Journal of Applied physics 111, 073515 (2012)

(168) Solid-phase epitaxy of undoped amorphous Silicon by in-situ postannealing

o. Skibitzki, Y. Yamamoto, M.A. Schubert, B. tillack thin Solid Films 520, 3271 (2012)

(169) Single error plus Single erasure Correction with redundancy repair Scheme for Memory reliability Improvement

p. Skoncej proc. 24. GI / GMM / ItG Workshop testmetho-den und Zuverlässigkeit von Schaltungen und Systemen, 87 (2012)

(170) wBr - word and Block-level hard error repair for Memories

p. Skoncej proc. non-Volatile Memory technology Symposium (nVMtS 2012), (2012)

(171) ImrnG: a lightweight pseudorandom number Generator for wireless Sensor networks

A. Sojka, K. piotrowski proc. International Conference on Security and Cryptography (Secrypt 2012), (2012)

(172) Concept of Vertical Bipolar transistor with lateral drift region, applied to high Voltage SiGe hBt

R. Sorge, A. Fischer, R. pliquett, C. Wipf, R. Barth proc. Silicon Monolithic Integrated Circuits on RF Systems (SiRF 2012), 223 (2012)

Page 107: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

06 A n n u A l R e p o R t 2 0 2

(173) hard X-ray photoelectron Spectroscopy Study of the electroforming in ti / hfo2- based resistive Switching Structures

M. Sowinska, t. Bertaud, D. Walczyk, S. thiess, M.A. Schubert, M. lukosius, W. Drube, Ch. Walczyk, t. Schroeder Applied physics letters 100, 233509 (2012)

(174) In-operando haXpeS analysis of the resistive Switching phenomenon in ti / hfo2-Based Systems

M. Sowinska, t. Bertaud, D. Walczyk, S. thiess, Ch. Walczyk, t. Schroeder technical Digest of Frontiers in electronic Materials: A Collection of ext. abstr. of the nature Conference, 2012, 235 (2012)

(175) a hybrid photonic Integrated wavelength Converter on a Silicon-on-Insulator Substrate

C. Stamatiadis, l. Stampoulidis, K. Vyrsokinos, I. lazarou, D. Kalavrouziotis, l. Zimmermann, K. Voigts, G.B. preves, l. Moerl, J. Kreissl, H. Avramopoulos proc. oFC 2012, (2012)

(176) photonic provisioning using a packaged SoI hybrid all-optical wavelength Con- verter in a Meshed optical network testbed

Ch. Stamatiadis, D. Kalavrouziotis, A. pagano, R. Morro, e. Riccardi, l. Stamoulidis, K. Voigt, G.B. preve, l. Moerl, J. Kreissl, K. landles, St. Duffy, H. Avramopoulos, l. Zimmermann, K. petermann Journal of lightwave technology 30(18), 2941 (2012)

(177) MIMo transmission in Ieee 802.11a wlan Z. Stamenkovic proc. 5th WSeAS International Conference on Sensors and Signals, 20 (2012)

(178) rear View Camera System for Car driving assistance

Z. Stamenkovic, K. tittelbach-Helmrich, J. Domke, C. lörchner-Gerdaus, J. Anders, V. Sark, M. eric, n. Sira proc. 28th International Conference on Microelectronics (MIel 2012), 383 (2012)

(179) tandemStack - a Flexible and Customizable Sensor node platform for low power applications

o. Stecklina, D. Genschow, Ch. Goltz proc. Sensornets 2012, 65 (2012)

(180) a Compact linear 60-Ghz pa with 29.2% pae operating at weak avalanche area in SiGe

Y. Sun, G.G. Fischer, J.C. Scheytt Ieee transactions on Microwave theory and techniques 60, 2581 (2012)

(181) a low-phase-noise 61 Ghz push-push VCo with divider Chain and Buffer in SiGe BiCMoS for 122 Ghz ISM applications

Y. Sun, J.C. Scheytt proc. Ieee Radio Frequency Integrated Circuits Symposium (RFIC 2012), 79 (2012)

(182) Field emission tip array Fabrication utilizing Geometrical hindrance in the oxidation of Si

K. Sun, W. Zhang, B. li, J. Young lee, Y-H. Xie, t. Schroeder, J. Katzer, X. Wei, t.p. Russell Ieee transactions on nanotechnology 11(5), 999 (2012)

(183) a 12-Bit 20-Ghz reduced Size pipeline accumulator in 0.25 µm SiGe:C technology for ddS applications

B. Sveistrup Jensen, M. Khafaji, t. Keinicke Johansen, V. Krozer, J.C. Scheytt Iet Circuits, Devices & Systems 6(1), 19 (2012)

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

Page 108: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

07A n n u A l R e p o R t 2 0 2

(184) Structural and optical Quality of Gan Grown on Sc2o3 / y2o3 / Si(111)

l. tarnawska, p. Zaumseil, M.A. Schubert, S. okur, u. ozgur, H. Morkoc, R. paszkiewicz, p. Storck, t. Schroeder Journal of Applied physics 111, 073509 (2012)

(185) a 77 Ghz on-Chip Strip dipole antenna Integrated with Balun Circuits for automotive radar

I. tekin, M. Kaynak proc. Ieee International Symposium on Antennas and propagation and uSnC-uRSI national Radio Science Meeting, (2012)

(186) Fabrication of low-loss SoI nano- waveguides Including Beol processes for nonlinear applications

H. tian, G. Winzer, A. Gajda, K. petermann, B. tillack, l. Zimmermann Journal of the european optical Society 7, 12032 (2012)

(187) SiGe BiCMoS – a More than Moore Baseline technology for Functional diversification of opto- and Microelectronic devices and Circuits

B. tillack, B. Heinemann, M. Kaynak, H. Rücker, l. Zimmermann, Ch. Wenger proc. of the Sino-German Joint Symposium on opto- and Microelectronic Devices and Circuits (SoDC 2012), M02 (2012)

(188) SiGe BiCMoS platform-Baseline technology for More than Moore Functional diversification

B. tillack proc. 24. GI / GMM / ItG-Workshop test-methoden und Zuverlässigkeit von Schaltungen und Systemen, 9 (2012)

(189) an accurate and Versatile equivalent Circuit Model for rF-MeMS Circuit optimization in BiCMoS technology

n. torres Matabosch, F. Coccetti, M. Kaynak, W. Zhang, B. tillack, R. plana, J.l. Casaux proc. european Microwave Conference (euMW 2012), 143 (2012)

(190) an accurate equivalent Circuit Model for rF-MeMS Circuit optimization and Fabrication process Monitoring in BiCMoS technology

n. torres Matabosch, F. Coccetti, M. Kaynak, W. Zhang, B. tillack, J.l.Cazaux proc. 13th Symposium on RF-MeMS and RF-Microsystems (MeMSWAVe 2012), (2012)

(191) reconfigurable Millimeter-wave ICs in an rF-MeMS embedded BiCMoS technology

A.C. ulusoy, M. Kaynak, G. liu, B. tillack, H. Schumacher proc. GigaHertz 2012 Symposium, (2012)

(192) reconfigurable Millimeter-wave ICs in an rF-MeMS embedded BiCMoS technology

A.C. ulusoy, M. Kaynak, G. liu, t. purtova, B. tillack, H. Schumacher proc. 13th Symposium on RF-MeMS and RF-Microsystems (MeMSWAVe 2012), (2012)

(193) From enabling technology to applications: reconfigurable SiGe BiCMoS ICs with Fully Integrated rF MeMS Switches for Millimetre wave transceivers

V. Valenta, M. Kaynak, G. liu, A.C. ulusoy, t. purtova, A. trasser, H. Schumacher proc. eSA Microwave technologies & techniques Workshop, (2012)

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

Page 109: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

08 A n n u A l R e p o R t 2 0 2

(194) an Integration approach for Graphene double-Gate transistors

S. Vaziri, A.D. Smith, C. Henkel, M. Östling, M.C. lemme, G. lupina, G. lippert, J. Dabrowski, W. Mehr proc. 42nd european Solid-State Device Research Conference (eSSDeRC 2012), 250 (2012)

(195) a Satellite Internal Communication Controller: design and Implementation

M. Veleski, V. petrovic, Z. Stamenkovic Advances in Circuits, Systems, Automation and Mechanics, 87 (2012)

(196) resistive Switching Behavior in tin / hfo2 / ti / tIn devices

D. Walczyk, t. Bertaud, M. Sowinska, M. lukosius, M.A. Schubert, A. Fox, D. Wolansky, A. Scheit, M. Fraschke, G. Schoof, Ch. Wolf, R. Kraemer, B. tillack, R. Korolevych, V. Stikanov, Ch. Wenger, t. Schroeder, Ch. Walczyk proc. 2012 International Semiconductor Conference Dresden-Grenoble (ISCDG 2012), (2012)

(197) a Micromachined double-dipole antenna for 122-140 Ghz applications Based on a SiGe BiCMoS technology

R. Wang, Y. Sun, M. Kaynak, St. Beer, J. Borngräber, J.C. Scheytt proc. International Microwave Symposium 2012, (2012)

(198) Giant optical response from Graphene- plasmonic System

p. Wang, W. Zhang, o. liang, M. pantoja, J. Katzer, t. Schroeder, Y.-H. Xie ACS nano 6(7), 6244 (2012)

(199) the effect of Composition on the Bandgap width in Insulating nbxtayoz nanolayers

W.C. Wang, H.Y. Chou, M. Badylevich, t. Blomberg, Ch. Wenger, J.A. Kittl, V.V. Afanas‘ev Iop Conference Series: Material Science and engineering 41, 012004 (2012)

(200) Microwave Biosensor for Characterization of Compartments in teflon Capillaries

J. Wessel, J.C. Scheytt, K. Schmalz, B. Cahill, G. Gastrock proc. european Microwave Week, (euMW 2012), 534 (2012)

(201) Material properties Characterization of BiCMoS Beol Metal Stacks for rF-MeMS applications

M. Wietstruck, M. Kaynak, W. Zhang, S. Kurth, B. erler, B. tillack novel RF MeMS technologies, (Series in Micro and nanoengineering; 20) / ed: G. papaioanou u.a., Bucuresti: editura, Academiei Romane, 161 (2012)

(202) the Influence of high temperature Stress on a BiCMoS embedded rF-MeMS Switch

M. Wietstruck, M. Kaynak, W. Zhang, K. Kaletta, B. tillack proc. 13th Symposium on RF-MeMS and RF-Microsystems (MeMSWAVe 2012), (2012)

(203) a new Method to analyze the Behavior of SiGe:C hBts under rF large Signal Stress

Ch. Wipf proc. Silicon Monolithic Integrated Circuits on RF Systems (SiRF 2012), 97 (2012)

(204) detection and reduction of Via Faults D. Wolansky, H. Rücker, J. Bauer, u. Haak, W. Höppner, J. Katzer, p. Kulse, A. Mai, A. Scheit, K. Schulz proc. International Semiconductor Confe-rence Dresden Grenoble, (ISCDG 2012), (2012)

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

Page 110: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

09A n n u A l R e p o R t 2 0 2

(205) 15 Gbps Communication over an uSB3.0 Cable and even More

A. Wolf, J.C. Scheytt proc. Ieee International Conference on Communication and Signal processing (SSD -CSp 2012), (2012)

(206) atomic layer deposition of hfo2 thin Films employing a heteroleptic hafnium precursor

B.K. Xu, A.p. Milanov, H. parala, Ch. Wenger, C. Baristiran Kaynak, K. lakribssi, t. toader, C. Bock, D. Rogalla, H.-W. Becker, u. Kunze, A. Devi Chemical Vapor Deposition 18, 1 (2012)

(207) low threading dislocation density Ge Growth and heavy phosphorus doping in Ge

Y. Yamamoto, p. Zaumseil, G. Kozlowski, R. Kurps, B. tillack proc. university of Vigo and JSpS Core-to-Core program Joint Seminar: Atomically Controlled processing for ultralarge Scale Integration (2012)

(208) low threading dislocation Ge on Si by Combining deposition and etching

Y. Yamamoto, G. Kozlowski, p. Zaumseil, B. tillack thin Solid Films 520, 3216 (2012)

(209) phosphorus atomic layer doping in Ge using rpCVd

Y. Yamamoto, R. Kurps, Ch. Mai, I. Costina, J. Murota, B. tillack proc. 6th International SiGe technology and Device Meeting (IStDM 2012), abstr. book, 14 (2012)

(210) phosphorus profile Control in Ge by Si delta layers

Y. Yamamoto, p. Zaumseil, R. Kurps, J. Murota, B. tillack eCS transactions 50(9), 255 (2012)

(211) phosphorus profile Control in Ge by Si delta layers

Y. Yamamoto, p. Zaumseil, R. Kurps, J. Murota, B. tillack proc. SiGe, Ge, and Related Compounds 5: Materials, processing and Devices, eCS Meeting, abstr. 3126 (2012)

(212) a 12.5-Gb / s SiGe BiCMoS optical receiver with a Monolithically Integrated 850-nm avalanche photodetector

J.-S. Youn, M.-J. lee, K.-Y. park, H. Rücker, W.-Y. Choi proc. oFC nFoeC 2012, (2012)

(213) an Integrated 12.5-Gb / s optoelectronic receiver with a Silicon avalanche photodetector in Standard SiGe BiCMoS technology

J.-S. Youn, M.-J. lee, K.-Y. park, H. Rücker, W.-Y. Choi optics express 20(27), 28153 (2012)

(214) Bit-error rate analysis of Integrated optoelectronic receiver

J.-S. Youn, M.-J. lee, K.-Y. park, W.-Y. Choi, H. Rücker proc. photonic Global Conference, program and abstr. (2012)

(215) Compliant Si nanostructures on SoI for Ge nanoheteroepitaxy - a Case Study for lattice Mismatched Semiconductor Integration on Si(001)

p. Zaumseil, G. Kozlowski, Y. Yamamoto, J. Bauer, M.A. Schubert, t.u. Schülli, B. tillack, t. Schroeder Journal of Applied physics 112, 043506 (2012)

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

Page 111: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

0 A n n u A l R e p o R t 2 0 2

(216) preparation and Characterization of Ge epitaxially Grown on nano-Structured periodic Si pillars and Bars on Si(001) Substrate

p. Zaumseil, Y. Yamamoto, A. Bauer, M.A. Schubert, J. Matejova, G. Kozlowski, t. Schroeder, B. tillack thin Solid Films 520, 3240 (2012)

(217) the role of SiGe Buffer in Growth and relaxation of Ge on Free-Standing Si(001) nano-pillars

p. Zaumseil, G. Kozlowski, M.A. Schubert, Y. Yamamoto, J. Bauer, t.u. Schülli, B. tillack, t. Schroeder nanotechnology 23, 355706 (2012)

(218) entwurf einer neuen testprozessorlösung für den Funktionaltest asynchroner Schaltungen

St. Zeidler, Ch. Wolf, M. Krstic, R. Kraemer proc. 24. GI / GMM / ItG-Workshop: testme-thoden und Zuverlässigkeit von Schaltungen und Systemen, 11 (2012)

(219) Functional pattern Generation for asynchronous designs in a test processor environment

St. Zeidler, Ch. Wolf, M. Krstic, R. Kraemer proc. 2012 Ieee 21st Asian test Symposium, 296 (2012)

(220) eM and lumped-element Model of BiCMoS embedded Capacitive rF-MeMS Switch

W. Zhang, M. Kaynak, M. Wietstruck, V. Mühl-haus, B. tillack proc. 7th German Microwave Conference (GeMIC 2012), (2012)

(221) aircraft Cabin-integrated 57-64Ghz wlan Communication System

V. Ziegler, B. Schulte, J. Sabater, S. Bovelli, J. Kunisch, K. Maulwurf, M. Martinez-Vazquez, C. oikonomopoulos-Zachos, S. Glisic, M. ehrig, e. Grass proc. Ieee International Microwave Symposium (IMS 2012), (2012)

(222) Broadband 57–64-Ghz wlan Communi- cation System Integrated Into an aircraft Cabin

V. Ziegler, B. Schulte, J. Sabater, S. Bovelli, J. Kunisch, K. Maulwurf, M. Martinez-Vazquez, C. oikonomopoulos-Zachos, S. Glisic, M. ehrig, e. Grass Ieee transactions on Microwave theory and techniques 60(12), 4209 (2012)

(223) hybrid Integration of Coherent receivers for terabit ethernet on SoI waveguide plC

l. Zimmermann, M. Kroh, K. Voigt, G. Winzer, H. tian, l. Stampoulidis, B. tillack, K. petermann proc. of the 9th International Conference on Group IV (GFp), 153 (2012)

(224) Silicon photonics for nonlinear applications

l. Zimmermann, H. tian, A. Gajda, K. Voigt, G. Winzer, K. petermann, B. tillack proc. of the Sino-German Joint Symposium on opto- and Microelectronic Devices and Circuits (SoDC 2012), M05 (2012)

(225) Stacking Behaviour of twin-free type-B oriented Ceo2(111) Films on hexagonal- pr2o3(001) / Si(111) Systems

M.H. Zoellner, J. Dabrowski, p. Zaumseil, A. Giussani, M.A. Schubert, G. lupina, H. Wilkens, J. Wollschläger, M. Reichling, M. Bäumer, t. Schroeder physical Review B 85, 035302 (2012)

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

Page 112: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

e I n G e l a d e n e V o r t r ä G e – I n V I t e d p r e S e n t a t I o n S

(226) Stoichiometry-Structure Correlation of epitaxial Ce1-xprxo2-δ (x=0-1) thin Films on Si (111)

M.H. Zoellner, p. Zaumseil, H. Wilkens, S. Gevers, J. Wollschläger, M. Bäumer, Y.-H. Xie, G. niu, t. Schroeder Journal of Crystal Growth 355, 159 (2012)

EingeladeneVorträgeInvited Presentations

(1) light emission from Ge on Si t. Arguirov, M. Kittler, M. oehme et al. 4th Sino-German Symposium the Silicon Age, Berlin, September 18-23, 2012, Germany

(2) Simulationen zur optischen Charakterisie- rung (reflektometrie und ellipsometrie) nanostrukturierter oberflächen

J. Bauer Wtt-Kooperationsforum, tH Wildau, May 15, 2012, Germany

(3) hfo2-based rraM for embedded non-Volatile Memory: From Material Science to Integrated 1t1r rraM arrays

t. Bertaud, Ch. Walczyk, D. Walczyk, M. Sowinska, D. Wolansky, B. tillack, G. Schoof, Ch. Wenger, S. thiess, t. Schroeder 222nd eCS Meeting, Honolulu, october 07-12, 2012, uSA

(4) hfo2-based rraM Integration for Future embedded non-Volatile Memory applications

t. Bertaud, Ch. Walczyk, D. Walczyk, M. Sowinska, t. Schroeder, Ch. Wenger novel High k Applications Workshop, Dresden, January 25, 2012, Germany

(5) In-operando haXpeS as a non-destructive technique for Investigating the resistive Switching phenomenon

t. Bertaud leti Workshop on Innovative Memory techno-logies 2012, Minatec Grenoble, June 21, 2012, France

(6) overview of Ihp activities on hfo2-based rraM Integration

t. Bertaud, Ch. Walczyk, D. Walczyk, M. Sowinska, t. Schroeder, Ch. Wenger leti Seminar, Grenoble, February 10, 2012, France

(7) Ir-uwB transceiver für hoch-präzise lokalisierung

G. Fischer, o. Klymenko, D. Martynenko Workshop on ultra-Wideband localization Systems for Industrial Applications, Karlsruhe, January 24, 2012, Germany

(8) Spectroscopic ellipsometry / reflectometry for process Control of Si-technologies

o. Fursenko, J. Bauer 7th Workshop ellipsometry, leipzig, March 05-07, 2012, Germany

(9) Von der Idee zum System: wireless engine – eine Idee auf dem weg zur realisierung

e. Grass IHp-Symposium, Frankfurt (oder), February 13, 2012, Germany

(10) overview of Biosystems S. Guha Young Researchers Meet, Berlin, September 14, 2012, Germany

Page 113: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

2 A n n u A l R e p o R t 2 0 2

(11) advanced transistor architectures for half-terahertz SiGe hBts

B. Heinemann, A. Fox, H. Rücker eCS Meeting, SiGe, Ge, and Related Compounds 5: Materials, processing, and Devices, Honolulu, october 07-12, 2012, uSA

(12) BiCMoS embedded rF-MeMS technology M. Kaynak the 7th German Microwave Conference (GeMiC 2012), Ilmenau, March 12, 2012, Germany

(13) BiCMoS embedded rF-MeMS technology and application of it in mm-wave reconfigurable ICs

M. Kaynak, B. tillack Analogschaltungen 2012, tu Berlin, March 22-23, 2012, Germany

(14) latest developments on SiGe technology and rF-MeMS-BiCMoS Co-Integration

M. Kaynak GigaHertz 2012 Symposium, Stockholm, March 06-07, 2012, Sweden

(15) MeMS-BiCMoS Integration and on-Chip antennas for mm-wave application

M. Kaynak RF-MeMS Based Antennas Workshop, Middle east technical university, Ankara, June 29, 2012, turkey

(16) nitrogen doped 300 mm Czochralski Silicon wafers optimized with respect to Voids with laterally homogeneous Internal Getter Capabilities

G. Kissinger, G. Raming, R. Wahlich, t. Müller 4th Sino-German Symposium the Silicon Age, Berlin, September 18-23, 2012, Germany

(17) oxygen precipitation after thermal processing on the Second and on the Millisecond Scales

G. Kissinger, D. Kot, W. von Ammon, J. Dabrowski, A. Sattler 6th International Symposium on Advanced Science and technology of Silicon Materials, Kona, Hawaii, november 19-23, 2012, uSA

(18) the Silicon age M. Kittler 4th Sino-German Symposium the Silicon Age, Berlin, September 18-23, 2012, Germany

(19) electrical activity of Crystal defects in Solar Silicon

M. Kittler, t. Arguirov, A. Klossek, Ch. Krause, D. Mankovics, t. Mchedlidze, W. Seifert, M. trushin e-MRS Spring Meeting, Symposium A, Strasbourg, May 15-17, 2012, France

(20) Forschung über CMoS-kompatible lichtemitter für die integrierte Silizium-photonik

M. Kittler, t. Arguirov, M. oehme, M. Reiche laser optics 2012, Berlin, March 19-21, 2012, Germany

(21) luminescence and eBIC analysis of Crystal defects in Solar Silicon

M. Kittler, t. Arguirov, A. Klossek, Ch. Krause, D. Mankovics, t. Mchedlidze, W. Seifert, M. trushin 11th International Workshop on Beam Injection Assessment of Microstructures (BI-AMS 2012), Annaba, June 25-28, 2012, Algeria

(22) Ir-uwB receiver design principles o. Klymenko, G. Fischer, D. Martynenko Workshop on ultra-Wideband localization Systems for Industrial Applications, Karlsruhe, January 24, 2012, Germany

e I n G e l a d e n e V o r t r ä G e – I n V I t e d p r e S e n t a t I o n S

Page 114: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

(23) epitaxial Growth of low defect SiGe Buffer layers for Integration of new Materials on 300 mm Silicon wafers

G. Kozlowski, t. Schroeder, p. Storck 222nd electrochemical Society Meeting, Honolulu, october 07-12, 2012, Hawaii, uSA

(24) on the Compliant Behaviour of Free- Standing Si nanostructures on Si(001) for Ge nanoheteroepitaxy

G. Kozlowski, p. Zaumseil, M.A. Schubert, Y. Yamamoto, J. Bauer, B. tillack, t. Schroeder tyndall, Cork, September 11, 2012, Ireland

(25) on the Compliant Behaviour of Free- Standing Si nanostructures on Si(001) for Ge nanoheteroepitaxy

G. Kozlowski, p. Zaumseil, M.A. Schubert, Y. Yamamoto, J. Bauer, B. tillack, t. Schroeder Bremen university, 2012, Germany

(26) on the Compliant Behaviour of Ge nanocrystals on Free-Standing Si nanopillars

G. Kozlowski, p. Zaumseil, M.A. Schubert, Y. Yamamoto, J. Bauer, t. Schülli, B. tillack, t. Schroeder eSRF user Meeting, Grenoble, February 07-08, 2012, France

(27) drahtlose Kommunikationssysteme und deren Software für die Verwendung im auto

R. Kraemer 11. euRoFoRuM-Jahrestagung Software im Automobil, Stuttgart, June 06-07, 2012, Germany

(28) the all Ihp Sensor node: highly Integrated Sensor nodes using Ihp Components

D. Kreiser, M. Brzozowski, G. panic, S. olonbayar, t. Basmer, p. langendörfer the 10th ACM International Symposium on Mobility Management and Wireless Access (MoBIWAC 2012), paphos, october 21-22, 2012, Cyprus

(29) evaluating GalS Systems-outlook and Future prospects

M. Krstic 2012 Ieee 27th Convention of electrical and electronics engineers (IeeI 2012), eilat, november 14-17, 2012, Israel

(30) a holistic approach towards Secure wireless Sensor networks

p. langendörfer, Z. Dyka Ringvorlesung KIt, Karlsruhe, July 06, 2012, Germany

(31) Sichere drahtlose Kommunikation für Geräte mit begrenzter rechen- und Speicherkapazität

p. langendörfer, o. Stecklina It- und Datensicherheit im unternehmen, IHK Cottbus, June 05, 2012, Germany

(32) atomic Vapor depositions of Metal Insulator Metal Capacitors: Investigation, development and Integration

M. lukosius leibniz-Doktoranden-Forum der Sektion D, Berlin, June 08, 2012, Germany

(33) Graphene Growth on Insulators: Van der waals and Catalytic oxide Surfaces

G. lupina, G. lippert, J. Dabrowski, M. Zoellner, t. Schroeder, W. Mehr Workshop des leibniz-Verbundes nanotechnologie, Berlin, January 30-31, 2012, Germany

(34) Graphene: Synthesis and applications G. lupina Summer School IRtG 2012, Zeuthen, July, 24, 2012, Germany

(35) IC design für uwB-Sender D. Martynenko, G. Fischer, o. Klymenko Workshop on ultra-Wideband localization Systems for Industrial Applications, Karlsruhe, January 24, 2012, Germany

e I n G e l a d e n e V o r t r ä G e – I n V I t e d p r e S e n t a t I o n S

Page 115: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

(36) light-Induced Crystallization of thin Silicon Films

t. Mchedlidze, t. Arguirov, M. Kittler 4th Sino-German Symposium the Silicon Age, Berlin, September 18-23, 2012, Germany

(37) atomically Controlled CVd technology of Group IV Semiconductors for ultralarge Scale Integration

J. Murota, M. Sakuraba, B. tillack Ieee International Conference on Solid-State and Integrated Circuit technology (Ieee ICSICt 2012), Xian, october 29-november 01, 2012, China

(38) Crystalline rare earth oxides on Si for the Integration of alternative Semiconductors on Si wafers

G. niu, A. Giussani, o. Seifarth, p. Zaumseil, M.A. Schubert, M.H. Zoellner, J. Dabrowski, p. Storck, t. Schroeder the 2nd International Conference on Small Science (ICSS 2012), orlando, December 16-19, 2012, uSA

(39) Board Implementation and its performance for Ir-uwB Ieee.802.15.4a from Multiple aSIC Chips

S. olonbayar, D. Kreiser, D. Martynenko, G. Fischer, o. Klymenko, R. Kraemer 18th european Wireless Conference (eW 2012), poznan, April 17-20, 2012, poland

(40) Fp7 project StrokeBack St. ortmann, p. langendörfer Fp7 Cluster Meeting: ICt Solutions in the Rehabilitation Area, Brussels, october 19, 2012, Belgium

(41) Google, Facebook oder Big Brother-wer weiß mehr über dich?

St. ortmann tag der Wissenschaft 2012, luckenwalde, February 13, 2012, Germany

(42) Single-Chips für Medizintechnik St. ortmann, p. langendörfer, K. piotrowski Jahreshauptversammlung Verein Branden-burgischer Ingenieure und Wirtschaftler e.V., Frankfurt (oder), January 28, 2012, Germany

(43) telemedizin und rehabilitation: technische Möglichkeiten und Forschungspotentiale

St. ortmann Symposium tele-Rehabilitation, Frankfurt (oder), April 11, 2012, Germany

(44) user-Centric design in telemedical Stroke rehabilitation

St. ortmann net-euCen Workshop eHealth Scenarios and Indicators for user Centricity, Brussels, September 05, 2012, Belgium

(45) a holistic approach towards Secure wireless Sensor networks

K. piotrowski, St. peter, Z. Dyka, p. langendörfer ZuSYS Graduiertenschule, Cottbus, June, 29, 2012, Germany

(46) drahtlose Sensoren und Sensornetze – die Konzepte und anwendungen

K. piotrowski ortsverein des VBIW, eisenhüttenstadt, August 21, 2012, Germany

(47) dislocation-Based nanotransistors in Silicon M. Reiche, M. Kittler, M. Krause, H. Übensee 4th Sino-German Symposium the Silicon Age, Berlin, September 18-23, 2012, Germany

(48) physics and Modeling of Strain effects in SiGe heterojunction Bipolar transistors

H. Rücker, B. Heinemann International Workshop Mathematics for Semiconductor Heterostructures – Modeling, Analysis and numerics, Berlin, September 24-28, 2012, Germany

e I n G e l a d e n e V o r t r ä G e – I n V I t e d p r e S e n t a t I o n S

Page 116: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

(49) SiGe BiCMoS technologies for applications above 100 Ghz

H. Rücker, B. Heinemann, A. Fox 2012 Ieee Compound Semiconductor Integrated Circuit Symposium (CSICS 2012), lan Jolla, october 14-17, 2012, uSA

(50) SiGe BiCMoS technology for mm-wave Systems

H. Rücker, B. Heinemann International SoC Design Conference (ISoCC 2012), Jeju, november 04-07, 2012, Korea

(51) Innovationshemmnisse in hochschulen und Forschungseinrichtungen

A. Sänn Round table Hochschule trifft Wirtschaft, potsdam, november 26, 2012, Germany

(52) Ihp BiCMoS technologies for rF and Mixed Signal applications

R.F. Scholz, F. teply, M. Cirillo 4th International Workshop on Analogue and Mixed Signal Integrated Circuits for Space Applications (AMICSA 2012), noordwijk, August 26-28, 2012, the netherlands

(53) Clear experimental proof of the Compliant Behaviour of Free-Standing Si nano- structures on SoI for Ge nanoheteroepitaxy by GI-Xrd

t. Schroeder, G. Kozlowski, p. Zaumseil, Y. Yamamoto, J. Bauer, t. Schülli, B. tillack International SiGe technology and Device Meeting (IStDM 2012), Berkeley, June 04-06, 2012, uSA

(54) Compliant behavior of Free-Standing Si nanostructures for Ge nanoheteroepitaxy: Bulk Si and SoI wafer approaches

t. Schroeder IBM Zurich, August 02, 2012, Switzerland

(55) Ge photonics for Si Microelectronics: From Micro- to nanostructure Integration approaches

t. Schroeder, G. Capellini, G. Kozlowski, A. Bauer, M. lisker, Y. Yamamoto, Ch. Wenger, p. Zaumseil, B. tillack Workshop des leibniz-Verbundes nanotech-nologie, Berlin, January 30-31, 2012, Germany

(56) lattice Mismatched Semiconductor Integration on Si(001): SoI for Ge nano- heteroepitaxy

t. Schroeder 4th Sino-German Symposium the Silicon Age, Berlin, September 18-23, 2012, Germany

(57) „More than Moore“ Materials research for Innovative Module Integration in Ihp‘s BiCMoS

t. Schroeder Fraunhofer IpMS, Dresden, June 28, 2012, Germany

(58) Selective Ge Micro- and nanostructures on patterned Si(001) wafers for photonics and CMoS

t. Schroeder, G. Kozlowski, p. Zaumseil, J. Bauer, Y. Yamamoto, B. tillack 13th leibniz Conference of Advanced Science-nanoscience 2012, lichtenwalde, April 26-27, 2012, Germany

(59) Synchrotrondiagnostik von Metallausscheidungen in Solarsilizium

W. Seifert Freiberg, June 24, 2012, Germany

(60) a Methodology for Fault tolerant aSIC design

Z. Stamenkovic 11th WSeAS International Conference on Circuits, Systems electronics, Control and Signal processing, Montreux, December 29-31, 2012, Switzerland

e I n G e l a d e n e V o r t r ä G e – I n V I t e d p r e S e n t a t I o n S

Page 117: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

6 A n n u A l R e p o R t 2 0 2

V o r t r ä G e – p r e S e n t a t I o n S

(61) MIMo transmission in Ieee 802.11a wlan Z. Stamenkovic 5th WSeAS International Conference on Sensors and Signals, Sliema, September 07-09, 2012, Malta

(62) erweiterte Sicherheit für kritische Infrastrukturen (eSCI)

o. Stecklina CASt Workshop Darmstadt, october 11, 2012, Germany

(63) Mmw Communications and radar Y. Sun Marie Curie university, paris, november 30, 2012, France

(64) 60 Ghz transceiver for Gbps wireless Communication

Y. Sun Southeast university nanjing, August 18, 2012, China

(65) SiGe BiCMoS platform: Baseline technology for More than Moore Functional diversification

B. tillack 24. GI / GMM / ItG-Workshop testmethoden und Zuverlässigkeit von Schaltungen und Systemen, Cottbus, February 28, 2012, Germany

(66) Functionalized Materials in CMoS technologies

Ch. Wenger 1st Annual World Congress of Advanced Mate-rials (WCAM 2012), Beijing, June 04-08, 2012, China

VorträgePresentations

(1) MoCVd Growth of high-k dielectric Ce-al-o layers from Various Mo precursors for MIM applications

A. Abrutis, M. lukosius, M. Skapas, S. Stanionyte, V. Kubilius, Ch. Wenger, A. Zauner e-MRS, Strasbourg, May 14-18, 2012, France

(2) dislocation luminescence in highly doped degenerated Germanium at room temperature

t. Arguirov, o. Vyvenko, M. oehme, J. Schulze, M. Kittler International Conference on extended Defects in Semiconductors (eDS-2012), thessaloniki, June 24-29, 2012, Greece

(67) X-ray Characterization of Ge dots epitaxially Grown on nano-Structured Si Islands on SoI Substrates

p. Zaumseil, G. Kozlowski, Y. Yamamoto, t. Schroeder the 11th Biennial Conference on High Resolution X-Ray Diffraction and Imaging (X-top 2012), St. petersburg, September 15-20, 2012, Russia

(68) technology for Free Carrier lifetime reduction in Silicon nanowaveguides for nonlinear applications

l. Zimmermann, A. Gajda, H. tan, B. tillack e-MRS Spring Meeting, Strasbourg, May 15, 2012, France

Page 118: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

7A n n u A l R e p o R t 2 0 2

V o r t r ä G e – p r e S e n t a t I o n S

(3) entwurf fehlertoleranter Zustands- automaten mit variablem Schutz für spezifische eingabesequenzen

M. Augustin, M. Gössel, R. Kraemer 24. GI / GMM / ItG-Workshop: testmethoden und Zuverlässigkeit von Schaltungen und Systemen, Cottbus, February 26-28, 2012, Germany

(4) energy Budget of an Implantable Glucose Measurement System

t. Basmer, D. Genschow, M. Fröhlich, M. Birkholz BMt 2012, Jena, September, 16-19, 2012, Germany

(5) non-destructive haXpeS Studies of ti / hfo2-based resistive Switching Cells

t. Bertaud, M. Sowinska, D. Walczyk, Ch. Walczyk, S. thiess, W. Drube, t. Schroeder Hard X-Ray photoelectron Spectroscopy and Standing Waves: Status and trends, Grenoble, February 06-08, 2012, France

(6) resistive Switching of ti / hfo2-based Memory devices: Impact of the atmosphere and oxygen partial pressure

t. Bertaud, M. Sowinska, D. Walczyk, Ch. Walczyk, St. Kubotsch, Ch. Wenger, t. Schroeder e-MRS Spring Meeting, Strasbourg, May 14-18, 2012, France

(7) resistive Switching on hfo2 based Metal- Insulator-Metal Structures: effects of the top Metal electrode and the oxygen partial pressure

t. Bertaud, D. Walczyk, Ch. Walczyk, S. Kubotsch, M. Sowinska, t. Schroeder, C. Vallée, V. Jousseaume, Ch. Wenger DpG Frühjahrstagung 2012, Berlin, March 25-30, 2012, Germany

(8) oberflächenmodifizieren mit einem nd: yVo4-laser

H. Beyer, M. Hofmann, M. Burger, C. Villringer, G. Dittmar, W. Mehr, S. Schrader FH Brandenburg, April 19, 2012, Germany

(9) Microelectronic Biosensors for on-line Monitoring of Key Molecules in Bioprocesses

M. Birkholz, M. Fröhlich, t. Basmer, S. trippel, S. Junne, p. neubauer 2nd BioproScale Symposium, Berlin, February 14-16, 2012, Germany

(10) Minimal-invasiver Blutzuckersensor (MIBS) M. Birkholz, K.-e. ehwald, M. Fröhlich, p. Kulse, t. Basmer, R. ehwald, t. Guschauski, u. Stoll, H. Siegel, S. Schmaderer, J. Szeponik, D. Zahn 16. GMA / ItG-Fachtagung Sensoren und Messsysteme 2012, nürnberg, May 22-23, 2012, Germany

(11) praktische erfahrungen mit der präklinischen testung von Glucose- sensorsystemen

M. Birkholz Workshop technische und (prä)Klinische prüfung von Intelligenten Implantaten, Frankfurt / Main, December 19, 2012, Germany

(12) tensile Strained Ge layers obtained Via a Si-CMoS Compatible approach

G. Capellini, G. Kozlowski, Y. Yamamoto, M. lisker, t. Schroeder, A. Ghrib, M. de Kersauson, M. el Kurdi, p. Boucaud, B. tillack International SiGe technology and Device Meeting (IStDM 2012), Berkeley, June 04-06, 2012, uSA

Page 119: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

8 A n n u A l R e p o R t 2 0 2

V o r t r ä G e – p r e S e n t a t I o n S

(13) 120 Ghz radar Mixed-Signal transceiver W. Debski, W. Winkler, Y. Sun, M. Marinkovic, J. Borngräber, J.C. Scheytt european Microwave Integrated Circuit Conference (euMIC 2012), Amsterdam, october 28-november 02, 2012, the netherlands

(14) an X-Band, high performance, SiGe-hBt power amplifier for phased arrays

t. Dinc, I. Kalyoncu, M. Kaynak, Y. Gurbuz european Microwave Conference (euMW 2012), Amsterdam, october 28-november 02, 2012, the netherlands

(15) SiGeC: heterojunction Bipolar transistor Matching between different CMoS Baselines by tuning the Vertical profiles at a nanometer Scale

V. Dmitriev, D. Knoll, t. egorova, V. Seletskij, Y. Yamamoto, B. tillack 20th International Symposium nano-structures: physics and technology, nizhny novgorod, June, 24-30, 2012, Russia

(16) Side Channel attacks and the non-Volatile Memory of the Future

Z. Dyka, Ch. Walcyk, D. Walczyk, Ch. Wenger, p. langendörfer International Conference on Compilers, Archi-tectures and Synthesis for embedded Systems (CASeS 2012), tampere, october 08, 2012, Finland

(17) towards Strong Security in embedded and pervasive Systems: energy and area optimized Serial polynomial Multipliers in GF(2k)

Z. Dyka, p. langendörfer, F. Vater, St. peter 5th IFIp International Conference on new technologies, Mobility and Security (ntMS 2012), Istanbul, May 07-10, 2012, turkey

(18) 60 Ghz wireless Broadband Cable replacement for Machine Vision applications

M. ehrig, M. petri International Symposium on Signals, Systems and electronics (ISSSe 2012), potsdam, october 03-05, 2012, Germany

(19) development of Fabrication process for Silicon nitride waveguides on 200 mm wafer for astrophotonic applications

R. eisermann, J.C. Boggio, H.n.J. Fernando, M. lisker, e. Matthus, H. Richter, K. Schulz, l. Zimmermann Astrophotonics Summer School 2012, Wiesenburg, September 27, 2012, Germany

(20) 120 Ghz phased-array Circuits in 0.25 µm SiGe BiCMoS technology

M. elkhouly, S. Glisic, F. ellinger, J.C. Scheytt 7th German Microwave Conference (GeMIC 2012), Ilmenau, March 12-14, 2012, Germany

(21) Moonrake Chip - exploring pausible Clocking Based GalS design for 40-nm System Integration

X. Fan, M. Krstic, e. Grass, B. Sanders, Ch. Heer Design, Automation and test in europe, (DAte 2012), Dresden, March 12-16, 2012, Germany

(22) performance analysis of GalS datalink based on pausible Clocking Scheme

X. Fan, M. Krstic, e. Grass 18th Ieee International Symposium on Asynchronous Circuits and Systems (ASYnC 2012), Copenhagen, May 07-09, 2012, Denmark

Page 120: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

9A n n u A l R e p o R t 2 0 2

V o r t r ä G e – p r e S e n t a t I o n S

(23) long-term reliability of high-performance SiGe:C heterojunction Bipolar transistors

G.G. Fischer, D. Micusik, A. pocej Ieee Bipolar / BiCMoS Circuits and technology Meeting (BCtM 2012), portland, october 01-03, 2012, uSA

(24) Biostability and Material durability of an Implantable Glucose Sensor

M. Fröhlich, M. Birkholz, K.-e. ehwald, p. Kulse, o. Fursenko, J. Katzer e-MRS Spring Meeting, Strasbourg, May 14-18, 2012, France

(25) untersuchungen zur Biostabilität eines implantierbaren Glucosesensors

M. Fröhlich, K.-e. ehwald, p. Kulse, o. Fursenko, J. Katzer, M. Birkholz DpG Frühjahrstagung, Berlin, March 25-30, 2012, Germany

(26) high efficiency Cw Four-wave Mixing at 1.5 µm in SoI nano-rib waveguides using p-i-n diodes

A. Gajda, G. Winzer, B. tillack, K. petermann, l. Zimmermann, H. tian, R. elschner, t. Richter, C. Schubert 9th International Conference on Group IV pho-tonics, San Diego, August 29-31, 2012, uSA

(27) 60 Ghz polarimetric MIMo Sensing A.p. Garcia Ariza, R. Müller, F. Wollenschläger, R. Stephan, A. Schulz, M. elkhouly, J.C. Scheytt, u. trautwein, J. Müller, R.S. thomä, M.A. Hein 3rd MC and Scientific Meeting, Barcelona, February 08-10, 2012, Spain

(28) 60 Ghz polarimetric MIMo Sensing: architectures and technology

A.p. Garcia Ariza, R. Müller, R. Stephan, F. Wollenschläger, A. Schulz, M. elkhouly, J.C. Scheytt, u. trautwein, J. Müller, R.S. thomä, M.A. Hein european Conference on Antennas and propagation (euCAp 2012), prague, March 26-30, 2012, Czech Republic

(29) Synchronous Signal acquisition and processing in FMCw-radar applications

D. Genschow, M. Mahlig International Symposium on Signals, Systems and electronics (ISSSe 2012), potsdam, october 03-05, 2012, Germany

(30) asynchronous Circuit design: From Basics to practical applications

e. Grass, M. Krstic, X. Fan, St. Zeidler the 15th Ieee Symposium on Design and Diagnostics of electronic Circuits and Systems Symposium (DDeCS 2012), tallin, April 18-20, 2012, estonia

(31) das wlan der Zukunft - antrittsvorlesung e. Grass Humboldt-universität, tag der Informatik, Berlin, May 03, 2012, Germany

(32) drahtlose Breitbandkommunikations- systeme

e. Grass Sommerschule Mikroelektronik 2012, Frankfurt (oder), September 06, 2012, Germany

(33) 60-Ghz-Multimode-Chip-Set and demonstrator

e. Grass european Microwave Week (euMW 2012), MicroApps Seminar, Amsterdam, october 29-31, 2012, the netherlands

Page 121: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

20 A n n u A l R e p o R t 2 0 2

V o r t r ä G e – p r e S e n t a t I o n S

(34) StM Study of Growing CoxGey Structures on Ge(001) Substrate

t. Grzela, W. Koczorowski, A. Wykrota, R. Czajka, n. Curson, G. Capellini, n. Curson 7th Workshop on Applications of Scanning probe Microscopy StM / AFM 2012, Zakopane, november 28-December 02, 2012, poland

(35) StM Study of Growing CoxGey Structures on Ge(001) Substrate

t. Grzela, W. Koczorowski, A. Wykrota, R. Czajka, G. Capellini, n. Curson 8th Interregional Workshop on Advanced nanomaterials (IWAn 2012), Frankfurt (oder), november 12-13, 2012, Germany

(36) 130 nanometer CMoS MeMS Based novel Microfluidic System for Cytometry at 5 Ghz to 7 Ghz

S. Guha, K. Schmalz, Ch. Wenger, W. Krautschneider 1st International Conference on MicroFluidic Handling Systems (MFHS 2012), enschede, october 10-12, 2012, the netherlands

(37) wide Frequency range Fractional-n Synthesizer with Improved phase noise for Flexible payloads

H.-V. Heyer, H. telle, A. Koelnberger, F. Herzel, J.C. Scheytt, p. piironen, e. lia 2nd eSA Workshop on Advanced Flexible telecom payloads, noordwijk, April 17-19, 2012, the netherlands

(38) p-type Conductivity in oxygen deficient hfo2-x thin Films Grown by reactive Molecular Beam epitaxy

e. Hildebrandt, J. Kurian, M. Müller, t. Schroeder, H.-J. Kleebe, l. Alff DpG Frühjahrstagung, Berlin, March 26-30, 2012, Germany

(39) eine strahlungsresistente 0.13 Mikrometer CMoS Bibliothek

u. Jagdhold VDe VDI Zuverlässigkeit und entwurf, Bremen, September, 26, 2012, Germany

(40) Clock Jitter Generator with picoseconds resolution

G. Jovanovic, M. Stojcev, t. nikolic, Z. Stamenkovic 28th International Conference on Micro-electronics (MIel 2012), nis, May 13-16, 2012, Serbia

(41) development of CMoS Integrated aIn Based Saw-Filter and the role of Si Substrate resistivity

u. Kaletta, D. Wolansky, M. Fraschke, Ch. Wenger 2012 International Semiconductor Conference Dresden – Grenoble (ISCDG), Grenoble, September, 24-26, 2012, France

(42) Integrated Zno and aIn based Surface acoustic wave devices on Silicon

u. Kaletta, Ch. Wenger, p.V. Santos, S. Rauwerdink, W. Seidel, M. Fraschke, D. Wolansky, A. Scheit 2nd International Advances in Applied physics and Materials Science Congress (ApMAS 2012), Antalya, April 26-29, 2012, turkey

(43) Investigation of aIn and Zno layers for Surface acoustic wave (Saw) devices

u. Kaletta, M. Fraschke, D. Wolansky, p. Zaumseil, p.V. Santos, Ch. Wenger leibniz-Doktoranden Forum der Sektion D, Berlin, June 07-08, 2012, Germany

Page 122: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

2A n n u A l R e p o R t 2 0 2

V o r t r ä G e – p r e S e n t a t I o n S

(44) p-type doping of Silicon Suitable for Structures with high aspect ratios by using a dopant Source of Boron oxide Grown by atomic layer deposition

B. Kalkofen, V.M. Mothukuru, M. lisker, e.p. Burte 221st eCS Conference, Seattle, May 06-10, 2012, uSA

(45) atomic layer deposition of oxide layers as dopant Source for ultra-Shallow doping of Silicon

B. Kalkofen, M. Klingsporn, M. lisker, e.p. Burte 12th International Conference on Atomic layer Deposition (AlD 2012), Dresden, June 17-20, 2012, Germany

(46) a SiGe Switched lna for X-Band phased-arrays

I. Kalyoncu, t. Dinc, M. Kaynak, Y. Gurbuz european Microwave Conference (euMW 2012), Amsterdam, october 28-november 02, 2012, the netherlands

(47) a Q-Band rF-MeMS absorptive SpSt in a BiCMoS technology

M. Kaynak, W. Zhang, M. Wietstruck, B. tillack 13th Symposium on RF-MeMS and RF-Micro-systems (MeMSWAVe 2012), Antalya, July 03-04, 2012, turkey

(48) BiCMoS embedded rF-MeMS technologies for mm-wave applications

M. Kaynak european Microwave Conference (euMW 2012), Amsterdam, october 28-november 02, 2012, the netherlands

(49) MeMS Module Integration into SiGe BiCMoS technology for embedded System applications

M. Kaynak, V. Valenta, H. Schumacher, B. tillack Ieee Bipolar / BiCMoS Circuits and technology Meeting (BCtM 2012), portland, october 01-03, 2012, uSA

(50) rF-MeMS Switch Module in a 0.25 µm BiCMoS technology

M. Kaynak, M. Wietstruck, W. Zhang, J. Drews, R. Scholz, D. Knoll, F. Korndörfer, C. Wipf, K. Schulz, M. elkhouly, K. Kaletta, M. v. Suchodoletz, K. Zoschke, M. Wilke, o. ehrmann, V. Mühlhaus, G. liu, t. purtova, A.C. ulusoy, H. Schumacher, B. tillack Silicon Monolithic Integrated Circuits on RF Systems (SiRF 2012), Santa Clara, January 16-18, 2012, uSA

(51) packaged BiCMoS embedded rF-MeMS Switches with Integrated Inductive loads

M. Kaynak, M. Wietstruck, W. Zhang, J. Drews, R. Barth, D. Knoll, F. Korndörfer, R. Scholz, K. Schulz, C. Wipf, B. tillack, K. Kaletta, M. v. Suchodoletz, K. Zoschke, M. Wilke, o. ehrmann, A. C. ulusoy, t. purtova, G. liu, H. Schumacher International Microwave Symposium (IMS 2012), Montreal, June 17-22, 2012, Canada

(52) SFdr Considerations for Current Steering high-Speed digital to analog Converters

M. Khafaji, J.C. Scheytt, u. Jörges, C. Carta, D. Micusik, F. ellinger Ieee Bipolar / BiCMoS Circuits and technology Meeting (BCtM 2012), portland, october 01-03, 2012, uSA

Page 123: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

22 A n n u A l R e p o R t 2 0 2

V o r t r ä G e – p r e S e n t a t I o n S

(53) Strain Control of Si and Si1-yCy layers in Si / Si1-yCy / Si(100) heterostructures

t. Kikuchi, M. Sakuraba, I. Costina, B. tillack, J. Murota 6th International SiGe technology and Device Meeting (IStDM 2012), Berkeley, June 04-06, 2012, uSA

(54) Comparison of the Impact of thermal treatments on the Second and on the Millisecond Scales on the precipitation of Interstitial oxygen

G. Kissinger, D. Kot, W. von Ammon High purity Silicon 12, eCS Fall Meeting, Honolulu, october 07-12, 2012, uSA

(55) Chatty things - Making the Internet of things readily usable for the Masses with XMpp

R. Klauck, M. Kirsche 8th Ieee International Conference on Collaborative Computing networking Applications and Worksharing, pittsburgh, october 14-17, 2012, uSA

(56) Microstructural Changes in polycrystalline Si thin Film Solar Cells Induced by rapid thermal processing

M. Klingsporn, S. Steffens, Ch. Becker, M.A. Schubert, I. Costina, D. Abbou-Ras e-MRS Spring Meeting, Strasbourg, May 14-18, 2012, France

(57) Characterisation of thin-Film a Si / µc-Si tandem Solar Cells on Glass Substrate

A. Klossek, Ch. Krause, t. Arguirov, H.-M. Krause, W. Seifert, F. Friedrich, o. Gabriel, B. Stannowski, M. Kittler e-MRS Spring Meeting, Strasbourg, May 14-18, 2012, France

(58) distribution of defects and Breakdown Sites in uMG-Si Cells Studied by luminescence Imaging

A. Klossek, D. Mankovics, M. Kittler SiliconpV 2012, leuven, April 03-05, 2012, Belgium

(59) a highly Integrated Ir-uwB transceiver for Communication and localization

o. Klymenko, D. Martynenko, G. Fischer International System on Chip Design Conference 2012, Jeju Island, november 04-07, 2012, Korea

(60) low-Cost, high-Voltage SiGe:C hBts for a 0.18 µm BiCMoS process

D. Knoll, V. Dmitriev, t. egorova, V. Seletskij, n. Shelepin, R. Barth, G.G. Fischer, t. Grabolla, B. tillack Ieee Bipolar / BiCMoS Circuits and technology Meeting (BCtM 2012), portland, october 01-03, 2012, uSA

(61) Substrate design and thermal Budget tuning for Integration of photonic Components in a high-performance SiGe:C BiCMoS process

D. Knoll, H.H. Richter, B. Heinemann, S. lischke, Y. Yamamoto, l. Zimmermann, B. tillack 5th International SiGe, Ge and Related Compounds: Materials, processing and Devices Symposium-2012 eCS Conference, Hawaii, october 07-12, 2012, uSA

(62) Investigation of optimal Silicon avalanche photodiode pairs for 60-Ghz Balanced Subharmonic optoelectronic Mixers

M. Ko, M.J. lee, H. Rücker, W.-Y. Choi Asia-pacific Microwave photonics Conference (ApMp 2012), Kyoto, April 25-27, 2012, Japan

Page 124: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

2A n n u A l R e p o R t 2 0 2

V o r t r ä G e – p r e S e n t a t I o n S

(63) Simulation and Measurements of in-Band Spurs and phase noise for an Integrated 8-12 Ghz Fractional-n pll Synthesizer in SiGe BiCMoS

A. Koelnberger, H. telle, H.-V. Heyer, F. Herzel, J.C. Scheytt, p. piironen, e. lia eSA Workshop on Microwave technology and techniques, noordwijk, May 21-23, 2012, the netherlands

(64) energetic Spectra of dislocation networks produced by hydrophilic Bonding of Silicon wafers

I. Kolevatov, M. trushin, o. Vyvenko, M. Kittler, o. Kononchuk International Conference on extended Defects in Semiconductors (eDS-2012), thessaloniki, June 24-29, 2012, Greece

(65) Characterization of deep levels Introduced by rta and by Subsequent anneals in n-type Silicon

D. Kot, t. Mchedlidze, G. Kissinger, W. von Ammon High purity Silicon 12, eCS Fall Meeting, Ho-nolulu, october 07 - 12, 2012, uSA

(66) on the Strain partitioning in Ge Clusters on Free-Standing Si(001) nanopillars

G. Kozlowski, p. Zaumseil, M.A. Schubert, Y. Yamamoto, J. Bauer, t. Schulli, B. tillack, t. Schroeder DpG-Frühjahrstagung, Berlin, March 25-30, 2012, Germany

(67) on the Strain partitioning phenomenon in Freestanding Ge / Si nanostructures on Si(001)

G. Kozlowski, p. Zaumseil, M.A. Schubert, Y. Yamamoto, J. Bauer, t.u. Schulli, B. tillack, t. Schroeder Workshop des leibniz-Verbundes nanotech-nologie, Berlin, January 30-31, 2012, Germany

(68) the epitaxial Growth of low defect SiGe Buffer layers for Integration of new Materials on 300 mm Silicon wafers

G. Kozlowski, o. Fursenko, p. Zaumseil, t. Schroeder, M. Vorderwestner, p. Storck 5th International SiGe, Ge and Related Compounds: Materials, processing and Devices Symposium - 2012 eCS Conference, Hawaii, october 07-12, 2012, uSA

(69) Funkkommunikation: Stand der technik und Berufschancen

R. Kraemer Studieninformationstag, Btu Cottbus, January 12, 2012, Germany

(70) uwB nach 802.15.4a für Industrie- anwendungen

R. Kraemer, S. olonbayar Workshop on ultra-Wideband localization Systems for Industrial Applications, Karlsruhe, January 24, 2012, Germany

(71) applying tire pressure Monitoring devices for traffic Management purposes

M. Krstic, n. Savic, R. Kraemer, M. Junghans International Symposium on Signals, Systems and electronics (ISSSe 2012), potsdam, october 03-05, 2012, Germany

(72) Investigation of hfo2-based rraM- Structures

St. Kubotsch, t. Bertaud, D. Walczyk, M. Sowinska, H. Beyer, t. Schroeder, Ch. Wenger, Ch. Walczyk laser optics 2012, Berlin, March 20, 2012, Germany

(73) evaluation of CMoS-established Metals for Interdirigated array Microelectrodes

p. Kulse, M. Birkholz, D. Bolze, K.-e. ehwald, u. Haak, A. Schubert, M. Adamovski, u. Wollenberger e-MRS Spring Meeting, Strasbourg, May 14-18, 2012, France

Page 125: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

2 A n n u A l R e p o R t 2 0 2

V o r t r ä G e – p r e S e n t a t I o n S

(74) lithographic aspects for the Fabrication of BiCMoS embedded Bio-MeMS and rF-MeMS

p. Kulse, M. Birkholz, K.-e. ehwald, M. Kaynak, M. Wietstruck, J. Bauer, u. Haak, J. Drews, K. Schulz 28th european Mask and lithography Conference (eMlC 2012), Dresden, January 17-18, 2012, Germany

(75) a 62 Ghz reflectometer for Biomedical Sensor readout in SiGe BiCMoS technology

B. lämmle, K. Schmalz, J.C. Scheytt, D. Kissinger, R. Weigel 12th topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SIRF 2012), Santa Clara, January 16-18, 2012, uSA

(76) an Integrated 125 Ghz Sensor with read-out Circuit for permittivity Measurement of liquids

B. lämmle, K. Schmalz, J.C. Scheytt, D. Kissinger, R. Weigel International Microwave Symposium (IMS 2012), Montreal, June 17-22, 2012, Canada

(77) topologieüberwachung von drahtlosen Sensornetzen

St. lange, o. Stecklina 11. GI / ItG KuVS Fachgespräch Drahtlose Sensornetze (FGSn 2012), Darmstadt, September 13-14, 2012, Germany

(78) distributed Shared Memory as an approach for Integrating wSns and Cloud Computing

p. langendörfer, K. piotrowski, M. Diaz, B. Rubio ntMS Workshop on Wireless Sensor networks: Architectures, Deployments and tends (WSn-ADt), Istanbul, May 07-10, 2012, turkey

(79) projektmanagement von eu-Forschungs- vorhaben: Chancen und risiken der internationalen Kooperation

p. langendörfer, St. ortmann Seminar Management des internationalen technologietransfers, Frankfurt (oder), May 12, 2012, Germany

(80) wireless Body area network zur Überwachung und auswertung von Vital- und umgebungs-parameter

p. langendörfer, K. piotrowski, A. Sojka Wtt-Kooperationsforum, Best practice Wireless-Anwendungen, Berlin, June 14, 2012, Germany

(81) wireless telemedicine Sensor nodes: requirements and Challenges ahead

p. langendörfer, t. Basmer, K. piotrowski, St. ortmann 2012 Ieee Radio & Wireless Symposium (RWW 2012), Santa Clara, January 15-18, 2012, uSA

(82) Migrating legacy pon equipment towards Colorless onu trough hybrid Integrated SoI all-optical λ-Converter

I. lazarou, C. Stamatiadis, B. Schrenk, l. Stampoulidis, l. Zimmermann, K. Voigt, G.B. preve, l. Moerl, J. Kreissl, H. Avramopoulos oFC, los Angeles, March 04-08, 2012, uSA

(83) 60-Ghz Voltage-Controlled oscillator and Frequency divider in 0.25 µm SiGe BiCMoS technology

J.-M. lee, W.-Y Choi, H. Rücker International SoC Design Conference (ISoCC 2012), Jeju, november 04-07, 2012, Korea

Page 126: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

2A n n u A l R e p o R t 2 0 2

V o r t r ä G e – p r e S e n t a t I o n S

(84) direct Growth of Few-layer Graphene on Mica

G. lippert, M.H. Zoellner, J. Dabrowski, G. lupina DpG Frühjahrstagung 2012, Berlin, March 25-30, 2012, Germany

(85) Growth of Graphene for applications in high-Frequency electronic devices

G. lippert, J. Dabrowski, M. lukosius, M. Zoellner, G. lupina 8th Interregional Workshop on Advanced nanomaterials (IWAn), Frankfurt (oder), november 12-13, 2012, Germany

(86) MBe Based Van der waals epitaxy of highly ordered Graphene

G. lippert, J. Dabrowski, Y. Yamamoto, F. Herziger, J. Maultzsch, J. Baringhaus, C. tegenkamp, G. lupina 17th International Conference on Molecular Beam epitaxy, nara, September 23-28, 2012, Japan

(87) Molecular Beam epitaxy of Graphene on Mica

G. lippert, J. Dabrowski, Y. Yamamoto, F. Herziger, J. Maultzsch, J. Baringhaus, C. tegenkamp, M.C. lemme, G. lupina International Winterschool on electronic properties of novel Materials (IWepnM), Kirchberg, March 06, 2012, Austria

(88) low dark Current Ge pIn photodiode for a high-performance, photonic BiCMoS process for radio-over-Fiber applications

St. lischke, D. Knoll, l. Zimmermann, Y. Yamamoto, M. Fraschke, A. trusch, A. Krüger, M. Kroh, B. tillack 2012 Ieee photonics Conference, Burlingame, September 23-27, 2012, uSA

(89) Compressive-Strained peCVd Silicon nitride as a Stressor layer

M. lisker GMM Fachgruppe 1.2.3. Abscheide- und Ätz-verfahren, erlangen, December 12-13, 2012, Germany

(90) „Science Fab“ für hochintegrierte Inp / BiCMoS höchstfrequenzssysteme

M. lisker, B. tillack, n. Weimann, t. Krämer SAW-Vortreffen zum SAW-Verfahren 2012, Dortmund, September 14, 2012, Germany

(91) dual-Band Millimeter-wave VCo with embedded rF-MeMS Switch Module in BiCMoS technology

G. liu, M. Kaynak, t. purtova, A. C. ulusoy, B. tillack Silicon Monolithic Integrated Circuits on RF Systems (SiRF 2012), Santa Clara, January 16-18, 2012, uSA

(92) properties of atomic layer deposited pt / Sr tio3 Metal-Insulator-Metal Capacitors

M. lukosius, t. Blomberg, D. Walczyk, G. Ruhl, Ch. Wenger e-MRS Spring Meeting, Strasbourg, May 14-18, 2012, France

(93) properties of Stacked al2o3 / Srtio3 Metal-Insulator-Metal Capacitors

M. lukosius, t. Blomberg, G. Ruhl, Ch. Wenger 17th Workshop on Dielectrics in Micro-electronics (WoDIM 2012), Dresden, June 25-27, 2012, Germany

(94) direct Growth of Graphene on Insulating oxide and Silicate Substrates

G. lupina, G. lippert, J. Dabrowski, M. Zoellner, t. Schroeder, J. Maultzsch, F. Herziger, M. lemme Graphene Week, Delft, June 04-08, 2012, the netherlands

Page 127: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

26 A n n u A l R e p o R t 2 0 2

V o r t r ä G e – p r e S e n t a t I o n S

(95) Complementary rF-ldMoS transistors realized with Standard CMoS Implantations

A. Mai, H. Rücker 42nd european Solid-State Device Research Conference (eSSDeRC 2012), Bordeaux, September 17-21, 2012, France

(96) luminescence of defects and Breakdown Sites in Multicrystalline Si Solar Cells

D. Mankovics, A. Klossek, Ch. Krause, t. Arguirov, W. Seifert, M. Kittler e-MRS Spring Meeting, Strasbourg, May 14-18, 2012, France

(97) a 245 Ghz CB lna and ShM Mixer on SiGe technology

Y. Mao, K. Schmalz, J. Borngräber, J.C. Scheytt Silicon Monolithic Integrated Circuits on RF Systems (SiRF 2012), Santa Clara, January 16-18, 2012, uSA

(98) 245 Ghz Subharmonic receiver in SiGe Y. Mao, K. Schmalz, J. Borngräber, J.C. Scheytt european Microwave Week (euMW 2012), Amsterdam, october 28-november 02, 2012, the netherlands

(99) performance and Complexity analysis of Channel Coding Schemes for Multi-Gbps wireless Communications

M. Marinkovic, M. Krstic, e. Grass, M. piz Ieee International Symposium on personal, Indoor and Mobile Radio Communications (pIMRC 2012), Sydney, September 09-12, 2012, Australia

(100) deep Silicon etching and applications in Ihp

St. Marschmeyer 2. Workshop tiefes Siliziumätzen, Chemnitz, April 17, 2012, Germany

(101) a low power 6.3 - 8.5 Ghz Frequency Synthesizer in SiGe BiCMoS for Ieee802.15.4a Standard

D. Martynenko, G. Fischer, o. Klymenko International Symposium on Signals, Systems and electronics (ISSSe 2012), potsdam, october 03-05, 2012, Germany

(102) Implementation of an ultra-low power load Independent lC VCo

D. Martynenko, G. Fischer, o. Klymenko Ieee International Conference on Circuits & Systems (ICCAS 2012), Kuala lumpur, october 03-05, 2012, Malaysia

(103) low power programmable Frequency divider Intended for Frequency Synthesizer designed in accordance to Ieee 802.15.4a Standard

D. Martynenko, G. Fischer, o. Klymenko Ieee International Conference on Circuits & Systems (ICCAS 2012), Kuala lumpur, october 03-05, 2012, Malaysia

(104) uwB transmitter for Communication and localization for Ieee 802.15.4a Standard

D. Martynenko, G. Fischer, o. Klymenko Ieee International Conference on Circuits & Systems (ICCAS 2012), Kuala lumpur, october 03-05, 2012, Malaysia

(105) new Methodology for process design Kit (pdK) of BiCMoS compatible rF-MeMS

A. Mehdaoui, G. Schröpfer, G. lorenz, M. Kaynak, M. Wietstruck 13th Symposium on RF-MeMS and RF-Micro-systems (MeMSWAVe 2012), Antalya, July 03-04, 2012, turkey

(106) a new Complementary Vertical Graphene transistor: Concept and Simulations

W. Mehr, J. Dabrowski, J.C. Scheytt, G. lippert, G. lupina International Winterschool on electronic properties of novel Materials (IWepnM), Kirchberg, March 06, 2012, Austria

Page 128: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

27A n n u A l R e p o R t 2 0 2

V o r t r ä G e – p r e S e n t a t I o n S

(107) Complementary hot Carrier transistor with Graphene Base electrode

W. Mehr, J. Dabrowski, J.C. Scheytt, G. lippert, Y.-H. Xie, M. lemme, S. Vaziri, G. lupina Graphene 2012, Brussels, April 10-13, 2012, Belgium

(108) effiziente breitbandige leistungsver- stärker: neue multidimensionale heraus- forderungen und innovative Konzepte

Ch. Meliani electrical and electronic engineering for Communication Workshop (eeefCoM 2012), ulm, May 22-23, 2012, Germany

(109) Feeder realization for Quasi-lumped Multilayer resonators with low q-factor

D. Miljanovic, M. potrebic, D.V. tosic, Z. Stamenkovic 11th WSeAS International Conference on Circuits, Systems electronics, Control and Signal processing, Montreux, December 29-31, 2012, Switzerland

(110) 60 Ghz ultrawideband Front-ends with Gain Control, phase Shifter and wave Guide transition in ltCC

R. Müller, F. Wollenschläger, A. Schulz, M. elkhouly, u. trautwein, M.A. Hein, J. Müller, A.p. Garcia Ariza, R.S. thomä european Conference on Antennas and propagation, (euCAp 2012), prague, March 26-30, 2012, Czech Republic

(111) epitaxial Growth of Functional Single- Crystalline oxides on Si(001) Substrates

G. niu, t. Schroeder Alexander von Humboldt network Meeting, Kiel, February 08-10, 2012, Germany

(112) epitaxial Growth of lattice-Matched pr0.4y1.6o3 on Sro-passivated Si(001): Growth orientation tailoring by Interface engineering

G. niu, p. Zaumseil, M.A. Schubert, M. Zoellner, J. Dabrowski, t. Schroeder Ieee International Conference on Solid-State and Integrated Circuit technology (Ieee ICSICt 2012), Xian, october 29-november 01, 2012, China

(113) heteroepitaxy of Single Crystalline prxy2-xo3 (x=0-2) on Sro-Buffered Si(001): Interface engineering and Crystallography tailoring

G. niu, p. Zaumseil, M.A. Schubert, M. H. Zoellner, J. Dabrowski, t. Schroeder 2012 MRS Fall Meeting, Boston, november 25-30, 2012, uSA

(114) electrical Characterization of 311 defects and related Junction leakage Currents in n-type Si after Ion Implantation

C. nyamhere, F. olivie, F. Christiano, Z. essa, D. Bolze, Y. Yamamoto 19. International Conference on Ion Implantation technology, Valladolid, June 25-29, 2012, Spain

(115) Characterisation of a Ceria Film on Si(111) with non-Contact atomic Force Microscopy

R. olbrich, H.H. pieper, M.H. Zoellner, t. Schroeder, M. Reichling DpG-Frühjahrstagung, Berlin, March 25-30, 2012, Germany

(116) a telemedicine System for Improved rehabilitation of Stroke patients

St. ortmann, p. langendörfer International Conference on Health Informa-tics, Algarve, February 01-04, 2012, portugal

Page 129: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

28 A n n u A l R e p o R t 2 0 2

V o r t r ä G e – p r e S e n t a t I o n S

(117) Middleware-plattform für die realisierung Internet-basierter telemedizinischer dienste

St. ortmann, S. pilgermann 26. treffpunkt Medizintechnik: Diagnostik und therapie kardiovaskulärer erkrankungen, Berlin, June 07, 2012, Germany

(118) telemedical assistance for ambulant rehabilitation of Stroke patient

St. ortmann, p. langendörfer, C.S. lányi 9th World Congress on Brain Injury, edinburgh, March 21-25, 2012, Scotland

(119) a Fully digital polar Modulator for Switch Mode rF power amplifier

p. ostrovskyy, J.C. Scheytt, S.J. lee, B.H. park, J. H. Jung International Symposium on Circuits and Systems (ISCAS 2012), Seoul, May 20-23, 2012, South Korea

(120) performance estimation of Fully digital polar Modulation driving a 2 Ghz Switch-Mode power amplifier

p. ostrovskyy, J.C. Scheytt, A. Sadeghfam, H. Heuermann european Microwave Week, (euMW 2012), Amsterdam, october 28-november 02, 2012, the netherlands

(121) design of a Sensor node for Ieee 802.15.4 applications

G. panic, t. Basmer, H. Schomann, St. peter, F. Vater, K. tittelbach-Helmrich 25th Ieee International System-on-Chip Conference (SoCC 2012), niagara Falls, September 12-14, 2012, uSA

(122) profinet Io Vulnerability assessment and attack derivation

A. paul, F. Schuster, H. König 7th Conference on Critical Information Infrastructures Security, lillehammer, September 17-18, 2012, norway

(123) Customized hardware platform for wireless Sensor networks in agricultural applications

u. pesovic, D. projovic, S. Randjic, Z. Stamenkovic 5th WSeAS International Conference on Sensors and Signals, Sliema, September 07-09, 2012, Malta

(124) Implementation of Ieee 802.15.4 transceiver on Software defined radio platform

u. pesovic, D. Gliech, p. planinsic, Z. Stamenkovic, S. Randic 20th telecommunications Forum (telFoR 2012), Belgrade, november 20-22, 2012, Serbia

(125) tool-Supported Methodology for Component-Based design of wireless Sensor network applications

St. peter, p. langendörfer the 4th International Workshop on Component-Based Design of Resource-Constrained Systems, (CoRCS 2012), Izmir, July 16-20, 2012, turkey

(126) Beam Steering for lte Backhaul M. petri, R. Kraemer, M. Günther neC Heidelberg, August 15, 2012, Germany

(127) latency Impacts of different parallelism levels in data-Flow architectures

M. petri 15th International Symposium on Wireless personal Multimedia Communications, taipeh, September 24-27, 2012, taiwan

(128) design Methodology for Fault tolerant aSICs

V. petrovic, M. Ilic, G. Schoof, Z. Stamenkovic the 15th Ieee Symposium on Design and Diagnostics of electronic Circuits and Systems Symposium (DDeCS 2012), tallin, April 18-20, 2012, estonia

Page 130: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

29A n n u A l R e p o R t 2 0 2

V o r t r ä G e – p r e S e n t a t I o n S

(129) Seu and Set Fault Injection Models for Fault tolerant Circuits

V. petrovic, M. Ilic, G. Schoof, Z. Stamenkovic the 13th Biennial Baltic electronics Conference (BeC 2012), tallin, october, 03-05, 2012, estonia

(130) Single event latchup power Switch Cell Characterization

V. petrovic, M. Ilic, G. Schoof 4th Small Systems Simulations Symposium (SSSS 2012), nis, February 12-14, 2012, Serbia

(131) long-range wireless Sensor nodes-lessons learned

K. piotrowski, A. Sojka, p. langendörfer 6th european Conference on Antennas and propagation, (euCAp 2012), prague, March 26-30, 2012, Czech Republic

(132) Multi-radio wireless Sensor node for Mobile Biomedical Monitoring

K. piotrowski, St. ortmann, p. langendörfer BMt 2012, 46th DGBMt Annual Conference, Jena, September 16-19, 2012, Germany

(133) a novel tunable Integrates Filter for dispersion Compensation realized in Silicon (SoI)

A. Rahim, S. Schwarz, l. Zimmermann, J. Bruns, K. Voigt, the Sino-German Joint Symposium on opto- and Microelectronic Devices and Circuits (SoDC 2012), Hangzhou, September 24-27, 2012, China

(134) electrons on dislocations M. Reiche, M. Kittler, M. Krause, H. Übensee International Conference on extended Defects in Semiconductors (eDS 2012), thessaloniki, June 24-29, 2012, Greece

(135) Characterization of Germanium-Sulfide thin Films Grown by hot wire Chemical Vapor deposition

D. Reso, M. Silinskas, n. Frenzel, M. lisker, e.p. Burte nature Conference Aachen 2012, Aachen, June 17-20, 2012, Germany

(136) rF-MeMS Switching Circuits Fabricated in a SiGe process technology

S. Reyaz, C. Samuelsson, R. Malmqvist, M. Kaynak, A. Alvandpour, A. Rydberg GigaHertz 2012 Symposium, Stockholm, March 06-07, 2012, Sweden

(137) a V-Band rF-MeMS Spdt Switch network in a SiGe BiCMoS process technology

S. Reyaz, C. Samuelsson, R. Malmquist, M. Kaynak, A. Rydberg 13th Symposium on RF-MeMS and RF-Micro-systems (MeMSWAVe 2012), Antalya, July 03-04, 2012, turkey

(138) Millimeter-wave rF-MeMS Spdt Switch networks in a SiGe BiCMoS process technology

S. Reyaz, C. Samuelsson, R. Malmquist, M. Kaynak, A. Rydberg european Microwave Conference (euMW 2012), Amsterdam, october 28-november 02, 2012, the netherlands

(139) plasma etch Challenges for technological Fabrication of Silicon photonic Components

H.H. Richter, M. Fraschke, R. eisermann, St. Marschmeyer, D. Stolarek, K. Schulz, l. Zimmermann, B. tillack DpG Frühjahrstagung der Sektion AMop, Stuttgart, March 12-16, 2012, Germany

Page 131: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

0 A n n u A l R e p o R t 2 0 2

V o r t r ä G e – p r e S e n t a t I o n S

(140) half-terahertz SiGe BiCMoS technology H. Rücker, B. Heinemann, A. Fox Silicon Monolithic Integrated Circuits on RF Systems (SiRF 2012), Santa Clara, January 16-18, 2012, uSA

(141) austausch zur ForMat-Initiative erweiterte Sicherheit für Kritische Infrastrukturen (eSCI)

A. Sänn Dresden, January 27, 2012, Germany

(142) Complex product development: using a Combined VoC lead user approach

A. Sänn, D. Baier the 36th Annual Conference of the German Classification Society on Data Analysis, Machine learning and Knowledge Discovery, (GFKI 2012), Hildesheim, August 01-03, 2012, Germany

(143) lead users and non-lead-users: Breakthrough preferences Measured by online analysis

A. Sänn the R&D Management Conference 2012, Grenoble, May 23-25, 2012, France

(144) lead users and non-lead-users: preferences Measured by Conjoint analysis

A. Sänn, D. Baier 34th Marketing Science Conference (ISMS 2012), Boston, June 07, 2012, uSA

(145) SiGe BiCMoS transceivers, antennas, and ultra-low-Cost packaging for the ISM Bands at 122 and 245 Ghz

J.C. Scheytt, Y. Sun, K. Schmalz, R. Wang International Microwave Symposium (IMS 2012), Montreal, June 17-22, 2012, Canada

(146) 122 Ghz FMCw radar transceiver and Components in 0.13 µm SiGe BiCMoS technology

J.C. Scheytt, Y. Sun Diskussionssitzung des FA 9.1. Messverfahren der Informationstechnik zum thema Radar-techniken, Bochum, May 31, 2012, Germany

(147) a 245 Ghz transmitter in SiGe technology K. Schmalz, J. Borngräber, B. Heinemann, H. Rücker, J.C. Scheytt Ieee Radio Frequency Integrated Circuits Symposium (RFIC 2012), Montreal, June 17-19, 2012, Canada

(148) Systemarchitektur für raumfahrt- anwendungen

G. Schoof, V. petrovic, S. Montenegro 24. GI / GMM / ItG-Workshop testmethoden und Zuverlässigkeit von Schaltungen und Sys-temen, Cottbus, February 26, 2012, Germany

(149) embedded low power Clock Generator for Sensor nodes

o. Schrape, F. Vater norchip 2012, Kopenhagen, november 12-13, 2012, Denmark

(150) Klassische Ionendünnung von Focused Ion Beam proben aus der Si-halbleiter- technologie für die transmissions- elektronenmikroskopie

A. Schubert 9. präparatorentreffen Berlin-Brandenburg, Dresden, April 20, 2012, Germany

(151) a distributed Intrusion detection System for Industrial automation networks

F. Schuster, A. paul 17th International Conference on emerging technologies & Factory Automation, Krakow, September 17-21, 2012, poland

Page 132: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

(152) low-power BiCMoS track-and-hold Circuit with reduced Signal Feedthrough

B. Sedighi, Y. Borokhovych, H. Gustat, J.C. Scheytt International Microwave Symposium, (IMS 2012), Montreal, June 17-22, 2012, Canada

(153) low-power 20-GB / s SiGe BiCMoS driver with 2.5 V output Swing

B. Sedighi, p.ostrovskyy, J.C. Scheytt International Microwave Symposium (IMS 2012), Montreal, June 17-22, 2012, Canada

(154) 40 Gb / s VCSel driver IC with a new output Current and pre-emphasis adjustment Method

B. Sedighi, J.C. Scheytt International Microwave Symposium 2012 (IMS 2012), Montreal, June 17-22, 2012, Canada

(155) a 77 Ghz on-Chip dipole antenna with etched Silicon Substrate

M. Seyyed-esfahlan, M. Kaynak, I. tekin 13th Symposium on RF-MeMS and RF-Micro-systems (MeMSWAVe 2012), Antalya, July 03-04, 2012, turkey

(156) platform for automated hw / Sw Co- Verification, testing and Simulation of Microprocessors

A. Simevski, R. Kraemer, M. Krstic 13th latin American test Workshop (lAtW 2012), Quito, April 10-13, 2012, ecuador

(157) Scalable design of a programmable nMr Voter with Inputs State descriptor and Self-Checking

A. Simevski, e. Hadzieva, R. Kraemer, M. Krstic nASA / eSA Conference on Adaptive Hardware and Systems (AHS 2012), nuremberg, June 25-28, 2012, Germany

(158) heterostructure Growth Study for Gap Collector Integration in SiGe hBt technology

o. Skibitzki, F. Hatami, p. Zaumseil, Y. Yamamoto, A. trampert, M.A. Schubert, B. tillack, W. t. Masselink, t. Schroeder DpG Frühjahrstagung, Berlin, March 25-30, 2012, Germany

(159) heterostructure Growth Study for Gap Collector Material Integration in Future SiGe hBts

o. Skibitzki, F. Hatami, Y. Yamamoto, p. Zaumseil, A. trampert, M.A. Schubert, B. tillack, W.t. Masselink, t. Schroeder Wilhelm and else Heraeus physics School „Microelectronics for Society-More than Moore expands More Moore“, Bad Honnef, June 10-16, 2012, Germany

(160) Single error plus Single erasure Correction with redundancy repair Scheme for Memory reliability Improvement

p. Skoncej 24. GI / GMM / ItG Workshop testmethoden und Zuverlässigkeit von Schaltungen und Systemen, Cottbus, February 26-28, 2012, Germany

(161) wBr-word and Block-level hard error repair for Memories

p. Skoncej non-Volatile Memory technology Symposium (nVMtS 2012), Singapore, october 31-november 02, 2012, Singapore

(162) ImrnG: a Cryptographic pseudorandom number Generator for wireless Sensor networks

A. Sojka, K. piotrowski International Conference on Security and Cryptography (Secrypt 2012), Rom, July 24-27, 2012, Italy

V o r t r ä G e – p r e S e n t a t I o n S

Page 133: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

2 A n n u A l R e p o R t 2 0 2

(163) Concept of Vertical Bipolar transistor with lateral drift region, applied to high Voltage SiGe hBt

R. Sorge, A. Fischer, R. pliquett, C. Wipf, R. Barth Silicon Monolithic Integrated Circuits on RF Systems (SiRF 2012), Santa Clara, January 16-18, 2012, uSA

(164) Correlation of the electrical with the Material Changes during resistance Switching of ti / hfo2 rraM devices by in-operando haXpeS Study

M. Sowinska, t. Bertaud, D. Walczyk, S. thiess, Ch. Walczyk, t. Schroeder IWAn Workshop, Frankfurt (oder), november 12-13, 2012, Germany

(165) haXpeS as an non-destructive technique for rraM Investigations

M. Sowinska, t. Bertaud, D. Walczyk, S. thiess, Ch. Walczyk, t. Schroeder 17th Workshop on Dielectrics in Microelec-tronics, Dresden, June 25-27, 2012, Germany

(166) In-operando haXpeS analysis of the resistive Switching phenomenon in ti / hfo2-Based Systems

M. Sowinska, t. Bertaud, D. Walczyk, S. thiess, Ch. Walczyk, t. Schroeder Frontiers in electronic Materials: Correlation effects and Memristive phenomena, Aachen, June 17-20, 2012, Germany

(167) unveiling the resistive Switching Mechanism of ti / hfo2 / tin rraM Cells by nondestructive hard X-ray photo- electron Spectroscopy

M. Sowinska, t. Bertaud, D. Walczyk, Ch. Walczyk, S. thiess, W. Drube, t. Schroeder DpG Frühjahrstagung, Berlin, March 25-30, 2012, Germany

(168) a hybrid photonic Integrated wavelength Converter on a Silicon-on-Insulator Substrate

C. Stamatiadis, l. Stampoulidis, K. Vyrsokinos, I. lazarou, D. Kalavrouziotis, l. Zimmermann, K. Voigts, G.B. preves, l. Moerl, J. Kreissl, H. Avramopoulos oFC 2012, los Angeles, March 04-08, 2012, uSA

(169) rear View Camera System for Car driving assistance

Z. Stamenkovic, K. tittelbach-Helmrich, J. Domke, C. lörchner-Gerdaus, J. Anders, V. Sark, M. eric, n. Sira 28th International Conference on Micro-electronics (MIel 2012), nis, May 13-16, 2012, Serbia

(170) erweiterte Sicherheit für Kritische Infrastrukturen (eSCI)

o. Stecklina Innovationsforum „ISI4people“, Berlin, June 21-22, 2012, Germany

(171) tandemStack – a Flexible and Customizable Sensor node platform for low power applications

o. Stecklina, D. Genschow, Ch. Goltz Sensornets 2012, Rome, February 24-26, 2012, Italy

(172) a low-phase-noise 61 Ghz push-push VCo with divider Chain and Buffer for 122 Ghz ISM

Y. Sun, J.C. Scheytt Ieee Radio Frequency Integrated Circuits Symposium (RFIC 2012), Montreal, June 17-19, 2012, Canada

V o r t r ä G e – p r e S e n t a t I o n S

Page 134: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

(173) MBe Grown Gan / Sc2co3 / y2o3 / Si(111) heterostructures as a template for MoCVd Gan deposition

l. tarnawska, M. Wosko, A. Szyzska, R. paszkiewicz, t. Schroeder IWAn Workshop, Frankfurt (oder), november 12-13, 2012, Germany

(174) novel Buffer approach for Gan Integration on Si(111) platform through Sc2o3 / y2o3

bi-layer l. tarnawska phD Seminar at Wroclaw university of technology, Wroclaw, May 30, 2012, poland

(175) Structural and optical Quality of Gan Films Grown on Sc2o3 / y2o3 / Si(111)

l. tarnawska, p. Zaumseil, p. Storck, t. Schroeder DpG-Frühjahrstagung 2012, Berlin, March 25-30, 2012, Germany

(176) Virtual Gan Substrate on Si(111) via novel oxide bi-layer approach

l. tarnawska, J. Dabrowski, p. Storck, t. Schroeder Deutscher MBe Workshop 2012, Hannover, September 11-12, 2012, Germany

(177) a 77 Ghz on-Chip Strip dipole antenna Integrated with Balun Circuits for automotive radar

I. tekin, M. Kayank Ieee International Symposium on Antennas and propagation and uSnC-uRSI national Radio Science Meeting, Chicago, July 08-14, 2012, uSA

(178) Bias dependency in Modern SiGe hBts and CMoS

F.e. teply 7th RADeCS thematic Day on Academic and phD Research Activities (RADFAC 2012), Surrey, April, 03, 2012, uK

(179) SiGe BiCMoS-a More than Moore Baseline technology for Functional diversification of opto- and Microelectronic devices and Circuits

B. tillack, B. Heinemann, M. Kaynak, H. Rücker, l. Zimmermann, Ch. Wenger the Sino-German Joint Symposium on opto- and Microelectronic Devices and Circuits (SoDC 2012), Hangzhou, September 24-27, 2012, China

(180) an accurate and Versatile equivalent Circuit Model for rF-MeMS Circuit optimization in BiCMoS technology

n. torres Matabosch, F. Coccetti, M. Kaynak, W. Zhang, B. tillack, R. plana, J.l. Casaux european Microwave Conference (euMW 2012), Amsterdam, october 28-november 02, 2012, the netherlands

(181) an accurate equivalent Circuit Model for rF-MeMS Circuit optimization and Fabrication process Monitoring in BiCMoS technology

n. torres Matabosch, F. Coccetti, M. Kaynak, W. Zhang, B. tillack, J.l. Cazaux 13th Symposium on RF-MeMS and RF-Micro-systems (MeMSWAVe 2012), Antalya, July 03-04, 2012, turkey

(182) Combined raman-dltS Investigations of n-type Cu-In-S absorber layers Grown on Cu tape Substrate

M. trushin, t. Arguirov, M. Kittler, W. Seifert, A. Klossek, t. Bernhard, W. Gerlach-Blumenthal, A. Hänsel, o. tober, M. Schwabe International Conference on extended Defects in Semiconductors (eDS-2012), thessaloniki, June 24-29, 2012, Greece

V o r t r ä G e – p r e S e n t a t I o n S

Page 135: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

(183) reconfigurable Millimeter-wave ICs in an rF-MeMS embedded BiCMoS technology

A.C. ulusoy, M. Kaynak, G. liu, B. tillack, H. Schumacher GigaHertz 2012 Symposium, Stockholm, March 06-07, 2012, Sweden

(184) reconfigurable Millimeter-wave ICs in an rF-MeMS embedded BiCMoS technology

A.C. ulusoy, M. Kaynak, G. liu, t. purtova, B. tillack, H. Schumacher 13th Symposium on RF-MeMS and RF-Microsys-tems (MeMSWAVe 2012), Antalya, July 03-04, 2012, turkey

(185) From enabling technology to applications: reconfigurable SiGe BiCMoS ICs with Fully Integrated rF-MeMS Switches for Millimetre wave transceivers

V. Valenta, M. Kaynak, G. liu, A.C. ulusoy, t. purtova, A. trasser, H. Schumacher eSA Microwave technologies & techniques Workshop, noordwijk, May 21-23, 2012, the netherlands

(186) an Integration approach for Graphene double Gate transistors

S. Vaziri, A.D. Smith, C. Henkel, M. Östling, M.C. lemme, G. lupina, G. lippert, J. Dabrowski, W. Mehr 42nd european Solid-State Device Research Conference (eSSDeRC 2012), Bordeaux, 17-21, 2012, France

(187) a Satellite Internal Communication Controller: design and Implementation

M. Veleski, V. petrovic, Z. Stamenkovic 11th WSeAS International Conference on Circuits, Systems electronics, Control and Signal processing, Montreux, December 29-31, 2012, Switzerland

(188) advanced Media technologies for Stroke rehabilitation

e. Vogiatzaki, p. langendörfer, St. ortmann 17th Annual Cyberpsychology & Cybertherapy Conference-experience the Future of Health & Well Being (iACtoR‘s), Brussels, September 25-28, Belgium

(189) pulse-Induced resistive Switching of CMoS embedded hfo2-based 1t1r Cells

D. Walczyk, Ch. Walczyk, t. Bertaud, M. Sowinska, M. lukosius, St. Kubotsch, t. Schroeder, Ch. Wenger DpG Frühjahrstagung, Berlin, March 25-30, 2012, Germany

(190) pulse-Induced resistive Switching of Si CMoS embedded hfo2-based 1t1r devices

D. Walczyk, t. Bertaud, M. Sowinska, M. lukosius, D. Wolansky, M. Fraschke, A. Scheit, B. tillack, t. Schroeder, Ch. Wenger, Ch. Walczyk, e-MRS Spring Meeting, Strasbourg, May 14-18, 2012, France

(191) resistive Switching Behavior in tin / hfo2 / ti / tIn devices

D. Walczyk, t. Bertaud, M. Sowinska, M. lukosius, M.A. Schubert, A. Fox, D. Wolansky, A. Scheit, M. Fraschke, G. Schoof, Ch. Wolf, R. Kraemer, B. tillack, R. Korolevych, V. Stikanov, Ch. Wenger, t. Schroeder, Ch. Walczyk 2012 International Semiconductor Conference Dresden-Grenoble (ISCDG 2012), Grenoble, September 24-26, 2012, France

(192) a Micromachined double-dipole antenna for 122-140 Ghz applications Based on a SiGe BiCMoS technology

R. Wang, Y. Sun, M. Kaynak, St. Beer, J. Borngräber, J.C. Scheytt International Microwave Symposium 2012, Montreal, June 17-22, 2012, Canada

V o r t r ä G e – p r e S e n t a t I o n S

Page 136: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

(193) effect of Composition on the Bandgap width in Insulating niobate nbxMeyoz (Me=ta or Gd) nanolayers

W.C. Wang, H.Y. Chou, M. Badylevich, t. Blom-berg, Ch. Wenger, D. Dewulf, A. Hardy, M.K. Van Bael, V.V. Afanasev e-MRS Spring Meeting, Strasbourg, May 14-18, 2012, France

(194) the effect of Composition on the Bandgap width in Insulating nbxtayoz nanolayers

W.C. Wang, H.Y. Chou, M. Badylevich, t. Blomberg, Ch. Wenger, J.A. Kittl, V.V. Afanas‘ev e-MRS Spring Meeting, Strasbourg, May 14-18, 2012, France

(195) Microwave Biosensor for Characterization of Compartments in teflon Capillaries

J. Wessel, J.C. Scheytt, K. Schmalz, B. Cahill, G. Gastrock european Microwave Week, (euMW 2012), Amsterdam, october 28-november 02, 2012, the netherlands

(196) dual use in der wissenschaftlichen praxis, ausfuhrkontrolle am Ihp

W. Wichmann Sommerschule Helmholtz-Kolleg (HRSSt 2012), Kröchlendorf, August 24, 2012, Germany

(197) the Influence of high temperature Stress on a BiCMoS embedded rF-MeMS Switch

M. Wietstruck, M. Kaynak, W. Zhang, K. Kaletta, B. tillack 13th Symposium on RF-MeMS and RF-Micro-systems (MeMSWAVe 2012), Antalya, July 02-04, 2012, turkey

(198) In-situ Xrd and Xrr Investigations of the oxidation pro-Cess in ultra thin praseodymia Films on Si(111)

H. Wilkens, S. Gevers, M.H. Zoellner, t. Schroe-der, J. Wollschlaeger DpG-Frühjahrstagung, Berlin, March 25-30, 2012, Germany

(199) a new Method to analyze the Behavior of SiGe:C hBts under rF large Signal Stress

Ch. Wipf Silicon Monolithic Integrated Circuits on RF Systems (SiRF 2012), Santa Clara, January 16-18, 2012, uSA

(200) detection and reduction of Via Faults D. Wolansky, H. Rücker, J. Bauer, u. Haak, W. Höppner, J. Katzer, p. Kulse, A. Mai, A. Scheit, K. Schulz International Semiconductor Conference Dresden Grenoble 2012, (ISCDG 2012), September 24-25, 2012, France

(201) 15 Gbps Communication over an uSB3.0 Cable and even More

A. Wolf, J.C. Scheytt Ieee International Conference on Communi-cation and Signal processing (SSD -CSp 2012), Chemnitz, March 20-23, 2012, Germany

(202) low threading dislocation density Ge Growth and heavy phosphorus doping in Ge

Y. Yamamoto, p. Zaumseil, G. Kozlowski, R. Kurps, B. tillack university of Vigo and JSpS Core-to-Core program Joint Seminar: Atomically Controlled processing for ultralarge Scale Integration, Vigo, September 04-06, 2012, Spain

V o r t r ä G e – p r e S e n t a t I o n S

Page 137: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

6 A n n u A l R e p o R t 2 0 2

(203) phosphorus atomic layer doping in Ge using rpCVd

Y. Yamamoto, R. Kurps, Ch. Mai, I. Costina, J. Murota, B. tillack 6th International SiGe technology and Device Meeting (IStDM 2012), Berkeley, June 04-06, 2012, uSA

(204) phosphorus profile Control in Ge by Si delta layers

Y. Yamamoto, p. Zaumseil, R. Kurps, J. Murota, B. tillack SiGe, Ge, and Related Compounds 5: Materials, processing and Devices, eCS Meeting, Honolulu, october 07-12, 2012, uSA

(205) a 12.5 Gb / s SiGe BiCMoS optical receiver with a Monolithically Integrated 850-nm avalanche photodetector

J.-S. Youn, M.-J. lee, K.-Y. park, H. Rücker, W.-Y. Choi oFC nFoeC 2012, los Angeles, March 04-08, 2012, uSA

(206) Bit-error rate analysis of Integrated optoelectronic receiver

J.-S. Youn, M.-J. lee, K.-Y. park, W.-Y. Choi, H. Rücker photonic Global Conference, Singapore, December 13-16, 2012, Singapore

(207) a test processor Solution for asynchronous Chip test

St. Zeidler, Ch. Wolf, M. Krstic, R. Kraemer 18th Ieee International Symposium on Asynchronous Circuits and Systems (ASYnC‚12), lyngby, May 07-09, 2012, Denmark

(208) a test processor Solution for asynchronous Chip test

St. Zeidler, Ch. Wolf, M. Krstic, R. Kraemer leibniz-Doktoranden-Forum der Sektion D, Berlin, June 07-08, 2012, Germany

(209) entwurf einer neuen testprozessorlösung für den Funktionaltest asynchroner Schaltungen

St. Zeidler, Ch. Wolf, M. Krstic, R. Kraemer 24. GI / GMM / ItG-Workshop: testmethoden und Zuverlässigkeit von Schaltungen und Systemen, Cottbus, February 26-28, 2012, Germany

(210) Methodology for Functional pattern Generation for asynchronous designs in a test processor environment

St. Zeidler, Ch. Wolf, M. Krstic, R. Kraemer 2012 Ieee 21st Asian test Symposium, niigata, november 19-22, 2012, Japan

(211) (hr)teM-Investigations of Gan / Sc2o3 / Si(111) heterostructure

D. Zengler, l. tarnawska, t. Schroeder, t. niermann, M. lehmann the 15th european Microscopy Congress-(eMC 2012), Manchester, September 16-21, 2012, uK

(212) eM and lumped-element Model of BiCMoS embedded Capacitive rF-MeMS Switch

W. Zhang, M. Kaynak, M. Wietstruck, V. Mühlhaus, B. tillack 7th German Microwave Conference (GeMIC 2012), Ilmenau, March 12-14, 2012, Germany

(213) aircraft Cabin-integrated 57-64Ghz wlan Communication System

V. Ziegler, B. Schulte, J. Sabater, S. Bovelli, J. Kunisch, K. Maulwurf, M. Martinez-Vazquez, C. oikonomopoulos-Zachos, S. Glisic, M. ehrig, e. Grass Ieee International Microwave Symposium (IMS 2012), Montreal, June 17-22, 2012, Canada

V o r t r ä G e – p r e S e n t a t I o n S

Page 138: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

7A n n u A l R e p o R t 2 0 2

(214) hybrid Integration of Coherent receivers for terabit ethernet on SoI waveguide plC

l. Zimmermann, M. Kroh, K. Voigt, G. Winzer, H. tian, l. Stampoulidis, B. tillack, K. petermann the 9th International Conference on Group IV (GFp), San Diego, August 29-30, 2012, uSA

(215) Silicon photonics for nonlinear applications

l. Zimmermann, H. tian, A. Gajda, K. Voigt, G. Winzer, K. petermann, B. tillack the Sino-German Joint Symposium on opto- and Microelectronic Devices and Circuits (SoDC 2012), Hangzhou, September 24-27, 2012, China

(216) Graphene Growth on Insulators M.H. Zoellner, J. Dabrowski, G. lippert, G. lupina, t. Schroeder Workshop des leibniz-Verbundes nanotech-nologie, Berlin, January 30-31, 2012, Germany

(217) Structure-Stoichiometry relationship of Mixed Ce1-xprxo2-δ (x=0-1) oxides on Si(111)

M.H. Zoellner, M. Bäumer, M. Reichling, H. Wilkens, J. Wollschläger, p. Zaumseil, t. Schroeder DpG Frühjahrstagung 2012, Berlin, March 25-30, 2012, Germany

BerichteReports

(1) Machbarkeitsstudie zur nutzung einer Signifikanzanalyse für die erkennung von Jammern in drahtlosen Sensornetzen

St. Kornemann praktikumsbericht für Btu Cottbus, (2012)

(2) Compositionally Graded SiGe Buffers on Si(001) with and without the Back-Side SiGe

G. Kozlowski, p. Zaumseil, t. Schroeder Industriebericht, (2012)

(3) abschlussbericht p. langendörfer, t. Basmer für Innoprofile projekt tAnDeM, (2012)

(4) BMBF-projekt MatrIX St. ortmann Zwischenbericht, (2012)

(5) light induced crystallization and characterization of Si nanostructures

t. Mchedlidze, M. KittlerBMBF-Verbundprojekt SInoVA (FKZ 03SF0352C), teilprojekt, Final Report, 13.08.2012

(6) MatrIX ergebnisreport 3.5 – System- management- und Konfigurationsservices

St. ortmann Report (2012)

(7) periodic Summary & activity report St. ortmann StrokeBack Deliverable D1.2, (2012)

(8) Schlussbericht Verbundvorhaben MatrIX St. ortmann, M. Maaser, o. Maye BMBF-Forschungsvorhaben Förder-kennzeichen 16BS0801, (2012)

B e r I C h t e – r e p o r t S

Page 139: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

8 A n n u A l R e p o R t 2 0 2

(9) StrokeBack deliverable d1.1 St. ortmann Intermediate Report, (2012)

(10) a 60-Ghz wireless Backhaul System with Beam Steering Capability for lte Small Cell Connectivity

M. petri Forwarding to potential partners, (2012)

(11) Middleware Switch processor – user‘s Manual

V. petrovic, G. Schoof, S. Montenegro, B. Vogel (2012)

(12) Selection of look and Feel of all aspects of the StrokeBack System

M. Schauer, l. paramonov, St. ortmann project Fp-ICt StrokeBack, (2012)

(13) In-Situ haXpeS Study of ti / hfo2 / tin rraM Structures

M. Sowinska, D. Walczyk, t. Bertaud, S. thiess, Ch. Walczyk, t. Schroeder HASYlAB Annual Report, (2012)

(14) d 5.4 Smart - rasip o. Stecklina, F. Vater, t. Basmer Smart project no 100032, (2012)

(15) Virtual Gan Substrates on Si(111) via oxide heterostructures

p. Storck, t. Schroeder Industriebericht – Siltronic – IHp technology Activity Report, (2012)

(16) Virtuelle Gan Substrate auf 4“ Si(111) mittels oxidheterostrukturen als epitaxie- pufferschicht: defektanalyse auf atomarer ebene mittels teM zur optimierung der wachstumsstrategie

p. Storck, t. Schroeder, M. lehmann Industriebericht, (2012)

(17) abhörsichere, schaltbare und integrierbare Saw-Funkmodule

Ch. Wenger Schlussbericht zum Verbundprojekt, teilprojekt: Integrierte (Bi)CMoS-ICs, (2012)

(18) Schlussbericht zum Verbundprojekt: Materialien für extrem hohe integrierte Kapazitäten (MaxCaps) teilprojekt: präparation und Charakterisierung von MIM-Kondensatoren

Ch. Wenger Report, (2012)

(19) Virtual Gan via alGanMultilayer Buffer on Si(111) diagnostics report

p. Zaumseil, l. tarnawska, D. Zengler, p. Storck, t. Schroeder, M. lehmann Industriebericht, (2012)

MonographienMonographs

(1) X-ray techniques for Materials research – from laboratory Sources to Free electron lasers

F. Boscherini, M. Birkholz, D. Chateigner, J.-Y. Buffiere, p.F. Fewster (eds.)nuclear Instruments and Methods in physics Research B 284, (2012)

(2) e-MrS Fall Meeting Symposium on Stress, Structure and Stoichiometry effects on nanomaterials

V. Cracium, R. Gaboriaud, F. Sanchez, t. Schroeder (Guest eds.) Applied Surface Science 260, (2012)

M o n o G r a p h I e n – M o n o G r a p h S

Page 140: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

9A n n u A l R e p o R t 2 0 2

(3) SiGe, Ge, and related Compounds 5: Materials, processing, and devices

D. Harame, t. Krishnamohan, S. Miyazaki, A. Reznicek, B. tillack, Y. C. Yeo, M. Caymax, G. Masini, G. niu, K. Saraswat, B. Vincent, K. uchida (eds.) electrochemical Society, eCS transactions; 50(5), (2012)

(4) distributed Shared Memory and data Consistency (for wireless Sensor networks – assessment of the Feasibility)

K. piotrowski Südwestdeutscher Verlag für Hochschul-schriften, (2012)

(5) e-MrS 2012 Spring Meeting-Symposium a, advanced Silicon Materials research for electronic and photovoltaic applications III

S. pizzini, G. Kissinger, H. Yamada-Kaneta, J. Kang (eds.) physica Status Solidi C C 9, (10-11), 1857-2210 (2012)

(6) Mechanismen zur Verbesserung der Quality of experience beim mobilen Zugriff auf Streaming-orientierte Internetdienste

n. todtenberg Saarbrücken, AV Akademikerverl., (2012)

(7) e-MrS 2012 Spring Meeting: Symposium MCh. Wenger, J. Fompeyrine, Ch. Vallée, J.-p. locquet (eds.)Iop Conference Series, Materials Science and engineering 41, (2012)

h a B I l I t a t I o n e n / d I S S e r t a t I o n e n – h a B I l I t a t I o n S / d I S S e r t a t I o n S

Habilitationen/DissertationenHabilitations / Dissertations

(1) Spezifische Fehlertoleranz für kombi- natorische und sequentielle Schaltungen

M. Augustin Dissertation, Btu Cottbus, (2012)

(2) Characterization of perovskite-like high-k dielectric Materials for Metal-Insulator- Metal Capacitors

C. Baristiran-Kaynak Dissertation, tu Berlin, (2012)

(3) energy-efficient Means to Support Short end-to-end delays in wireless Sensor networks

M. Brzozowski Dissertation, Btu Cottbus, (2012)

(4) analyse und Vorhersage des Flächen- und energieverbrauches optimaler hardware polynom-Multiplizierer für GF (2n) für elliptische Kurven Kryptographie

Z. Dyka Dissertation, Btu Cottbus, (2012)

(5) Structures for nanoscale draM Memories B. Hudec Dissertation, Iee Bratislava, (2012)

(6) entwurf eines robusten drahtlosen Kommunikationssystems für die industrielle automatisierung unter harten echtzeitbedingungen auf Basis von ultrawideband-Impulsfunk

J. Hund Dissertation, Btu Cottbus, (2012)

(7) on the Compliant Behaviour of Free- Standing Si nanostructures on Si(001) for Ge heteroepitaxy

G. Kozlowski Dissertation, Btu Cottbus, (2012)

Page 141: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

0 A n n u A l R e p o R t 2 0 2

(8) design and analysis of agile Frequency Synthesizer for Software-defined radio applications

S.A. osmany Dissertation, universität ulm, (2012)

(9) latenzverringerung in Basisband- prozessoren am Beispiel eines hochratigen oFdM-Kommunikationssystems

M. petri Dissertation, Btu Cottbus, (2012)

(10) novel oxide Buffer approach for Gan Integration on Si(111) platform through Sc2o3 / y2o3-Bi-layer

l. tarnawska Dissertation, Btu Cottbus, (2012)

Diplomarbeiten/Masterarbeiten/BachelorarbeitenDiploma Theses/Master Theses/Bachelor Theses

(1) Konzeption und Implementierung einer datenbank zur zentralen Verwaltung von Mitarbeiterstammdaten einschließlich der replikation dedizierter Benutzerdaten mit einem vorhandenen Verzeichnisdienst für das leibniz-Institut für innovative Mikroelektronik

St. Baudach Diplomarbeit, Wilhelm Büchner Hochschule, Darmstadt, (2012)

(2) entwurf und Implementierung einer werkzeugbasierten aufteilung von Sensorknoten-Software in isolierte adressräume

e. Bergmann Diplomarbeit, Btu Cottbus, (2012)

(3) 0.13 Micron CMoS-MeMS Based Microfluidic System for Cellomics at high Frequency

S. Guha Masterarbeit, tuHH Hamburg, (2012)

(4) Implementierung von Ipv6 für low-power wireless personal area networks (6lowpan) auf Basis von IQ level

D. Heyne Bachelorarbeit, Btu Cottbus, (2012)

(5) untersuchungen und Implementierung von Mechanismen zur verteilten entscheidungs- findung in automatisierungssystemen

Ch. Hildebrand Masterarbeit, Btu Cottbus, (2012)

d I p l o M - / M a S t e r - / B a C h e l o r a r B e I t e n – d I p l o M a - S / M a S t e r - / B a C h e l o r t h e S e S

Page 142: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

(6) Konstruktion eines externen Kontrollgeräts für polierköpfe einer waferpolieranlage

M. Hopp Bachelorarbeit, bbw-Hochschule Frankfurt (oder), (2012)

(7) Maskengenerierung für optoelektronische integrierte Schaltkreise

S. Jätzlau Bachelorarbeit, bbw-Hochschule Frankfurt (oder), (2012)

(8) anwendung des roboterarms areXX ra1-pro und prüfung zeitkritischer Faktoren

Ch. Köhler Bachelorarbeit, tH Wildau (FH), (2012)

(9) evaluierung und Implementierung von Mechanismen zur selbständigen erkennung von Jamming attacken in drahtlosen Sensornetzen

St. Kornemann Masterarbeit, Btu Cottbus, (2012)

(10) Qualifikation einer poliersuspension zum chemisch-mechanischen polieren

A. Krüger Bachelorarbeit, bbw-Hochschule Frankfurt (oder), (2012)

(11) analytische und elektrische Charakte- risierung von Germanium-basierten dünnschichtsystemen

Ch. Mai Masterarbeit, Btu Cottbus, (2012)

(12) entwurf und realisierung einer anpass- baren grafischen oberfläche zur Visualisierung variabler Sensordaten bei annahme über die serielle Schnittstelle

S. oehlert Masterarbeit, Btu Cottbus, (2012)

(13) Characterization and Modeling of the degradation of SiGe heterojunction Bipolar transistors (hBt)

A. pocej Masterarbeit, Hochschule Furtwangen university, (2012)

(14) Feingranulare erkennung spezifischer Bewegungsabläufe im dreidimensionalen raum zur It-gestützten Betreuung therapeutischer Maßnahmen in der telemedizin

J. Schäffner Bachelorarbeit, bbw-Hochschule Frankfurt (oder), (2012)

(15) development and Implementation of Memory Management Features on wireless Sensor nodes

K. tolosz Masterarbeit, West pomeranian university of technology, Szczecin, poland, (2102)

(16) prozessentwicklung eines rückseiten- polierteilschritts in der halbleiterfertigung

A. trusch Bachelorarbeit, bbw-Hochschule Frankfurt (oder), (2012)

(17) transfer und Charakterisierung von CVd-Graphen

S. trutz Bachelorarbeit, tH Wildau, (2012)

d I p l o M - / M a S t e r - / B a C h e l o r a r B e I t e n – d I p l o M a - S / M a S t e r - / B a C h e l o r t h e S e S

Page 143: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

2 A n n u A l R e p o R t 2 0 2

PatentePatents

(1) a CMoS-compatible Germanium tunable laser

G. Capellini, Ch. Wenger, t. Schroeder, G. Kozlowski IHp.368.11 ep-erst-patentanmeldung am 10.02.2012, AZ: ep12154997.6

(2) Individualisierte Spannungsversorgung von Bauelementen integrierter Schaltungen als Schutzmaßnahme gegen Seitenkanalangriffe

Z. Dyka, p. langendörfer IHp.376.12 De-patentanmeldung am 26.10.2012, AZ: 10 2012 219 661.7

(3) MeMS-Mikroviskosimeter K.-e. ehwald, M. Birkholz, W. Winkler, p. Kulse, M. Fröhlich, D. Wolansky, e. Matthus, J. Drews, K. Schulz, u. Haak, D. Genschow IHp.373.11-De-patentanmeldung, am 21.06.2012, AZ: 10 2012 210 470.4

(4) Signalkonditionierung eines temperatursensors

D. Genschow IHp.366.11, De-patentanmeldung am 15.05.2012, AZ: 10 2012 208 159.3

(5) patentanmeldung mit Siltronic aG G. Kissinger, D. Kot, t. Müller, A. Sattler De-patentanmeldung, am 08.08.2012, AZ:102012214085.9

(6) In SoI mit Versetzungsnetzwerk integrierte Si-led

M. Kittler, t. Arguirov, M. Reiche IHp.375.11, De-patentanmeldung am 28.03.2012, AZ: 10 2012 204 987.8

(7) hetero-Substrat zur herstellung von integrierten Schaltkreisen mit optischen, optoelektronischen und elektronischen Komponenten

D. Knoll, B. Heinemann, l. Zimmermann, H.H. Richter IHp.374.11, pCt-Anmeldung am 30.11.2012, AZ: pCt/ep2012/074141

(8) p-type Graphene Base transistor W. Mehr, J. Dabrowski, G. lippert, M. lemme, G. lupina, J.C. Scheytt IHp.379.11, ep-erstanmeldung am 17.01.2012, AZ: 12 151 452.5

(9) austausch von Information zwischen Bluetooth-Knoten zum aufbau eines Scatternets

M. Methfessel IHp.372.11, ep-erst-patentanmeldung am 19.04.2012, AZ: 12 164 726.7

(10) Spekulative Basisbandverarbeitung eines empfangenen datenrahmens

M. petri IHp.378.12 De-patentanmeldung, am 03.08.2012, AZ: 10 2012 213 829.3

(11) Verfahren und Schaltungsanordnung zur latenzverringerung und / oder energie- einsparung in Basisbandprozessoren sowie anwendung derselben

M. petri IHp.380.12, De-patentanmeldung, am 03.08.2012, AZ: 10 2012 213 832.3

(12) Siliziumbasierter heterobipolartransistor o. Skibitzki, t. Schroeder IHp.360.pCt, pCt-patentanmeldung, am 17.02.2012, AZ: pCt/ep2012/052802

p a t e n t e – p a t e n t S

Page 144: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

(13) BIldMoS - und SoI-BIldMoS transistor R. Sorge IHp.356.pCt, pCt-patentanmeldung am 19.01.2012, AZ: pCt/ep2012/050761

(14) Vertikaler Bipolartransistor mit lateralem Kollektor-driftgebiet

R. Sorge IHp.367.pCt-Anmeldung, am 22.06.2012, AZ: pCt/ep2012/062155

(15) Schichtstruktur für halbleiterbauelement mit Schutzvorrichtung gegen physikalische und chemische angriffe

Ch. Wenger, p. langendörfer, F. Vater IHp.381.12, De-patentanmeldung, am 03.09.2012, AZ: 10 2012 215 606.2

(16) halbleiterbauelemente mit steilem phosphor-profil in einer Germaniumschicht

Y. Yamamoto, B. tillack IHp.365.11, De-patentanmeldung am 10.02.2012, AZ: 102012202067.5

(17) Verfahren und Infrastruktur zum test von nicht-zeitdeterministischen Schaltungen

St. Zeidler, M. Krstic, Ch. Wolf, R. Kraemer IHp.369.11, ep-erstanmeldung , am 09.02.2012, AZ: 12 154 651.9

p a t e n t e – p a t e n t S

Page 145: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

a n G e B o t e u n d l e I S t u n G e n – d e l I V e r a B l e S a n d S e r V I C e Sp u B l I K a t I o n e n – p u B l I C a t I o n S

Deliverables and Services

Page 146: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

a n G e B o t e u n d l e I S t u n G e n – d e l I V e r a B l e S a n d S e r V I C e S

Multi Project Wafer (MPW) and Prototyping Service

IHp offers research partners and customers access to its powerful SiGe BiCMoS technologies.

the technologies are especially suited for applica-tions in the higher GHz range (e.g. for wireless, broad-band, radar, fiberoptic communication and integrated photonics). they provide integrated HBts with cut-off frequencies of up to 500 GHz, integrated RF-MeMS, and integrated RF lDMoS devices with breakdown vol-tages up to 22 V, including complementary devices.

the following SiGe BiCMoS technologies are available:

SG25H1: A high-performance 0.25 µm BiCMoS with npn-HBts up to ft / fmax= 180 / 220 GHz.

SG25H3: A 0.25 µm BiCMoS with a set of npn-HBts ranging from a high RF performance (ft / fmax= 110 GHz / 180 GHz) to higher breakdown voltages up to 7 V.

SGB25V: A cost-effective 0.25 µm BiCMoS with a set of npn-HBts up to a breakdown voltage of 7 V.

SG13S: A high-performance 0.13 µm BiCMoS with npn-HBts up to ft / fmax= 250 / 300 GHz, with 3.3 V I / o CMoS and 1.2 V logic CMoS.

SG13G2: A 0.13 µm BiCMoS technology with the same device portfolio as SG13S but much higher bipolar performance with ft / fmax = 300 / 500 GHz.

the backend offers 3 (SG13: 5) thin and 2 thick metal layers (tM1: 2 µm, tM2: 3 µm).

the schedule for MpW & prototyping runs is published at www.ihp-microelectronics.com.

Multi-Projekt-Wafer(MPW)-undPrototyping-Service

Das IHP bietet seinen Forschungspartnern und Kunden Zugriff auf seine leistungsfähigen SiGe-BiCMOS-Techno-logien.Die Technologien sind insbesondere für Anwendungen im oberen GHz-Bereich geeignet, so z.B. für die drahtlose und Breitbandkommunikation, Radar, glasfasergestützte Kommunikation und integrierte Photonik. Sie bieten in-tegrierte HBTs mit Grenzfrequenzen bis zu 500 GHz, inte-grierte RF-MEMS und integrierte HF-LDMOS-Bauelemente mit Durchbruchspannungen bis zu 22 V einschließlich komplementärer Bauelemente.

Verfügbar sind folgende SiGe BiCMOS Technologien:

SG25H1: Eine 0,25-μm-BiCMOS- Technologie mit npn-HBTs bis zu fT / fmax= 180 / 220 GHz.

SG25H3: Eine 0,25-μm-BiCMOS-Technologie mit mehreren npn-HBTs, deren Parameter von einer hohen HF-Performance (fT / fmax= 110 / 180 GHz) zu größeren Durchbruchspannungen bis zu 7 V reichen.

SGB25V: Eine kostengünstige 0,25-μm-BiCMOS- Technologie mit mehreren npn-Transistoren mit Durchbruchspannungen bis zu 7 V.

SG13S: Eine 0,13-μm-BiCMOS-Hochleistungs- Technologie mit npn-HBTs bis zu fT / fmax= 250 / 300 GHz mit 3,3 V I / O-CMOS und 1,2 V Logik-CMOS.

SG13G2: Eine 0,13-μm-BiCMOS-Hochleistungs- Technologie mit den gleichen Bauelementen wie SG13S, aber einer wesentlich höheren Bipolar-Performance mit fT / fmax = 300 / 500 GHz. Das Backend enthält 3 (SG13: 5) dünne und 2 dicke Metallebenen (TM1: 2 μm, TM2: 3 μm).

Es finden technologische Durchläufe nach einem fes-ten, unter www.ihp-microelectronics.com verfügbaren Zeitplan statt.

Page 147: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

6 A n n u A l R e p o R t 2 0 2

a n G e B o t e u n d l e I S t u n G e n – d e l I V e r a B l e S a n d S e r V I C e S

A cadence-based mixed signal design kit is available. For high frequency designs an analogue Design Kit in ADS can be used. IHp’s reusable blocks and Ips are offered to support designs.

the following technology Modules are available:

GD: Additional integrated complementary RF lDMoS devices with nlDMoS up to 22 V, plDMoS up to -16 V breakdown voltage and an isolated nlDMoS device. (available in SGB25V)

H3p: Additional pnp-HBts with ft / fmax = 90 / 120 GHz for complementary bipolar applications. (available in SG25H3) RF-MeMS Switch: Additional capacitive MeMS switch devices for frequencies between 30 GHz and 100 GHz. (available in SG25H1 and SG25H3 technology)

lBe: the localized Backside etching (lBe) module is offered to remove silicon locally to improve passive performance. (available in all technologies)

Ein Cadence-basiertes Design-Kit für Mischsignale ist verfügbar. Wiederverwendbare Schaltungsblöcke und IPs des IHP für die drahtlose und Breitbandkommuni-kation werden zur Unterstützung von Designs angebo-ten.

Zusätzliche Module sind für bestimmte SiGe-BiCMOS-Technologien verfügbar:

GD: Zusätzliche integrierte, komplementäre HF-LDMOS mit nLDMOS bis 22 V , pLDMOS bis -16 V Durchbruchspannung und einem isolierten nLDMOS. (verfügbar in SGB25V)

H3P: Zusätzliche pnp-HBTs mit fT / fmax= 90/120 GHz für komplementäre Bipolar-Anwendungen. (verfügbar in SG25H3)

RF-MEMS-Schalter: Zusätzliche kapazitive MEMS-Schalter für Frequenzen zwischen 30 GHz und 100 GHz. (verfügbar in SG25H1 und SG25H3)

LBE: Das Modul für lokales Rückseitenätzen (LBE) wird angeboten zur Verbesserung der passiven Performance durch lokales Entfernen von Silizium. (verfügbar in allen Technologien).

Page 148: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

7A n n u A l R e p o R t 2 0 2

Bipolar Section

SG25h1 npn1 npn2

Ae 0.21 x 0.84 µm2 0.18 x 0.84 µm2

peak fmax 190 GHz 220 GHz peak ft 190 GHz 180 GHz BVCe0 1.9 V 1.9 V BVCBo 4.5 V 5.0 V VA 40 V 40 V β 270 260

SG25h3 high Medium high pnp performance Voltage Voltage h3p Module

Ae 0.22 x 0.84 µm2 0.22 x 2.24 µm2 0.22 x 2.24 µm2 0.21 x 0.84 µm2

peak fmax 180 GHz 140 GHz 80 GHz 120 GHz peak ft 110 GHz 45 GHz 25 GHz 90 GHz BVCe0 2.3 V 5 V > 7 V - 2.5 V BVCBo 6.0 V 15.5 V 21.0 V - 4.0 V VA 30 V 30 V 30 V 30 V β 150 150 150 100

SGB25V high Standard high performance Voltage

Ae 0.42 x 0.84 µm2 0.42 x 0.84 µm2 0.42 x 0.84 µm2

peak fmax 95 GHz 90 GHz 70 GHz peak ft 75 GHz 45 GHz 25 GHz BVCeo 2.4 V 4.0 V 7.0 V BVCBo > 7 V > 15 V > 20 V VA > 50 V > 80 V > 100 V β 190 190 190

SG13S npn13p npn13V

Ae 0.12 x 0.48 µm2 0.18 x 1.02 µm2

peak fmax 300 GHz 120 GHz peak ft 250 GHz 45 GHz BVCe0 1.7 V 3.7 V BVCBo 5.0 V 16 V β 900 600

a n G e B o t e u n d l e I S t u n G e n – d e l I V e r a B l e S a n d S e r V I C e S

Die wesentlichen Parameter der Technologien sind: technical key-parameters of the technologies are:

Page 149: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

8 A n n u A l R e p o R t 2 0 2

a n G e B o t e u n d l e I S t u n G e n – d e l I V e r a B l e S a n d S e r V I C e S

CMoS Section

SG25h1 / h3* SG13S***

Core Supply Voltage 2.5 V 3.3 V 1.2 V nMoS VtH 0.6 V 0.65 V 0.49 V Iout** 540 µA / µm 520 µA / µm 500 µA / µm IoFF 3 pA / µm 10 pA / µm 500 pA / µm pMoS VtH - 0.56 V - 0.61 V - 0.42 V Iout - 230 µA / µm - 220 µA / µm - 210 µA / µm IoFF - 3 pA / µm - 10 pA / µm - 500 pA / µm

*: parameters for SGB25V are similar **: @VG = 2.5 V***: parameters for SG13G2 have to be defined

passive Section

SG25h1 / h3 SGB25V SG13S

MIM Capacitor 1 fF / µm2 1 fF / µm2 1.5 fF / µm2

n+poly Resistor 210 Ω / 210 Ω / - p+poly Resistor 280 Ω / 310 Ω / 250 Ω / High poly Resistor 1600 Ω / 2000 Ω / 1300 Ω / Varactor Cmax / Cmin 3 tbd. tbd. Inductor Q@5 GHz 18 (1 nH) 18 (1 nH) 18 (1 nH) Inductor Q@10 GHz 20 (1 nH) 20 (1 nH) 20 (1 nH) Inductor Q@5 GHz 37 (1 nH)* 37 (1 nH)* 37 (1 nH)*

*: with lBe

Page 150: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

9A n n u A l R e p o R t 2 0 2

a n G e B o t e u n d l e I S t u n G e n – d e l I V e r a B l e S a n d S e r V I C e S

Gd Module

nldMoS pldMoS nld2Gd22C inld2Gd13a **** pld2G19B

BVDSS* 22 V 14 V - 16 V VtH 0.55 V 0.6 V - 0.5 V Iout** 460 µA / µm 440 µA / µm - 170 µA / µm Ron 4 Ωmm 4 Ωmm 14 Ωmm peak fmax*** 52 GHz 50 GHz 30 GHz peak ft*** 20 GHz 28 GHz 9 GHz

*:@ 50 pA/µm ** :@ VG= 2.5 V ***:@ VDS = 4 V ****: substrate isolated

rF-MeMS Switch Module

Actuation Voltage 25 V Con / Coff > 10 Switch time < 10 µs temperature -30…+125 °C Isolation* < 20 dB Insertion loss* < 1 dB Continuous power Handling 13 dB

*@ 60 GHz

Page 151: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

0 A n n u A l R e p o R t 2 0 2

a n G e B o t e u n d l e I S t u n G e n – d e l I V e r a B l e S a n d S e r V I C e S

Design Kits

the design kits support a Cadence mixed signal plat-form:

- Design Framework II (Cadence 6.1)- Behavioral Modeling (Verilog HDl)- logic Synthesis & optimization (VHDl / HDl Compiler, Design Compiler / Synopsys, power Compiler / Synopsys)- test Generation / Synthesizer / test Compiler (Synopsys)- Simulation (RF: SpectreRF, Analog: SpectreS, Cadence Incisive / Behavioral, Digital: Mentor Graphics ModelSim)- place & Route (Cadence eDI)- layout (Cadence Virtuoso editor)- Verification (Assura: DRC / lVS / extract / parasitic extraction)- ADS-support via Golden Gate/RFIC dynamic link to Cadence is available- Standalone ADS Kit including Momentum substrate layer file - Sonnet support for all design kits- Support of Analog office and texeDA via partners is available - eCl library for SGB25V- Radiation hard CMoS library for SGB25V / SG13S.

Analog and Digital IPAnalog IP 6 Ghz rF Components6 GHz lnA with 12 dB signal enhancementRF-VGA with 30 dB signal enhancementVariable phase shifter (0 to 360o)

60 Ghz CircuitsComplete 60 GHz transceiver and components in SiGe BiCMoS60 GHz tX and RX IC with phase shifter60 GHz beamforming components and integrated frontend60 GHz channel sounder module60 GHz planar pCB-integrated antenna

122 Ghz radar & Sensors122 GHz CW / FMCW Radar ICD-band on-chip antenna (with localized Backside etching)Integrated 122 GHz receiver with calibration and digital control via SpI

245 GhzIntegrated 245 GHz transmitter and receiver

adCs, daCs and logic18 GS / s 4 bit DAC with 6 GHz bandwidth10 GS / s 6 bit DAC with offline calibrationlow speed and low power calibration DACs, 1 MS / s, 8 – 14 bit resolution, 0.2 – 1.5 mW DC power consumptionFrequency divider operating up to 40 GHz9 GS / s (2.1 - 2.2 GHz) bandpass delta-sigma modulatorBandpass delta-sigma modulators for class-S amplifiers (450 MHz, 900 MHz, 2 GHz) Frequency Synthesizers0.6 – 4.4 GHz, 10 – 14 GHz and 20 – 24 GHz fully in-tegrated frequency synthesizer with low phase noise (Integer-n and DS-Fractional-n)VCos and integer-n plls for various frequencies from 5 – 120 GHzlow-noise SiGe lC-VCos in the range between 10 and 120 GHz

Multi-Gigabit Fiberoptical ICs20 Gbps transimpedance amplifier with DC cancelation6 V differential 40 Gbps MZM driverVCSel driver up to 40 Gbps80 Gbps decision feedback equalizer for fiberoptic communications

Impulse radio uwB transceiver and ComponentsuWB single-chip transceiver compliant to standard Ieee 802.15.4aImpulse uWB RF transceiver with localization capabilityuWB RF components between 6.0 and 8.5 GHz

Page 152: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

a n G e B o t e u n d l e I S t u n G e n – d e l I V e r a B l e S a n d S e r V I C e S

Space application Circuits8 – 12 GHz delta sigma fractional-n Synthesizerprogrammable integer-n / fractional-n frequency divider

Digital IPCrypto CoresIp cores for flexible AeS, eCC, MD5 and SHA-1 crypto processors

InterfacesCardbus, GpIo, I2C slave, SpI master, SpI slave, VGA monitor, uARt, 16-bit timer

Communication Cores60 GHz MAC processor, 60 GHz oFDM baseband proces-sor, lDpC Co/Decoder, RS Co/Decoder, IR-uWB (Ieee 802.15.4a) baseband and front-end, Viterbi decoder, FFt, WlAn (Ieee 802.11a) baseband processor and MAC processor

Sensor nodes16-bit Crypto-microcontroller, also with 64K internal Flash (tnode)32-bit Crypto-microcontroller (trusted Sensor node)uWB node

ConsultancyMAC protocol design & Gigabit WlAn systemsWireless sensor networks and applicationsFault tolerant design for space and automotive

For more information please contact:

Dr. Wolfgang Kissinger (General contact) Dr. René Scholz (MPW & Prototyping contact)IHP IHPIm Technologiepark 25 Im Technologiepark 2515236 Frankfurt (Oder), Germany 15236 Frankfurt (Oder), Germany Email: [email protected] Email : [email protected]: +49 335 56 25 410 Tel : +49 335 56 25 647Fax: +49 335 56 25 222 Fax +49 335 56 25 327

Transfer of Technologies and Technology Modules

IHp offers its 0.25 µm BiCMoS technologies and tech-nology modules (HBt-Modules, lDMoS-Modules) for transfer. the technological parameters comply to a large extent with the parameters described above for MpW & prototyping.

Process Module Support

IHp offers support for advanced process modules for research and development purposes and small volume prototyping.

process modules available include:- Standard processes (implantation, etching, CMp & deposition of layer stacks such as thermal Sio2 , pSG, Si3n4 , Al , tin, W)- epitaxy (Si, Si:C, SiGe, SiGe:C, Ge)- optical lithography (i-line and 248 nm down to 100 nm structure size)- Short-flow processing- electrical characterization and testing.

Failure Mode Analysis and Diagnostics

IHp offers support for yield enhancement through fai-lure mode analysis with state-of-the-art equipment, including AeS, AFM, FIB, SeM, SIMS, toFSIMS and teM.

Page 153: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

2 A n n u A l R e p o R t 2 0 2

w e G B e S C h r e I B u n G Z u M I h p – d I r e C t I o n S t o I h p

WegbeschreibungzumIHP

per Flugzeug- Vom Flughafen Berlin-Tegel mit der Buslinie X9 bis Bahnhof Berlin-Zoologischer Garten (19 Minuten); dann mit dem RegionalExpress RE 1 bis Frankfurt (Oder) Hauptbahnhof (ca. 1 Stunde 20 Minuten).- Vom Flughafen Berlin-Schönefeld mit dem Airport- Express oder der S-Bahnlinie S 9 bis Bahnhof Ber- lin-Ostbahnhof (19 bzw. 32 Minuten); dann mit dem RegionalExpress RE 1 bis Frankfurt (Oder) Haupt- bahnhof (ca. 1 Stunde).per Bahn- Von den Berliner Bahnhöfen Zoologischer Garten, Hauptbahnhof, Friedrichstraße, Alexanderplatz oder Ostbahnhof mit dem RegionalExpress RE 1 bis Frankfurt ( Oder) Hauptbahnhof.per Auto- Über den Berliner Ring auf die Autobahn A 12 in Rich- tung Frankfurt (Oder) / Warschau; Abfahrt Frankfurt (Oder)-West, an der Ampel links in Richtung Beeskow und dem Wegweiser „Technologiepark Ostbranden- burg“ folgen.per Straßenbahn in Frankfurt (Oder)- Ab Frankfurt (Oder) Hauptbahnhof mit der Linie 3 oder 4 in Richtung Markendorf Ort bis Haltestelle Technologiepark (14 Minuten).

Directions to IHP

by plane- From Berlin-tegel airport take the bus X9 to the railway station Berlin-Zoologischer Garten (19 mi- nutes); then take the Regionalexpress Re 1 to Frank- furt (oder) Hauptbahnhof (appr. 1 hour 20 minutes).- From Berlin-Schönefeld airport take the Airport- express or the S-Bahn line S 9 to the railway station Berlin ostbahnhof (19 resp. 32 minutes); then take the Regionalexpress Re 1 to Frankfurt (oder) Hauptbahnhof (appr. 1 hour).by train- take the train Regionalexpress Re 1 from the Berlin railway stations Zoologischer Garten, Hauptbahnhof, Friedrichstraße, Alexanderplatz or ostbahnhof to Frankfurt (oder) Hauptbahnhof.by car- take the highway A 12 from Berlin in the direc- tion Frankfurt (oder) / Warschau (Warsaw); take exit Frankfurt (oder)-West, at the traffic lights turn left in the direction Beeskow and follow the signs to “technologiepark ostbrandenburg”.by tram in Frankfurt (oder)- take the tram 3 or 4 from railway station Frankfurt (oder) Hauptbahnhof in the direction Markendorf ort to technologiepark (14 minutes).

Page 154: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

B I l d e r K l ä r u n G e n – p h o t o d e S C r I p t I o n S

Bilderklärungen / photodescriptions

S. 1: Annual Report 2012Blick auf das Institutsgebäude mit Pilotlinie (links).View on the institute building with pilot line (left).

S. 4: ContentsKonstituierende Sitzung des Wissenschaftlichen Beirates am 11. Juni 2012 im IHP.Constituent meeting of the Scientific Advisory Board at IHp on the 11th of June 2012.

S. 8: IHP´s ResearchLayout eines Impulse-Radio Ultra-Breitband Transceivers for IEEE 802.15.4a Standard. layout of an Impulse-Radio ultra-Wideband transceiver for Ieee 802.15.4a Standard.

S. 18: Update 2012Ehrensymposium für den langjährigen Vorsitzendendes Wissenschaftlichen Beirates Prof. Dr. HermannGrimmeiss (links). Symposium in honour of the long-standing Chair of the Scientific Advisory Board prof. HermannGrimmeiss (left).

S. 30: Selected ProjectsPlatine zum Test des 12-Bit DAC-Chip-Prototypen.testboard for a 12 bit DAC prototype chip.

S. 70: Joint LabsInformationstafel zu den Gemeinsamen Laboren des IHP.Information board to the Joint labs of IHp.

S. 83: Collaboration and PartnersWorkshop mit Partnern im IHP – hier: Projekt-abstimmung zum EU-Projekt FLEXWIN (Flexible Microsystem Technology for Micro- and Millimetre-Wave Antenna Arrays with Intelligent Pixels) european project meeting “FleXWIn” at IHp.

S. 86: Guest Scientists and Seminars Gastwissenschaftler und Humboldt-Preisträger Prof. Dr. Ya-Hong Xie (Mitte) mit Prof. Dr. WolfgangMehr (links) und Prof. Dr. Thomas Schröder (rechts) im IHP MBE-Labor.Guest scientist and awardee of the Humboldt ResearchAward prof. Ya-Hong Xie (middle) with prof. WolfgangMehr (left) and prof. thomas Schröder (right) in the MBe-laboratory of IHp.

S. 144 Deliverables and ServicesKontrolle eines in der Pilotlinie des IHP präpariertenWafers.Checking of a wafer prepared in the pilot line of IHp.

Page 155: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

A n n u A l R e p o R t 2 0 2

Herausgeber / publisherIHp GmbH – Innovations for High performance Microelectronics/leibniz-Institut für innovative Mikroelektronik

Postadresse / postboxpostfach 1466 / postbox 146615204 Frankfurt (oder)Deutschland / Germany

Besucheradresse / address for VisitorsIm technologiepark 2515236 Frankfurt (oder)Deutschland / Germany

Telefon / Fon +49 335 56 25 0Telefax / Fax +49 335 56 25 300

e-Mail [email protected] www.ihp-microelectronics.com

I M p r e S S u M – I M p r I n t

Redaktion / editorsDr. Wolfgang Kissinger / Heidrun Förster

Gesamtherstellung / production in design and layoutGIRAFFe Werbeagenturleipziger Straße 18715232 Frankfurt (oder)

Telefon / Fon +49 335 50 46 46Telefax / Fax +49 335 50 46 45

e-Mail [email protected] www.giraffe.de

Bildnachweise / photocreditsAgentur GIRAFFe, Fotolia.de, Winfried Mausolf, IHp, Rainer Weisflog

Page 156: IHP Annual Report · 2013-07-03 · 2 AnnuAl RepoRt 2 0 2 prof. Dr. Wolfgang Mehr Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über

IHP GmbH – Innovations for High Performance Microelectronics / Leibniz-Institut für innovative MikroelektronikIm Technologiepark 2515236 Frankfurt (Oder)Germany

Telefon +49 335 5625 0Fax +49 335 5625 [email protected] Annual Report

2012Annual Report

IHP

Annu

al R

epor

t 20

12