ﯽﻘﻄﻨﻣ یﺎﻫراﺪﻣ هﺎﮕﺸﯾﺎﻣزآ · .( 1-2-1 ﻞﮑﺷ ) ﻢﯿﻨﮐ...

16
I ﻫﻤﺪان ﺳﯿﻨﺎ ﺑﻮﻋﻠﯽ داﻧﺸﮕﺎه ﻣﻬﻨﺪﺳﯽ داﻧﺸﮑﺪه ﮐﺎﻣﭙﯿﻮﺗﺮ ﮔﺮوه آزﻣﺎﯾﺸﮕﺎه ﻣﻨﻄﻘﯽ ﻣﺪارﻫﺎی ﺧﺪﺍ ﻧﺎﻡ ﺑﻪ

Upload: others

Post on 24-Jan-2020

5 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: ﯽﻘﻄﻨﻣ یﺎﻫراﺪﻣ هﺎﮕﺸﯾﺎﻣزآ · .( 1-2-1 ﻞﮑﺷ ) ﻢﯿﻨﮐ ﯽﻣ بﺎﺨﺘﻧا ار Install Quartus II and Related Software ﻪﻨﯾﺰﮔ

I

دانشگاه بوعلی سینا همدان دانشکده مهندسی گروه کامپیوتر

مدارهای منطقیآزمایشگاه

به نام خدا

Page 2: ﯽﻘﻄﻨﻣ یﺎﻫراﺪﻣ هﺎﮕﺸﯾﺎﻣزآ · .( 1-2-1 ﻞﮑﺷ ) ﻢﯿﻨﮐ ﯽﻣ بﺎﺨﺘﻧا ار Install Quartus II and Related Software ﻪﻨﯾﺰﮔ

پیشگفتار

دانشگاه بوعلی سینا گروه کامپیوتر

دارهای منطقی آزمایشگاه م

١

پیشگفتار

های صنعتی و تحقیقاتی، باعث تحول فوق العاده ای در های قابل برنامه ریزی با توجه به کارآیی و توانایی باال در سیستمه تراش

میان عمیقی شکافهمواره اما به دلیل فقدان آموزش و ابزار مورد نیاز در دانشگاههای کشورمان،. الکترونیک شده اند صنعت میکرو

برنامه ریزی های قابلبا مطالعات فراوان بر روی تراشه در این راستا . صنعتی ملموس و محسوس بوده است محیط دانشگاهی و محیط

FPGA محصول شرکت ، ALTERA استشده به عنوان مهمترین بستر آموزشی در نظر گرفته.

ای ههای الکترونیکی، آسان نمودن طراحی رساخت الزم جهت اتوماسیون طراحی یبا هدف ایجاد ز مدارهای منطقیآزمایشگاه

طراح نیز باید نسبت به مفاهیم پایه آگاهی و دانش در این میان. گاهی ارائه شده استهای آزمایشپیشرفته دیجیتال و ساخت نمونه

هیم با دیدی آموزشی به بهترین نحو ممکن انتقال داده همین منظور در این آزمایشگاه سعی شده است تا این مفا به. کامل داشته باشد

:این مفاهیم عبارتند از . شود

FPGAهای قابل برنامه ریزی آشنایی با ساختار تراشه

FPGAروند طراحی با یک تراشه قابل برنامه ریزی

VHDL یا Verilogآشنایی و برنامه نویسی با زبان توصیف سخت افزار

ALTERA شرکت Quartus IIنرم افزار آشنایی و طراحی با

FPGAسازی و تست انواع مدارهای دیجیتال بر روی طراحی، پیاده

LCD قسمتی، نمایشگرهای هفتهای ها ، نمایشگر LED مجموعه های مختلف از جملهطراحی و پیاده سازی مدار ارتباط با نمایشگر

FPGA با کامپیوتر از طریق ریهای سری و ارتباط سی انواع پروتکل سازطراحی و پیاده

FPGA از طریق SRAMطراحی و پیاده سازی مدار ارتباط با حافظه

FPGA ها از طریق DAC و ADCسازی مدار ارتباط با طراحی و پیاده

Page 3: ﯽﻘﻄﻨﻣ یﺎﻫراﺪﻣ هﺎﮕﺸﯾﺎﻣزآ · .( 1-2-1 ﻞﮑﺷ ) ﻢﯿﻨﮐ ﯽﻣ بﺎﺨﺘﻧا ار Install Quartus II and Related Software ﻪﻨﯾﺰﮔ

بخش اول آشنایی با نرم افزار

دانشگاه بوعلی سینا گروه کامپیوتر آزمایشگاه مدارهای منطقی

٢

.

Page 4: ﯽﻘﻄﻨﻣ یﺎﻫراﺪﻣ هﺎﮕﺸﯾﺎﻣزآ · .( 1-2-1 ﻞﮑﺷ ) ﻢﯿﻨﮐ ﯽﻣ بﺎﺨﺘﻧا ار Install Quartus II and Related Software ﻪﻨﯾﺰﮔ

–بخش اول ALTERA م افزار شرکت آشنایی با نر

دانشگاه بوعلی سینا گروه کامپیوتر آزمایشگاه مدارهای منطقی

۵

ALTERA شرکت با نرم افزارآشنایی

ALTERAشرکت . شوید آشنا میALTERA حاصل شد ، این جلسه با نرم افزار شرکت FPGA زمینهبا آشنائی مختصری که در

: دارای دو نرم افزار معروف است

1. MAX + plus II

2. Quartus

. فراگیرندرا Quartusافزار بایست نحوه کار با نرم در این آزمایشگاه دانشجویان می

QUARTUSروش نصب نرم افزار

سپس . دوبار کلیک می کنیمinstall بر روی گزینه CD را در درایو قرار داده و از محتویات CD1 ابتدا Quartus نرم افزار نصببرای

. )1-2-1شکل ( را انتخاب می کنیمInstall Quartus II and Related Softwareاز پنجره باز شده گزینه

1-2-1شکل

را انتخاب I accept the terme of the license agreementشکل زیر گزینه در . را انتخاب می کنیمNextدر دو پنجره بعدی گزینه

. کلیک می کنیم Nextو سپس بر روی گزینه

Page 5: ﯽﻘﻄﻨﻣ یﺎﻫراﺪﻣ هﺎﮕﺸﯾﺎﻣزآ · .( 1-2-1 ﻞﮑﺷ ) ﻢﯿﻨﮐ ﯽﻣ بﺎﺨﺘﻧا ار Install Quartus II and Related Software ﻪﻨﯾﺰﮔ

–بخش اول ALTERA م افزار شرکت آشنایی با نر

دانشگاه بوعلی سینا گروه کامپیوتر آزمایشگاه مدارهای منطقی

۶

1-2-2شکل

پس از پایان . در پنجره های بعدی نام کاربر ، نامی که می خواهیم نرم افزار با آن نصب شود و مسیر نصب آن را مشخص می کنیم

. را می زنیمenter را تایپ می کنیم و کلید lmutil lmhostid باز می کنیم و عبارت command windowنصب برنامه یک

1-2-3شکل

را باز می کنیم و به جای همه عبارت های license.datآن عدد را کپی کرده و فایل . با این کار یک عدد چند رقمی داده می شود

CHANGEMسپس فایل های . می کنیم در متن، عدد داده شده را جایگزین sys_cpt.dll و license.dat را در مسیر نصب نرم افزار در

folder winهمچنین هنگامی که برای اولین بار برنامه . کپی می کنیم Quartus را اجرا کردیم از مسیر Tools / License Set up محل

. را مشخص می کنیمLicenseفایل

Page 6: ﯽﻘﻄﻨﻣ یﺎﻫراﺪﻣ هﺎﮕﺸﯾﺎﻣزآ · .( 1-2-1 ﻞﮑﺷ ) ﻢﯿﻨﮐ ﯽﻣ بﺎﺨﺘﻧا ار Install Quartus II and Related Software ﻪﻨﯾﺰﮔ

–بخش اول ALTERA م افزار شرکت آشنایی با نر

دانشگاه بوعلی سینا گروه کامپیوتر آزمایشگاه مدارهای منطقی

٧

1-2-4شکل

Quartusافزار روش کار با نرم

در . نمائید را اجرا Quartus منظور نرم افزار بدین. کردافزار مورد نظر را باز مبایست محیط نر برای شروع یک طراحی دیجیتال می

گزینهب و انتخاFile در این نرم افزار نیز با استفاده از منوی .دکر باز ای را کلیه نرم افزارهای جدید برای شروع یک طراحی باید پروژه

New Project Wizard نام پروژه و مسیر 1-2-6در پنجره شکل ) . 1-2-6شکل ( جدید باز می شود پروژهپنجره ای برای ایجاد

.ذخیره شدن آن مشخص می شود

1-2-5شکل

Page 7: ﯽﻘﻄﻨﻣ یﺎﻫراﺪﻣ هﺎﮕﺸﯾﺎﻣزآ · .( 1-2-1 ﻞﮑﺷ ) ﻢﯿﻨﮐ ﯽﻣ بﺎﺨﺘﻧا ار Install Quartus II and Related Software ﻪﻨﯾﺰﮔ

–بخش اول ALTERA م افزار شرکت آشنایی با نر

دانشگاه بوعلی سینا گروه کامپیوتر آزمایشگاه مدارهای منطقی

٨

1-2-6شکل

.شود و شماره آن مشخص FPGAیا CPLDخانواده می بایست 1-2-7در پنجره شکل

1-2-7شکل

دو نوع فایل . برای شروع باید فایل طراحی در نرم افزار وارد گردد. در نظر گرفته شده استجدید طراحی یکای برای اکنون پروژه

:طراحی وجود دارد

(GRAPHIC) گرافیکی -1

) TEXT( متنی -2

پسوند فایلهای متنی با زبانهای مذکور . گردد تفاده می اس VHDL وVerilog نظیرHDLدر فایلهای متنی برای طراحی از زبانهای

می ahd.* نیز دارد که پسوند فایلهای آنAHDL زبانی مخصوص به خود تحت عنوان ALTERAشرکت . استvhd.* وv.*به ترتیب

Page 8: ﯽﻘﻄﻨﻣ یﺎﻫراﺪﻣ هﺎﮕﺸﯾﺎﻣزآ · .( 1-2-1 ﻞﮑﺷ ) ﻢﯿﻨﮐ ﯽﻣ بﺎﺨﺘﻧا ار Install Quartus II and Related Software ﻪﻨﯾﺰﮔ

–بخش اول ALTERA م افزار شرکت آشنایی با نر

دانشگاه بوعلی سینا گروه کامپیوتر آزمایشگاه مدارهای منطقی

٩

برای طراحی پنجره در این. باز می شود 1-2-8 شکل پنجره. کنید انتخابرا New گزینه File از منوی برای شروع طراحی .باشد

. انتخاب گرددVerilog HDL File گزینه Verilogبرای طراحی با کد و Block Diagram/Schematic Fileگزینه بایدگرافیکی

1-2-8شکل

ی پنجره طراحVerilog HDL File و با انتخاب گزینه طراحی گرافیکیپنجره Block Diagram/Schematic Fileبا انتخاب گزینه

برای طراحی bdf.* ( نوع فایل ذخیره فایل و تعیینبرای File >Save Asاز گزینه . باز می شود) 1-2-9 شکل (Verilogبا کد

.)را نیز کلیک نمائید Add File to Current Project. (استفاده کنید) Verilog برای طراحی با کد v.*گرافیکی و

1-2-9 شکل

پنجره ای ، صفحهفضای خالیبا دو بار کلیک بر روی برای استفاده از کتابخانه و اضافه کردن المانهای منطقی،یکی در طراحی گراف

المان می توان ،آیکون همچنین بوسیله . باز می شود که قطعات مورد نظر را می توان از مسیر مشخص شده آوردSymbolبا نام

.نمود مورد نظر را انتخاب

Page 9: ﯽﻘﻄﻨﻣ یﺎﻫراﺪﻣ هﺎﮕﺸﯾﺎﻣزآ · .( 1-2-1 ﻞﮑﺷ ) ﻢﯿﻨﮐ ﯽﻣ بﺎﺨﺘﻧا ار Install Quartus II and Related Software ﻪﻨﯾﺰﮔ

–بخش اول ALTERA م افزار شرکت آشنایی با نر

دانشگاه بوعلی سینا گروه کامپیوتر آزمایشگاه مدارهای منطقی

١٠

1-2-10شکل

با . نمائیدddrraaggکلیک نموده و تا نقطه دیگری روی صفحه -برای کپی یک المان که در محیط گرافیکی قرار دارد، روی آن راست

.دیگر المانها را نیز با همین روش روی صفحه گرافیکی قرار دهید . درجه بچرخانید90توانید یک المان را میاستفاده از آیکون

1-2-11شکل

گزینه گزینه TToooollssاز منوی از منوی توان ازمی... پلکسر، حافظه و دهنده، مالتی، شیفتALUهای اساسی مانند رای اضافه کردن بلوکب

MMeeggaaWWiizzaarrdd PPlluuggiinn MMaannaaggeerrاستفاده نمود .

1-2-12شکل

Page 10: ﯽﻘﻄﻨﻣ یﺎﻫراﺪﻣ هﺎﮕﺸﯾﺎﻣزآ · .( 1-2-1 ﻞﮑﺷ ) ﻢﯿﻨﮐ ﯽﻣ بﺎﺨﺘﻧا ار Install Quartus II and Related Software ﻪﻨﯾﺰﮔ

–بخش اول ALTERA م افزار شرکت آشنایی با نر

دانشگاه بوعلی سینا گروه کامپیوتر آزمایشگاه مدارهای منطقی

١١

های مورد نیاز را که ها و دیگر بلوکیا حافظه... کننده و ضربکننده،توان بلوکهای محاسباتی مانند جمع میWWiizzaarrddبا استفاده از

خروجی/اضافه کردن ورودیبرای .باشد، تنظیم و طراحی نمود و آن را به طرح شماتیک خود اضافه کردبه صورت پارامتری موجود می

. را انتخاب کنیدPiinn گزینه Prriimmiittiivveeاز کتابخانه

1-2-13شکل

. باز شودPiinn Prrooppeerrttiieessتا پنجره . کلیک کنیدPiinn _Naammeeهای ورودی و خروجی، روی کلمه ی پایهبرای نامگذار

1-2-14شکل

روی . فعال شودOrrtthhooggoonnaall Nooddee کلیک کرده تا ابزار المانهای موجود در محیط گرافیکی روی آیکون سیم بندی برای

.ردن تا نقطه انتهایی، سیم بندی را انجام دهید کddrraaggنقطه شروع کلیک کرده و با

1-2-15شکل

Page 11: ﯽﻘﻄﻨﻣ یﺎﻫراﺪﻣ هﺎﮕﺸﯾﺎﻣزآ · .( 1-2-1 ﻞﮑﺷ ) ﻢﯿﻨﮐ ﯽﻣ بﺎﺨﺘﻧا ار Install Quartus II and Related Software ﻪﻨﯾﺰﮔ

–بخش اول ALTERA م افزار شرکت آشنایی با نر

دانشگاه بوعلی سینا گروه کامپیوتر آزمایشگاه مدارهای منطقی

١٢

مدار بدون هیچ خطایی به پایان برسد آنگاه Compileاگر کلیه مراحل . آن می رسد Compile وبت بهنپس از طراحی کامل مدار،

. انتخاب می شود 1-2-16 شده در شکلمشخص کردن برنامه گزینه Compileبرای .باشد مدار از لحاظ منطقی بی نقص می

1-2-16شکل

تحلیل نرم افزاری کمک بسیار زیادی به تعیین میزان صحت عملکرد .یکی از امکانات رایج نرم افزارها تحلیل نرم افزاری می باشد

در Newاز طریق گزینه ) vwf) Vector Waveform File. *افزاری باید فایل برای تحلیل نرم .نماید مدار قبل از پیاده سازی آن می

. گیرد صحیح صورت میCompileاین کار در یک پروژه بعد از . باز گرددFileمنوی

1-2-17شکل

vwf.* با نام پروژه اصلی و با پسـوند بایدابتدا این صفحه . دهد نمایش می تحلیل نرم افزاری را پنجره مربوط به 1-2-18شکل

. باز می شود1-2-19 پنجره شکل Insert Node or Bus در صفحه و انتخاب گزینهکلیک راستبا سپس. د شو ذخیره

1-2-18شکل

Page 12: ﯽﻘﻄﻨﻣ یﺎﻫراﺪﻣ هﺎﮕﺸﯾﺎﻣزآ · .( 1-2-1 ﻞﮑﺷ ) ﻢﯿﻨﮐ ﯽﻣ بﺎﺨﺘﻧا ار Install Quartus II and Related Software ﻪﻨﯾﺰﮔ

–بخش اول ALTERA م افزار شرکت آشنایی با نر

دانشگاه بوعلی سینا گروه کامپیوتر آزمایشگاه مدارهای منطقی

١٣

1-2-19شکل

با کلیک بـر روی گزینـه Node Finderدر پنجره . باز میشود مذکورپنجره Node Finderدر این پنجره با کلیک بر روی گزینه

List ، گـر ن اشاره دبرای مقدار دادن به ورودی ها با قرار دا . ه می شود ارائ مربوطهی مدار خروجی ها لیست ورودی ها وMouse بـر

: این کلید ها عبارتند از . خواهد شدفعال کلیدهای کنار صفحه ،روی هر ورودی و کلیک کردن بر روی آن

روی ورودیX برای انتقال برای انتقال صفر روی ورودی

برای انتقال یک روی ورودی روی ورودیZبرای انتقال

برای ایجاد پالسهای پریودیک BUSبرای ارسال مقادیر به صورت پریودیک بر روی

BUS برای تخصیص خاص به یک قسمت از

ورودیبرای انتقال مقادیر رندوم به

دن مقدار وروديمنوبراي معکوس

clockبرای ایجاد سیگنال پریودیک یجاد پالسهای شمارشی با مقدار ابتدا و انتها و افزایش مشخص در هر پالس برای ا

بی اهمیت به ورودیبرای انتقال مقادیر

یک مقدار مشخص به ورودی برای انتقال

برای عدم اختصاص مقداری در ورودی

Page 13: ﯽﻘﻄﻨﻣ یﺎﻫراﺪﻣ هﺎﮕﺸﯾﺎﻣزآ · .( 1-2-1 ﻞﮑﺷ ) ﻢﯿﻨﮐ ﯽﻣ بﺎﺨﺘﻧا ار Install Quartus II and Related Software ﻪﻨﯾﺰﮔ

–بخش اول ALTERA م افزار شرکت آشنایی با نر

دانشگاه بوعلی سینا گروه کامپیوتر آزمایشگاه مدارهای منطقی

١۴

و ) X(منطق نا مشخص با . دو منطق دیگر نیز وجود دارد ، و یک صفرالزم به ذکر است که در مدارهای منطقی عالوه بر منطق

اری ، گزینـه ورودی ها برای مشاهده نتایج تحلیل نرم افـز مقادیر به بعد از اختصاص .شود می نشان داده )Z(منطق امپدانس باال با

.انتخاب می شود نشان داده شده در شکل زیر

1-2-20شکل

ای که بـرای طراحـی باید قطعه ابتدا. است ICدار و تحلیل نرم افزاری آن مدار آماده برنامه ریزی بر روی اتمام طراحی م از بعد

مـورد نظـر، FPGAیـا CPLDبه عنوان قطعه ) ای که بر روی برد آزمایشگاه قرار دارد یا به عبارتی همان قطعه ( شده در نظر گرفته

). 1-2-21شـکل ( در بـاالی صـفحه صـورت خواهـد گرفـت Assign در منـوی Deviceاین کار با استفاده از کلیـد . شودمشخص

برای انتخاب نوع قطعه باید شماره آن را به طور دقیـق . قطعات مختلف و متنوعی دارد ALTERAهمچنانکه در فصل اول ذکر شد

.مشخص نمود

1-2-21 شکل

Page 14: ﯽﻘﻄﻨﻣ یﺎﻫراﺪﻣ هﺎﮕﺸﯾﺎﻣزآ · .( 1-2-1 ﻞﮑﺷ ) ﻢﯿﻨﮐ ﯽﻣ بﺎﺨﺘﻧا ار Install Quartus II and Related Software ﻪﻨﯾﺰﮔ

–بخش اول ALTERA م افزار شرکت آشنایی با نر

دانشگاه بوعلی سینا گروه کامپیوتر آزمایشگاه مدارهای منطقی

١۵

. این بار ارزیابی مدار بنا به قطعه مـورد نظـر انجـام خواهـد گرفـت .شودCompile می بایستبا انتخاب نوع قطعه دوباره پروژه

Assignmentکـه از مسـیر 1-2-22شـکل شـماره پنجره . اده شود ها نسبت د های مدار را به پایه ها و خروجی اکنون باید ورودی

/Pins به طور واضح شکل باز شده استIC بـرد شـماتیک بـا توجـه بـه .نمایـد ، ورودی و خروجی ها و نوع قطعـه را مشـخص مـی

مولـد پـالس IC ، ها، سوئیچ LEDهای ورودی و خروجی قطعه به یکی از قطعات موجود بر روی برد نظیر آزمایشگاه هریک از پایه

. داد های مـدار نسـبت های قطعه را به ورودی و خروجی پایه توان ین با داشتن شماتیک برد می بنابرا. باشد متصل می غیره ساعت و

های قطعه نسبت بایست به پایه هایی که می ورودی و خروجیNode name در قسمت شودان طور که در شکل نیز مشاهده میهم

. شوند داده شوند، مشخص می

1-2-22 شکل

د نظـر را کلیک کرده و ورودی یا خروجی مور دوبار های قطعه روی هر پایه های مدار به پایه برای نسبت دادن ورودی و خروجی

برنامه ریزی مدار بر روی برای . دمی گرد Compileها و خروجی ها دوباره مدار بعد از مشخص نمودن همه ورودی . دمی شو انتخاب

IC ریزی تحت عنوان به یک سخت افزار برنامه نیازPROGRAMMER JTAG این سـخت افـزار بـا اسـتفاده از پـورت . می باشد

صـورت Tools در منـوی Programerریزی با اسـتفاده از گزینـه انجام عمل برنامه . شود ظر متصل می به برد مورد ن (LPT1)موازی

نشـان داده pof.* فایـل برنامه ریـزی با باز شدن پنجره .دهد را نمایش می برنامه ریزی پنجره 1-2-23شکل شماره . خواهد گرفت

.باشد میورد نظر م پروژه به مربوط ،شده

Page 15: ﯽﻘﻄﻨﻣ یﺎﻫراﺪﻣ هﺎﮕﺸﯾﺎﻣزآ · .( 1-2-1 ﻞﮑﺷ ) ﻢﯿﻨﮐ ﯽﻣ بﺎﺨﺘﻧا ار Install Quartus II and Related Software ﻪﻨﯾﺰﮔ

–بخش اول ALTERA م افزار شرکت آشنایی با نر

دانشگاه بوعلی سینا گروه کامپیوتر آزمایشگاه مدارهای منطقی

١۶

1-2-23 شکل

Page 16: ﯽﻘﻄﻨﻣ یﺎﻫراﺪﻣ هﺎﮕﺸﯾﺎﻣزآ · .( 1-2-1 ﻞﮑﺷ ) ﻢﯿﻨﮐ ﯽﻣ بﺎﺨﺘﻧا ار Install Quartus II and Related Software ﻪﻨﯾﺰﮔ

١٧