isscc 2015 / session 18 / socs for mobile vision ... about the human body status. exg signals can...

3
334 2015 IEEE International Solid-State Circuits Conference ISSCC 2015 / SESSION 18 / SoCs FOR MOBILE VISION, SENSING, AND COMMUNICATIONS / 18.5 18.5 A Configurable 12-to-237KS/s 12.8mW Sparse-Approximation Engine for Mobile ExG Data Aggregation Fengbo Ren, Dejan Markovic University of California, Los Angeles, CA Compressive sensing (CS) is a promising solution for low-power on-body sensors for 24/7 wireless health monitoring [1]. In such an application, a mobile data aggregator performing real-time signal reconstruction is desired for timely prediction and proactive prevention. However, CS reconstruction requires solving a sparse approximation (SA) problem. Its high computational complexity makes software solvers, consuming 2–50W on CPUs, very energy inefficient for real-time processing. This paper presents a configurable SA engine in a 40nm CMOS technology for energy-efficient mobile data aggregation from compressively sampled biomedical signals. Using configurable architecture, a 100% utilization of computing resources is achieved. An efficient data-shuffling scheme is implemented to reduce memory leakage by 40%. At the minimum-energy point (MEP), the SA engine achieves a real-time throughput for reconstructing 61-to-237 channels of biomedical signals simultaneously with <1% of a mobile device’s 2W power budget, which is 76–350× more energy- efficient than prior hardware designs. Electrocardiography (ECG), electroencephalography (EEG), and electromyography (EMG) signals, collectively referred to as ExG, contain critical information about the human body status. ExG signals can span 3 orders of magnitude in amplitude (10μV–10mV) and frequency (0.1Hz–500Hz), and can have a large difference in sparsity depending on the subject’s activity. For instance, a low and high activity can produce a signal sparsity (k/n) of <2% and >15%, respectively [2], where k is the signal sparsity level and n is the signal dimension (# of samples). As a result, prior chip implementations of SA solvers [3-4] that are optimized to handle limited dynamic range and fixed problem size are not suitable for the intended application. We designed a flexible SA architecture (Fig. 18.5.1), which uses a single-precision floating-point data format to achieve a large dynamic range. It can be configured to handle different problem settings on-the-fly, including signal and measurement dimensions (n and m), signal sparsity level (k), reconstruction basis (A) and error tolerance (). The human body is expected to have a low activity on average, where ExG signals feature a high sparsity, especially when thresholding schemes are used [2]. This is where orthogonal matching pursuit (OMP) has a better complexity-accuracy trade-off than other SA algorithms [4]. For efficient mapping, 3 algorithm reformulation techniques have been introduced to further break down the OMP algorithm into 6 basic linear algebra (BLA) operations at each iteration [5], which are grouped into 3 tasks: atom searching (AS), least-squares solving (LS), and estimation update (EU). Taking advantage of the algorithm-architecture co-design, most hardware resources in the SA architecture are shared across different tasks. The computing resources in the SA architecture include the vector and scalar processing cores (VC and SC), Fig. 18.5.2. To support flexible problem sizes with high energy efficiency, 128 processing elements (PEs) in the VC are coordinated through an interconnect block (IB). When the IB is enabled, PEs are configured to perform inner product. Otherwise, PEs can be configured to support element-wise addition, multiplication, multiply-accumulation, and vector-scalar product. A shift-register logic (SRL) unit is used in the feedback path of each PE to enable folded processing of long vectors. The SC supports scalar comparison, addition, accumulation, and division. Depending on the top-level data-path configuration, the SC can either post-process a selective result from the VC or process independent data in parallel. For efficient local memory access, a 1.2KB and 1.5KB cache is dedicated to each PE and the SC, respectively (Fig. 18.5.1). To facilitate data communication between the VC and SC in long delay lines, a 768B cache is shared between all the PEs and the SC. In addition, a core-level SRL unit is used to connect the SC with all the PEs. This feedback path effectively reduces the loop latency between VC and SC to 4–8 cycles, thereby greatly accelerating the iterative BLA operations such as forward and backward substitution (FS and BS). The SA engine uses FIFO interfaces to handle the flow control at the data inputs and outputs. A fixed-to-floating-point conversion interface is also available at the input to allow the processing of different signal representations. When executing the OMP algorithm, the VC is dynamically configured in a SIMD fashion. Similarly, the SC and data-paths are also dynamically configured to perform the BLA operations in different tasks. As a result, a 100% utilization of the computing resources is achieved, maximizing area efficiency (Fig. 18.5.2). In the LS task, column and row access of a triangular matrix (L) is required for performing FS and BS, respectively, for realizing Cholesky factorization (CF). As accessing a row of L is equivalent to accessing a column of L T , a straightforward memory-mapping scheme for PE caches is to store both L and L T as a regular square matrix (Fig. 18.5.3). We refer to this scheme as a mirror mode, where columns of L and L T can be accessed at the same address of each PE cache in an ascending and descending order, respectively. The mirror mode allows the square matrix to fold into 128×128 blocks to perform a larger-size (k>128) CF at the cost of doubled memory size. As memory leakage dominates the total power, a data-shuffling scheme that is more efficient in utilizing memory space is realized. In the shuffle mode, each row of L is stored in a shuffled order across adjacent PE caches. As a result, the rows and columns of L can be accessed at the same and at a different address of each PE-cache, respectively. To recover the data order correctly, a shuffler performing circular position-shift is implemented. Compared to the mirror-mode implementation, a 2× memory size reduction results in a 40% lower total power. Reconstruction signal-to-noise ratios (RSNR) from 1-minute recordings of real ExG signals downloaded from the PhysioBank database are measured on the SA engine (Fig. 18.5.4). CS samples of ExG signals are encoded by random Bernoulli matrices, with a 5% overlapping window applied. In order to observe the raw signal sparsity, no thesholding scheme is applied. The best orthogonal basis for reconstructing ECG, EEG, and EMG are found to be the Haar discrete wavelet transform (DWT), the discrete cosine transform (DCT), and a DWT-DCT joint basis, respectively. It is also found that the RSNR performance is sensitive to . Dynamically configuring to 3–5% of the energy of each CS sample results in the best RSNR performance. In general, using higher n for reconstruction improves RSNR at the cost of lower throughput and higher energy. To achieve RSNR>15dB with maximum throughput, the preferred n for reconstructing the chosen ECG, EMG, and EEG recordings is found to be 256, 128, and 512, respectively. The power and operating frequency of the SA engine are measured at different supply voltages (Fig. 18.5.5). The MEP is found at V DD =0.7V, which corresponds to a 12.8mW power and a 12.2MHz operating frequency. At the MEP, the SA engine achieves a throughput of 237, 123, and 66KS/s and an energy efficiency of 54, 104, and 194nJ/sample for reconstructing ECG, EMG, and EEG signals, respectively, at RSNR>15dB. This throughput corresponds to the simultaneous reconstruction of 237, 61, and 132 channels of ECG, EMG, and EEG data, respectively. At V DD =1V, the maximum operating frequency of the SA engine is 25.3MHz. Compared to the MEP, a 2× higher throughput can be achieved at the cost of 3× lower energy efficiency. The SA engine is compared to an Intel Core i7-4700MQ processor and prior chip implementations [3-4] of generic SA solvers (Fig. 18.5.6). Overall, the SA engine achieves a 2× higher throughput with up to 14,100× better energy efficiency for ExG signal reconstruction than the software solver running on the CPU. For high-sparsity signal reconstruction, the SA engine is 76–350× more energy efficient than prior hardware designs. With a <1% power budget of mobile devices, the 5.13mm 2 SA engine in 40nm CMOS (Fig. 18.5.7) can provide a 2–3× energy saving at CS-based sensor nodes, while providing timely feedback and bringing signal intelligence closer to the user. Acknowledgements: We thank Broadcom Foundation for funding support and TSMC University Program for chip fabrication. References: [1] F. Chen, et al., “Design and Analysis of a Hardware-Efficient Compressed Sensing Architecture for Data Compression in Wireless Sensors,” IEEE J. Solid- State Circuits, vol. 47, no. 3, pp. 744-756, 2012. [2] A. Dixon, et al., “Compressed Sensing System Considerations for ECG and EMG Wireless Biosensors,” IEEE Trans. on Biomedical Circuis and Syst., vol. 6, no. 2, pp. 156-166, 2012. [3] P. Maechler, et al., “VLSI Design of Approximate Message Passing for Signal Restoration and Compressive Sensing,” IEEE J. on Emerging and Selected Topics in Circuits and Syst., vol. 2, no. 3, pp. 579-590, 2012. [4] P. Maechler, et al., “Implementation of Greedy Algorithms for LTE Sparse Channel Estimation,” Asian Solid-State Circuits Conf, pp. 400-405, 2010. [5] F. Ren, et al., “A Scalable and Parameterized VLSI Architecture for Compressive Sensing Sparse Approximation,” Electronics Letters, vol. 49, no. 23, pp. 1440-1441, 2013. 978-1-4799-6224-2/15/$31.00 ©2015 IEEE ´ ´

Upload: nguyenquynh

Post on 20-Apr-2018

219 views

Category:

Documents


5 download

TRANSCRIPT

Page 1: ISSCC 2015 / SESSION 18 / SoCs FOR MOBILE VISION ... about the human body status. ExG signals can span 3 orders of magnitude in amplitude (10μV–10mV) and frequency (0.1Hz–500Hz),

334 • 2015 IEEE International Solid-State Circuits Conference

ISSCC 2015 / SESSION 18 / SoCs FOR MOBILE VISION, SENSING, AND COMMUNICATIONS / 18.5

18.5 A Configurable 12-to-237KS/s 12.8mW Sparse-Approximation Engine for Mobile ExG Data Aggregation

Fengbo Ren, Dejan Markovic

University of California, Los Angeles, CA

Compressive sensing (CS) is a promising solution for low-power on-body sensors for 24/7 wireless health monitoring [1]. In such an application, a mobiledata aggregator performing real-time signal reconstruction is desired for timelyprediction and proactive prevention. However, CS reconstruction requires solving a sparse approximation (SA) problem. Its high computational complexitymakes software solvers, consuming 2–50W on CPUs, very energy inefficient forreal-time processing. This paper presents a configurable SA engine in a 40nmCMOS technology for energy-efficient mobile data aggregation from compressively sampled biomedical signals. Using configurable architecture, a100% utilization of computing resources is achieved. An efficient data-shufflingscheme is implemented to reduce memory leakage by 40%. At the minimum-energy point (MEP), the SA engine achieves a real-time throughput forreconstructing 61-to-237 channels of biomedical signals simultaneously with<1% of a mobile device’s 2W power budget, which is 76–350× more energy-efficient than prior hardware designs.

Electrocardiography (ECG), electroencephalography (EEG), and electromyography (EMG) signals, collectively referred to as ExG, contain criticalinformation about the human body status. ExG signals can span 3 orders ofmagnitude in amplitude (10μV–10mV) and frequency (0.1Hz–500Hz), and canhave a large difference in sparsity depending on the subject’s activity. Forinstance, a low and high activity can produce a signal sparsity (k/n) of <2% and>15%, respectively [2], where k is the signal sparsity level and n is the signaldimension (# of samples). As a result, prior chip implementations of SA solvers[3-4] that are optimized to handle limited dynamic range and fixed problem sizeare not suitable for the intended application. We designed a flexible SA architecture (Fig. 18.5.1), which uses a single-precision floating-point data format to achieve a large dynamic range. It can be configured to handle differentproblem settings on-the-fly, including signal and measurement dimensions (n and m), signal sparsity level (k), reconstruction basis (A) and error tolerance(∈).

The human body is expected to have a low activity on average, where ExG signals feature a high sparsity, especially when thresholding schemes are used[2]. This is where orthogonal matching pursuit (OMP) has a better complexity-accuracy trade-off than other SA algorithms [4]. For efficient mapping, 3 algorithm reformulation techniques have been introduced to furtherbreak down the OMP algorithm into 6 basic linear algebra (BLA) operations ateach iteration [5], which are grouped into 3 tasks: atom searching (AS), least-squares solving (LS), and estimation update (EU). Taking advantage of thealgorithm-architecture co-design, most hardware resources in the SA architecture are shared across different tasks.

The computing resources in the SA architecture include the vector and scalarprocessing cores (VC and SC), Fig. 18.5.2. To support flexible problem sizeswith high energy efficiency, 128 processing elements (PEs) in the VC are coordinated through an interconnect block (IB). When the IB is enabled, PEs areconfigured to perform inner product. Otherwise, PEs can be configured to support element-wise addition, multiplication, multiply-accumulation, and vector-scalar product. A shift-register logic (SRL) unit is used in the feedbackpath of each PE to enable folded processing of long vectors. The SC supportsscalar comparison, addition, accumulation, and division. Depending on the top-level data-path configuration, the SC can either post-process a selectiveresult from the VC or process independent data in parallel. For efficient localmemory access, a 1.2KB and 1.5KB cache is dedicated to each PE and the SC,respectively (Fig. 18.5.1). To facilitate data communication between the VC andSC in long delay lines, a 768B cache is shared between all the PEs and the SC.In addition, a core-level SRL unit is used to connect the SC with all the PEs. Thisfeedback path effectively reduces the loop latency between VC and SC to 4–8cycles, thereby greatly accelerating the iterative BLA operations such as forwardand backward substitution (FS and BS). The SA engine uses FIFO interfaces tohandle the flow control at the data inputs and outputs. A fixed-to-floating-pointconversion interface is also available at the input to allow the processing of different signal representations. When executing the OMP algorithm, the VC isdynamically configured in a SIMD fashion. Similarly, the SC and data-paths arealso dynamically configured to perform the BLA operations in different tasks. As

a result, a 100% utilization of the computing resources is achieved, maximizingarea efficiency (Fig. 18.5.2).

In the LS task, column and row access of a triangular matrix (L) is required forperforming FS and BS, respectively, for realizing Cholesky factorization (CF). Asaccessing a row of L is equivalent to accessing a column of LT, a straightforwardmemory-mapping scheme for PE caches is to store both L and LT as a regularsquare matrix (Fig. 18.5.3). We refer to this scheme as a mirror mode, wherecolumns of L and LT can be accessed at the same address of each PE cache inan ascending and descending order, respectively. The mirror mode allows thesquare matrix to fold into 128×128 blocks to perform a larger-size (k>128) CF atthe cost of doubled memory size. As memory leakage dominates the total power,a data-shuffling scheme that is more efficient in utilizing memory space is realized. In the shuffle mode, each row of L is stored in a shuffled order acrossadjacent PE caches. As a result, the rows and columns of L can be accessed atthe same and at a different address of each PE-cache, respectively. To recoverthe data order correctly, a shuffler performing circular position-shift is implemented. Compared to the mirror-mode implementation, a 2× memory sizereduction results in a 40% lower total power.

Reconstruction signal-to-noise ratios (RSNR) from 1-minute recordings of realExG signals downloaded from the PhysioBank database are measured on the SAengine (Fig. 18.5.4). CS samples of ExG signals are encoded by randomBernoulli matrices, with a 5% overlapping window applied. In order to observethe raw signal sparsity, no thesholding scheme is applied. The best orthogonalbasis for reconstructing ECG, EEG, and EMG are found to be the Haar discretewavelet transform (DWT), the discrete cosine transform (DCT), and a DWT-DCTjoint basis, respectively. It is also found that the RSNR performance is sensitiveto ∈. Dynamically configuring ∈ to 3–5% of the energy of each CS sampleresults in the best RSNR performance. In general, using higher n for reconstruction improves RSNR at the cost of lower throughput and higher energy. To achieve RSNR>15dB with maximum throughput, the preferred n forreconstructing the chosen ECG, EMG, and EEG recordings is found to be 256,128, and 512, respectively.

The power and operating frequency of the SA engine are measured at differentsupply voltages (Fig. 18.5.5). The MEP is found at VDD=0.7V, which correspondsto a 12.8mW power and a 12.2MHz operating frequency. At the MEP, the SAengine achieves a throughput of 237, 123, and 66KS/s and an energy efficiencyof 54, 104, and 194nJ/sample for reconstructing ECG, EMG, and EEG signals,respectively, at RSNR>15dB. This throughput corresponds to the simultaneousreconstruction of 237, 61, and 132 channels of ECG, EMG, and EEG data, respectively. At VDD=1V, the maximum operating frequency of the SA engine is25.3MHz. Compared to the MEP, a 2× higher throughput can be achieved at thecost of 3× lower energy efficiency.

The SA engine is compared to an Intel Core i7-4700MQ processor and prior chipimplementations [3-4] of generic SA solvers (Fig. 18.5.6). Overall, the SA engineachieves a 2× higher throughput with up to 14,100× better energy efficiency forExG signal reconstruction than the software solver running on the CPU. For high-sparsity signal reconstruction, the SA engine is 76–350× more energy efficient than prior hardware designs. With a <1% power budget of mobiledevices, the 5.13mm2 SA engine in 40nm CMOS (Fig. 18.5.7) can provide a 2–3× energy saving at CS-based sensor nodes, while providing timely feedbackand bringing signal intelligence closer to the user.

Acknowledgements:We thank Broadcom Foundation for funding support and TSMC UniversityProgram for chip fabrication.

References:[1] F. Chen, et al., “Design and Analysis of a Hardware-Efficient CompressedSensing Architecture for Data Compression in Wireless Sensors,” IEEE J. Solid-State Circuits, vol. 47, no. 3, pp. 744-756, 2012.[2] A. Dixon, et al., “Compressed Sensing System Considerations for ECG andEMG Wireless Biosensors,” IEEE Trans. on Biomedical Circuis and Syst., vol. 6,no. 2, pp. 156-166, 2012.[3] P. Maechler, et al., “VLSI Design of Approximate Message Passing for SignalRestoration and Compressive Sensing,” IEEE J. on Emerging and SelectedTopics in Circuits and Syst., vol. 2, no. 3, pp. 579-590, 2012. [4] P. Maechler, et al., “Implementation of Greedy Algorithms for LTE SparseChannel Estimation,” Asian Solid-State Circuits Conf, pp. 400-405, 2010.[5] F. Ren, et al., “A Scalable and Parameterized VLSI Architecture forCompressive Sensing Sparse Approximation,” Electronics Letters, vol. 49, no.23, pp. 1440-1441, 2013.

978-1-4799-6224-2/15/$31.00 ©2015 IEEE

´´

Page 2: ISSCC 2015 / SESSION 18 / SoCs FOR MOBILE VISION ... about the human body status. ExG signals can span 3 orders of magnitude in amplitude (10μV–10mV) and frequency (0.1Hz–500Hz),

335DIGEST OF TECHNICAL PAPERS •

ISSCC 2015 / February 25, 2015 / 10:45 AM

Figure 18.5.1: System architecture of the SA engine.

Figure 18.5.2: PE and SC block diagrams and the dynamic configurationscheme of the SA engine for executing the AS, LS, and EU tasks of the OMPalgorithm.

Figure 18.5.3: The memory access and folding scheme of PE caches for handling Cholesky factorization in mirror and shuffle mode. Column and rowaccess is needed for solving FS and BS, respectively.

Figure 18.5.5: Power vs. frequency measured at different VDD, measuredthroughput and energy efficiency for ExG reconstruction when operating at theMEP (the highlighted numbers are the best performance for achievingRSNR>15dB).

Figure 18.5.6: Comparison with an Intel Core i7-4700MQ processor and priorchip implementations of the generic SA solver.

Figure 18.5.4: Measured RSNR of ECG, EEG, and EMG signals reconstructedon the DWT, the DCT, and joint DWT-DCT basis, respectively, with differentsignal dimensions (n), compression ratios (m/n), and signal sparsity (k/n).

SC

±÷

SC $

VCM

UX

Core SRLFPto

FLPConv.

PE $

PE

VCPE $ CTRL + Shuffler

A

y

Xvalue

Xloc

Controller

configbit

Shared $

Memory

IB

Active Set

validdata

ready

SC $ CTRL

PE 127

PE interconnection with IB activated

PE 126PE 125

… …PE 124

PE 0PE 1PE 2PE 3

Pipe

lined

Adde

rTre

e 2x4x

128x8x

Pipe.… …

Selectiveresults

IR = Intermediate Result, R/W = read/write, x = sparse coefficient

PE VC-MUX SC PE-$ SC-$ Share $ Active Set

ASOp 1

EU

Op 2Op 3Op 4

Task

LS

Static ACC, CMP R/ Residue Off Off W/ Index

Core-SRLOff

Static ACC Off Off W/ IR R/ IndexOff

PE-SRL

Inner prod. Off

IB

OnInner prod. Off On

Dyn. ACC, DIV R/W L R/ D R/W IR OffOnMAC On OffStatic ACC R/ L W/ D R IR OffOffMult Off OnDyn. ACC, DIV R/ LT Off W/ IR OffOnMAC On OffOff ACC, ADD R/W residue R/W x R/ IR R/ IndexOffMAC On Off

SRLIB

VC MUXPE $

IBPE $Shared $Core SRL “1”

IBPE

IB

APE $

“1”÷

abs

value

T/F

“0”

VCM

UX

Share $

SC $

index

Share $ SC $

SC

L43

L32 L42

L41L21 L31

Mirror Mode Shuffle Mode2x mem. sizereduction

52

63

4

1$ 0

$ 127

1

2

2 34

5

34

5

1

6

6

PE $0

PE $ 127

addr addr

k

3 45

6

12

L11

L21 L22

L31

L41

L32

L42

L33

L43 L44

L11

L21

L21

L22

L31

L32

L41

L42

L31

L41

L32

L42

L33

L43

L43

L44

Row AccessCol. Access

PE $ 0

PE $ 1

PE $ 2

PE $ 31 2 3 4 1 2 3 4

DOUT toaddr

0 0 0 0 0 0 0 0Cir. Shift >>

0 1 2 3 0 1 2 3PE 0

PE 1

PE 2

PE 3

L41

L31

x

L42

x

x

x

x

L21

L11

L32

L22

L43

L33

x

L44

L41

L31

x

L42

x

x

x

x

L21

L11

L32

L22

L43

L33

x

L44

1 2 3 4 1 2 3 4Row AccessCol. Access

PE $ 0

PE $ 1

PE $ 2

PE $ 3

0 1 2 3 3 2 1 0

0 1 2 3 0 1 2 3addr

Cir. Shift >>

DOUT toPE 0

PE 1

PE 2

PE 3

Compression Ratio (m/n)

RSNR

(dB)

0

5

10

15

20

25

0.2 0.3 0.4 0.5 0.6 0.7

n=256m/n 0.35k/n 0.13

n=512m/n 0.4k/n 0.13

n=128x2m/n 0.45k/n 0.19

ECG

EMGEEG

128 256 384 512 640 768 896 1024ECG 387 237 102 79 64 38 33 29EMG 213 123 54 41 24 20 13 12EEG 331 201 87 66 54 32 27 19ECG 33 54 125 163 200 337 390 444EMG 60 104 238 312 536 640 954 1087EEG 39 63 147 194 238 399 466 685

Signal Dimension (n)

Throughput (KS/s)

Energy Efficiency

(nJ/sample)

at MEP

Frequency (MHz)

Power

(mW)

0

20

40

60

80

5 10 15 20 25 30

{0.5, 0.7}132

{0.55, 0.7}91

{0.6, 0.7}69

{0.65, 0.7}59

{0.7, 0.7}54

{0.8, 0.8}72

{0.9, 0.9}103

{1, 1}159

EnergyEfficiency(nJ/sample)

{Vlogic, Vmem} (V)

* Technology scaling to 40nm: Delay~1/S, Power~1/U2, where S=L/40nm, U=VDD/0.9V.1 The supported problem size is 1024x512, but only half of the sampling matrix is generic.2 ExG reconstruction throughput measured at MEP.3 ExG reconstruction throughput measured in MATLAB simulation.4,5 For fair comparison, the numbers are measured for the same problem size (m,n,k) as in [3,4].

Design This work[4][3]Technology 40nm65nm180nmTarget app Biomedical sensingAudioLTE channel estimationAlgorithm OMP, K-OMPAMPMP GP OMP

Signal dimension up to 1024512(1)256Measurement dim. up to 512512200

Sparsity level up to 192-50 18 10Core area (mm2) 5.130.6290.73 1.21 2.42Dynamic range High (float-pt)Low (fix-pt)Low (fix-pt)PE parallelism 128322 8

Local memory (KB) 1475.76-Freq. (MHz) 27.4333140 128

Power (mW) 8.6 to 78177.588 209 200Throughput (KS/s) 12 to 237(2)3972

Energy Efficiency* (nJ/sample)

32(4) (high sparsity)-2,444 5,778 11,222389(5) (low sparsity)223-

Intel i7-4700MQ 22nm

GeneralOMP/AMP

LargeLargeLarge174.4

High (float-pt)SSE47,4242,394

47,0005 to 98(3)

451,322503,028

18

Page 3: ISSCC 2015 / SESSION 18 / SoCs FOR MOBILE VISION ... about the human body status. ExG signals can span 3 orders of magnitude in amplitude (10μV–10mV) and frequency (0.1Hz–500Hz),

• 2015 IEEE International Solid-State Circuits Conference 978-1-4799-6224-2/15/$31.00 ©2015 IEEE

ISSCC 2015 PAPER CONTINUATIONS

Figure 18.5.7: Die photo and chip summary.

Technology40nm 1P8M

CMOSFO4 16.3ps (TT)

Transistor Flavor

SVT 0.11% HVT 99.89%

Transistor Count 61 M

Core VDDLogic: 0.5 to 1 VMem: 0.7 to 1V

Core Size 2.28 x 2.25 mm

I/Os Digital 42/58 Power 156

I/O VDD 2.5 V

2.28 mm2.25

mm

Active Set