my second fpga for altera de2-115 boarddclab.ee.ntu.edu.tw/static/document/exp2/exp2_2.pdf · 1...

47
My Second FPGA for Altera DE2-115 Board 數位電路實驗 TA: 吳柏辰 Author: Trumen

Upload: others

Post on 16-Oct-2020

6 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

My Second FPGA for

Altera DE2-115 Board

數位電路實驗

TA: 吳柏辰

Author: Trumen

Page 2: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

Outline

• DE2-115 System Builder

• ModelSim-Altera

2

Page 3: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

DE2-115 System Builder

3

Page 4: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

Introduction to DE2-115

System Builder (1/2)

• This section describes how users can create a

custom design project on the DE2-115 board

by using DE2-115 Software Tool DE2-115

System Builder.

• The DE2-115 System Builder is a Windows

based software utility, designed to assist users

to create a Quartus II project for the DE2-115

board withim minutes.

4

Page 5: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

Introduction to DE2-115

System Builder (2/2)

• The generated Quartus II projects files include:

• Quartus II Project File (.qpf)

• Quartus II Setting File (.qsf)

• Top-Level Design File (.v)

• Synopsis Design Constraints file (.sdc)

• Pin Assignment Document (.htm)

5

Page 6: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

General Design Flow

6

Start

Launch DE2-115 System Builder

.qpf .qsf

.v .sdc

.htm

Create New

DE2-115 System Builder Project

Generate

Quartus II Projectand Document

Launch

Quartus II and

Open Project

Add User Design/Logic

Compile to generate .SOF

Configure FPGA

End

Page 7: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

• DE2_115_tools\DE2_115_system_builder.exe

Launch DE2-115 System Builder

7

Page 8: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

Input Project Name

8

1

Page 9: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

System Configuration

9

1

Page 10: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

GPIO Expansion

10

1

Page 11: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

HSMC Expansion

11

1

Page 12: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

Project Setting Management

12

1

Users can save the current board

configuration information into a .cfg file and

load it to the DE2-115 System Builder

2

Page 13: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

Project Generation

• When users press the Generation buttion, the

DE2-115 System Builder will generate the

corresponding Quartus II files and documents.

13

No. Filename Description

1 exp2_rsa.v Top level verilog HDL file for Quartus II

2 exp2_rsa.qpf Quartus II Project File

3 exp2_rsa.qsf Quartus II Setting File

4 exp2_rsa.sdc Synopsis Design Constraints file for Quartus II

5 exp2_rsa.htm Pin Assignment Document

Page 14: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

THDB-HTG Board

• This figure illustrates how the THDB-HTG

board is connected to the DE2-115 board.

14

Be sure to turn off the

power whenever you

connect or disconnect

the THDB-HTG board!!

Page 15: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

exp2_rsa.htm (1/2)

15

DE2_115_User_manual.pdf4.8 Usiing the Expansiion Header

Page 16: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

exp2_rsa.htm (2/2)

16

THDB-HTG_V1.0.3.pdf1-6 Expansion Prototype Connectors

Page 17: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

exp2_rsa.sdc

17

• Remember to modify the .sdc file.

• clock, i/o delay, etc.

create_clock -period 1000 [get_ports clk]derive_clock_uncertaintyset_input_delay 0 -clock clk [all_inputs]set_output_delay 0 -clock clk [all_outputs]

Page 18: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

Can't place multiple pins…?

18

• If you try to assign pins by yourself, this error

message may occur.

• How to fix it?

Page 19: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

19

1

2

Page 20: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

20

1

Page 21: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

21

1

2

3

Page 22: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

22

1

Page 23: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

ModelSim-Altera

23

Page 24: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

Introduction to ModelSim (1/5)

• ModelSim is a simulation and verification tool

for VHDL, Verilog, SystemVerilog, and mixed

language designs.

• The following diagram shows the basic steps

for simulating a design in ModelSim.

24

Create a

working

library

Compile

design

files

Load and

Run

simulation

Debug

results

Page 25: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

Introduction to ModelSim (2/5)

• Creating the Working Library

• In ModelSim, all designs are compiled into

a library.

• You typically start a new simulation in

ModelSim by creating a working library

called "work," which is the default library

name used by the compiler as the default

destination for compiled design units.

25

Page 26: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

Introduction to ModelSim (3/5)

• Compiling Your Design

• After creating the working library, you compile your design units into it.

• The ModelSim library format is compatible across all supported platforms.

• You can simulate your design on any platform without having to recompile your design.

26

Page 27: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

Introduction to ModelSim (4/5)

• Loading the Simulator with Your Design

and Running the Simulation

• With the design compiled, you load the

simulator with your design by invoking the

simulator on a top-level module (Verilog) or

a configuration or entity/architecture pair

(VHDL).

27

Page 28: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

Introduction to ModelSim (5/5)

• Debugging Your Results

• If you don’t get the results you expect, you

can use ModelSim’s robust debugging

environment to track down the cause of the

problem.

28

Page 29: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

ModelSim-Altera

• Altera Quartus II software allows the user to

launch Modelsim-Altera simulator from within

the software using the Quartus II feature called

NativeLink.

• It facilitates the process of simulation by

providing an easy to use mechanism and

precompiled libraries for simulation.

29

Page 30: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

Setting up EDA Tool Options

30

1

2

Page 31: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

31

1

2

3

Page 32: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

Setting Up the Simulation

32

1

2

Page 33: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

33

1

2

3

4

5

Page 34: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

34

1

2

3

4

56

7

8

Page 35: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

35

1

Page 36: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

Before Simulation…

• We should compile our design before

simulation to generate a simulation snapshot.

• "Start Analysis & Elaboration" is enough, and it

takes much less time than "Start Compilation".

• And then we can run the simulation.

36

Page 37: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

Issues of Working Directory

• The working directory of ModelSim-Altera is

under "(project directory)/simulation/modelsim",

so be careful of setting the directory of input

data in the testbench.

37

Page 38: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

38

1

23

Page 39: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

39

1

2 3

4

Page 40: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

40

Congratulation!

Be sure ModelSim-Altera has found the input data!!

Page 41: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

If There are Something Wrong…

• Your simulation takes a long time and seems it

will not stop.

• The calculated result is incorrect.

41

Page 42: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

If the Input Date are not Found…

42

It is a fake "PASS"…

Page 43: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

Change the Time Unit of the

Timeline

43

1

2

3

4

Page 44: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

Check the Waveform (1/2)

44

1

2

3

Page 45: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

Check the Waveform (2/2)

45

Zoom full Zoom Mode

How to see the signals in the design? Just try it!

Page 46: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

The End.

Any question?

Page 47: My Second FPGA for Altera DE2-115 Boarddclab.ee.ntu.edu.tw/static/Document/Exp2/Exp2_2.pdf · 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project

Reference

1. "DE2-115 User manual" by Terasic.

2. "THDB-HTG User Manual" by Terasic.

3. ModelSim® Tutorial by Mentor Graphics

Corporation.

4. "Getting Started with Quartus II Simulation

Using the ModelSim-Altera Software User

Guide" by Altera.

47