system generator for dsp 入門ガイド...system generator for dsp 入門ガイド japan.xilinx.com...

117
System Generator for DSP 入門ガ イ ド UG639 (v11.4) 2010 12 2

Upload: others

Post on 04-Jan-2020

10 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

System Generator for DSP

入門ガイド

UG639 (v11.4) 2010 年 12 月 2 日

Page 2: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

System Generator for DSP 入門ガイ ド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日

Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in thedevelopment of designs to operate with Xilinx hardware devices. You may not reproduce, distribute, republish, download,display, post, or transmit the Documentation in any form or by any means including, but not limited to, electronic, mechanical,photocopying, recording, or otherwise, without the prior written consent of Xilinx. Xilinx expressly disclaims any liabilityarising out of your use of the Documentation. Xilinx reserves the right, at its sole discretion, to change the Documentationwithout notice at any time. Xilinx assumes no obligation to correct any errors contained in the Documentation, or to advise youof any corrections or updates. Xilinx expressly disclaims any liability in connection with technical support or assistance that maybe provided to you in connection with the Information.

THE DOCUMENTATION IS DISCLOSED TO YOU “AS-IS” WITH NO WARRANTY OF ANY KIND. XILINX MAKESNO OTHER WARRANTIES, WHETHER EXPRESS, IMPLIED, OR STATUTORY, REGARDING THEDOCUMENTATION, INCLUDING ANY WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULARPURPOSE, OR NONINFRINGEMENT OF THIRD-PARTY RIGHTS. IN NO EVENT WILL XILINX BE LIABLE FOR ANYCONSEQUENTIAL, INDIRECT, EXEMPLARY, SPECIAL, OR INCIDENTAL DAMAGES, INCLUDING ANY LOSS OFDATA OR LOST PROFITS, ARISING FROM YOUR USE OF THE DOCUMENTATION.

© 2009 Xilinx, Inc. XILINX, the Xilinx logo, Virtex, Spartan, ISE, and other designated brands included herein are trademarksof Xilinx in the United States and other countries. All other trademarks are the property of their respective owners.

本資料は英語版 (v.11.4) を翻訳したもので、 内容に相違が生じる場合には原文を優先します。

資料によっては英語版の更新に対応していないものがあ り ます。

日本語版は参考用と してご使用の上、 新情報につきましては、 必ず 新英語版をご参照ください。

Page 3: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

目次

このマニュアルについてマニュアルの内容 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7System Generator の PDF マニュアル セッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7その他のリ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8表記規則. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8

書体 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8オンライン マニュアル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9

第 1 章 : 概要ザイ リ ンクス DSP ブロ ッ クセッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12FIR フ ィルタの生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13MATLAB のサポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14システム リ ソースの予測 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15ハード ウェア協調シ ミ ュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16システム統合プラ ッ ト フォーム . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17

第 2 章 : インス トールダウンロード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19

ハードウェア協調シ ミ ュレーシ ョ ンのサポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .19システム要件および推奨事項 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19

推奨ハードウェア . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .19オペレーティング システム (OS) およびソフ ト ウェア要件 . . . . . . . . . . . . . . . . . . . . . . . . . . .20ほかのツールとの互換性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .21ソフ ト ウェア要件 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .21

ISE Design Suite インス トーラの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21Linux OS への System Generator のインス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22インス トール後のタスク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29

ハードウェア協調シ ミ ュレーシ ョ ン用のインス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .29ザイ リ ンクス HDL ラ イブラ リのコンパイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .30System Generator キャ ッシュの設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .30System Generator のバージ ョ ンの表示と切り替え . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .30

第 3 章 : リ リース情報リ リース ノート 11.4. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33

System Generator の改善点 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .33ザイ リ ンクス ブロッ クセッ トの改善点 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .33システム要件および推奨事項 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .35既知の問題 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .36

リ リース ノート 11.3. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37System Generator の改善点 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .37ザイ リ ンクス ブロッ クセッ トの改善点 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .37使用できなくなった System Generator の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .38システム要件および推奨事項 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .38既知の問題 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .40

リ リース ノート 11.2. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41System Generator の改善点 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .41ザイ リ ンクス ブロッ クセッ トの改善点 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .43システム要件および推奨事項 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .44既知の問題 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .45

System Generator for DSP 入門ガイ ド japan.xilinx.com 3UG639 (v11.4) 2009年 12 月 2 日

Page 4: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

リ リース ノート 11.1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46System Generator の改善点 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .46ザイ リ ンクス ブロッ クセッ トの改善点 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .49ザイ リ ンクス基本ブロ ッ クの改善点 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .49置き換えられたザイ リ ンクス ブロッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .50システム要件および推奨事項 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .51既知の問題 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .52

リ リース ノート 10.1.3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53ザイ リ ンクス DSP ブロ ッ クセッ トの改善点 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .53ツール フローとの互換性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .54既知の問題 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .54

リ リース ノート 10.1.2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55System Generator の改善点 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .55ザイ リ ンクス DSP ブロ ッ クセッ トの改善点 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .55ツール フローとの互換性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .56既知の問題 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .56

リ リース ノート 10.1.1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57System Generator の改善点 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .57ザイ リ ンクス DSP ブロ ッ クセッ トの改善点 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .57ツール フローとの互換性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .58既知の問題 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .58

リ リース ノート 10.1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59System Generator の改善点 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .59ザイ リ ンクス DSP ブロ ッ クセッ トの改善点 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .60ツール フローとの互換性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .60既知の問題 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .60

ザイ リ ンクス System Generator モデルのアップデート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61V2.x 以前のモデルのアップデート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .61v3.x、 v6.x、 および v7.x モデルのアップデート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .61例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .62

第 4 章 : 入門概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63レッスン 1 : デザイン作成の基礎 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64

System Generator デザイン フロー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .64ザイ リ ンクス DSP ブロ ッ クセッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .65FPGA の境界の定義 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .66System Generator トークンの追加 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .67DSP デザインの作成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .68HDL コードの生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .69System Generator を使用したモデル ベースのデザイン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .70MATLAB を使用した入力ベクタの作成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .71レッスン 1 のまとめ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .72演習 : Simulink の使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .72演習 : System Generator 入門 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .72

レッスン 2 : 固定小数点およびビッ ト操作 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73固定小数点数値精度 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .73System Generator 固定小数点量子化 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .74オーバーフロー モード と量子化モード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .75ビッ ト レベルの操作 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .76Reinterpret ブロ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .77Convert ブロ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .78Concat ブロ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .79Slice ブロ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .80BitBasher ブロ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .81レッスン 2 のまとめ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .82演習 : 信号配線 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .82

4 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.4) 2009年 12 月 2 日

Page 5: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

レッスン 3 : システム制御. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83DSP システムの制御 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .83MCode ブロ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .84ザイ リ ンクス xl_state データ型 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .85ステート マシンの例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .86Expression ブロ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .87リセッ ト ポート と イネーブル ポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .88バース ト データ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .89レッスン 3 のまとめ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .90演習 : システム制御 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .90

レッスン 4 : マルチレート システム . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91マルチレート システムの作成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .91Up Sample および Down Sample ブロ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .92レート変更ファンクシ ョ ン ブロッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .93Simulink でのレート変化の表示 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .94ツールのデバッグ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .95サンプリ ング周期に関する規則 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .96演習 : マルチレート システム . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .97

レッスン 5 : メモ リの使用. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98ブロ ッ ク RAM と分散 RAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .98RAM および ROM の初期化 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .99System Generator のRAM ブロッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .100System Generator のROM ブロッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .101Delay ブロ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .102FIFO ブロ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .103Shared Memory ブロ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .104演習 : メモ リの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .105

レッスン 6 : フ ィルタの設計 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .106Virtex DSP48 スライス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .107FIR Compiler ブロ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .108FDATool を使用した係数の作成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .109FDATool の係数の使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .110演習 : フ ィルタの設計 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .111

その他の例とチュート リ アル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112ブラ ッ ク ボッ クスの例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .112ChipScope の例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .112DSP の例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .112MCode の例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .113プロセッサの例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .114共有メモ リの例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .114タイ ミ ング解析の例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .115その他の例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .115System Generator デモ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .115

索引 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117

System Generator for DSP 入門ガイ ド japan.xilinx.com 5UG639 (v11.4) 2009年 12 月 2 日

Page 6: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

6 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.4) 2009年 12 月 2 日

Page 7: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

このマニュアルについて

このマニュアルでは、 System Generator for DSP を紹介し、 インス トールおよびコンフ ィギュレー

シ ョ ン手順、 リ リース情報、 このツールの主な機能を使用する 6 つの ト レーニング モジュールを示

します。 各モジュールは、8 ~ 10 枚のスライ ドを使用した重要な概念の説明と、30 分程度で終了す

る演習で構成されています。 この入門ト レーニングはツールの一部であるので、 時間のある と きに

独自のペースで進めるこ とができます。

マニュアルの内容

このマニュアルには、 次の内容が含まれています。

• 概要

• インス トール

• リ リース情報

• 入門

a. デザイン作成

b. 固定小数点およびビッ ト操作

c. システム制御

d. マルチレート システム

e. メモ リの使用

f. フ ィルタの設計

g. その他の例とチュート リ アル

System Generator の PDF マニュアル セッ ト

このマニュアルは System Generator の Help システムから参照でき、 また System Generator のPDF マニュアル セッ トの一部です。 この PDF マニュアル セッ トには、次のマニュアルが含まれて

います。

• System Generator for DSP 入門ガイ ド

• System Generator for DSP ユーザー ガイ ド

• System Generator for DSP リ ファレンス ガイ ド

メモ : これらのマニュアル間のハイパーリ ンクは、PDF ファ イルが同じフォルダにある場合にのみ

機能します。 Adobe Reader でハイパーリ ンクをク リ ッ ク した場合、Alt キーと左方向キー (←) を同

時に押すと、 前に参照してたページに戻るこ とができます。

System Generator for DSP 入門ガイ ド japan.xilinx.com 7UG639 (v11.4) 2009 年 12 月 2 日

Page 8: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

このマニュアルについて

その他のリソース

追加の資料は、 次の Web サイ トから参照できます。

http://japan.xilinx.com/support/documentation/index.htm

シ リ コンやソフ ト ウェア、IP に関するアンサー データベースを検索したり、テクニカル サポートの

ウェブ ケースを開く場合は、 次の Web サイ トにアクセスして ください。

http://japan.xilinx.com/support/mysupport.htm

表記規則

このマニュアルでは、 次の表記規則を使用しています。 各規則について、 例を挙げて説明します。

書体

次の規則は、 すべてのマニュアルで使用されています。

表記規則 使用箇所 例

Courier フォン トシステムが表示する メ ッセージ、プロンプ ト、プログラム ファ イルを表示します。

speed grade: - 100

Courier フォン ト ( 太字 )

構文内で入力するコマンドを示します。

ngdbuild design_name

イタ リ ッ ク フォン トユーザーが値を入力する必要のある構文内の変数に使用します。

ngdbuild design_name

二重 / 一重かぎかっこ『 』、 「」

『 』 はマニュアル名を、「 」 はセクシ ョ ン名を示します。

詳細については、 『開発システムリ ファレンス ガイ ド』の「PAR」を参照して ください。

角かっこ [ ]

オプシ ョ ンの入力またはパラ メータを示しますが、 bus[7:0] のよう なバス仕様では必ず使用し ます。 また、 GUI 表記にも使用します。

ngdbuild [option_name] design_name

[File] → [Open] をク リ ッ ク します。

中かっこ { }1 つ以上の項目を選択するためのリ ス ト を示します。

lowpwr ={on|off}

縦棒 | 選択する リ ス トの項目を分離します。

lowpwr ={on|off}

縦の省略記号...

繰り返し項目が省略されていることを示します。

IOB #1: Name = QOUT’ IOB #2: Name = CLKIN’...

横の省略記号 . . . 繰り返し項目が省略されていることを示します。

allow block block_name loc1loc2 ... locn;

8 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.4) 2009 年 12 月 2 日

Page 9: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

表記規則

オンライン マニュアル

このマニュアルでは、 次の規則が使用されています。

表記規則 使用箇所 例

青色の文字マニュアル内の相互参照を示します。

詳細は、「その他のリ ソース」 を参照して ください。

詳細は、第 1 章 「タイ トル フォーマッ ト 」 を参照して ください。

赤色の文字ほかのマニュアルへの相互参照を示します。

詳細は、『Virtex-4 Platform FPGAユーザー ガイ ド』 の図 2-5 を参照して ください。

青色の下線付き文字Web サイ ト (URL) へのハイパーリ ンクです。

新のスピード ファ イルは、http://japan.xilinx.com から入手できます。

System Generator for DSP 入門ガイ ド japan.xilinx.com 9UG639 (v11.4) 2009 年 12 月 2 日

Page 10: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

このマニュアルについて

10 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.4) 2009 年 12 月 2 日

Page 11: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 1 章

概要

System Generator はザイ リ ンクスが提供する DSP デザイン ツールで、 FPGA デザインに

MathWorks モデルに基づくデザイン環境 Simulink® を使用できるよ うにしています。 SystemGenerator を使用する際、 ザイ リ ンクス FPGA または RTL デザイン設計の経験は必要あ り ません。

デザインは、 DSP 設計に適した Simulink モデル環境にザイ リ ンクス専用のブロ ッ クセッ ト を使用

して表示されます。 合成、配置配線などの FPGA インプ リ メンテーシ ョ ン プロセスは自動的に実行

され、 FPGA プログラム ファ イルが生成されます。

System Generator for DSP 入門ガイ ド japan.xilinx.com 11UG639 (v11.4) 2009 年 12 月 2 日

Page 12: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 1 章 : 概要

ザイリンクス DSP ブロックセッ ト

Simulink 用のザイリ ンク ス DSP ブロッ クセッ ト には、90 個以上の DSP 機能ブロッ クが含まれてい

ます。 加算器、 乗算器、 レジスタなどの一般的な DSP 機能ブロッ ク や、 順方向誤り 訂正ブロッ ク 、

FFT、フィ ルタ、メ モリ などの複雑な DSP 機能ブロッ クがあり ます。 これらのブロッ ク は、ザイリ ン

ク ス CORE Generator を使用しており 、選択したデバイス用に 適化されたデザインを生成します。

12 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.4) 2009 年 12 月 2 日

Page 13: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

FIR フ ィルタの生成

FIR フ ィルタの生成

System Generator には、 Virtex®-4 および Virtex-5 に含まれる専用 DSP48 ハードウェア リ ソース

を使用する FIR Compiler ブロ ッ クが含まれており、 高度に 適化された、 動作速度が 500MHz 以上のインプ リ メンテーシ ョ ンを作成できます。 コンフ ィギュレーシ ョ ン オプシ ョ ンによ り、直接型、

多相デシメーシ ョ ン、 多相補間、 オーバーサンプリ ング インプリ メンテーシ ョ ンを生成できます。

fir2 などの標準 MATLAB 関数や MathWorks 社の FDATool を使用する と、 ザイ リ ンクス FIRCompiler 用の係数を作成できます。

System Generator for DSP 入門ガイ ド japan.xilinx.com 13UG639 (v11.4) 2009 年 12 月 2 日

Page 14: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 1 章 : 概要

MATLAB のサポート

アルゴ リズム MATLAB モデルは、AccelDSP™ を使用して System Generator に組み込むこ とがで

きます。 AccelDSP には高度なアルゴ リズム合成が含まれており、入力された浮動小数点 MATLABから System Generator 用の完全にスケジュールされた固定小数点モデルを生成します。 浮動小数

点から固定小数点への変換、自動 IP 挿入、デザイン解析、アルゴ リズム スケジュールなどの機能が

あ り ます。 System Generator には MCode ブロ ッ ク も含まれており、 単純な制御操作のモデリ ング

およびインプ リ メンテーシ ョ ンに非アルゴ リズム MATLAB を使用できます。

14 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.4) 2009 年 12 月 2 日

Page 15: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

システム リソースの予測

システム リソースの予測

System Generator には Resource Estimator ブロ ッ クが含まれており、配置配線の前にデザインのエ

リ アを予測できます。 エリア予測によ り、 Virtex-5 デバイスに含まれる 640 個の乗算/累積 (または

DSP) ブロ ッ クなど、FPGA リ ソースを 大限に利用できるので、ハードウェアと ソフ ト ウェアの分

割プロセスに有益です。

System Generator for DSP 入門ガイ ド japan.xilinx.com 15UG639 (v11.4) 2009 年 12 月 2 日

Page 16: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 1 章 : 概要

ハードウェア協調シミ ュレーシ ョ ン

System Generator では、ハードウェア協調シ ミ ュレーシ ョ ンを使用した高速シ ミ ュレーシ ョ ンが提

供されています。 ザイ リ ンクス DSP ブロ ッ クセッ トで取り込まれたデザインのハード ウェア シミ ュレーシ ョ ン トークンが自動的に作成され、 この トークンをサポート される 20 以上のハード

ウェア プラ ッ ト フォームのいずれかで実行できます。 このハードウェアは、Simulink システムの残

りの部分と共に協調シ ミ ュレーシ ョ ンされ、 シ ミ ュレーシ ョ ン パフォーマンスは 大 1000 倍向上

します。

16 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.4) 2009 年 12 月 2 日

Page 17: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

システム統合プラッ ト フォーム

システム統合プラッ ト フォーム

System Generator は DSP FPGA の設計用にシステム統合プラ ッ ト フォームを提供しており、DSPシステムの RTL、Simulink、MATLAB、C/C++ コンポーネン ト を 1 つのシ ミ ュレーシ ョ ンおよび

インプ リ メ ンテーシ ョ ン環境で操作できます。 System Generator では、 Simulink に RTL をイン

ポート可能なブラ ッ クボッ クス ブロ ッ クがサポート されており、 ModelSim またはザイ リ ンクス

ISE® Simulator を使用して協調シ ミ ュレーシ ョ ンできます。 また、 C/C++ プログラムを実行する

MicroBlaze® エンベデッ ド プロセッサを含めるこ と も可能です。

System Generator for DSP 入門ガイ ド japan.xilinx.com 17UG639 (v11.4) 2009 年 12 月 2 日

Page 18: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 1 章 : 概要

18 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.4) 2009 年 12 月 2 日

Page 19: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 2 章

インストール

ダウンロード

System Generator は ISE® Design Suite に含まれており、 ザイ リ ンクスの Web サイ トからダ

ウンロードできます。 次のサイ トから購入、 登録、 ダウンロードできます。

http://japan.xilinx.com/tools/sysgen.htm

メモ : 場合によっては、CD での送付も可能です。 Web サイ トから ソフ ト ウェアをダウンロードでき

ない場合は、 ザイ リ ンクス販売代理店にご連絡ください。

ハードウェア協調シミ ュレーシ ョ ンのサポート

FPGA 開発ボードを使用する場合は、 Simulink® によるシ ミ ュレーシ ョ ンとの FPGA ハード

ウェア協調シ ミ ュレーシ ョ ン機能を利用できます。 System Generator では、 XtremeDSP™ 開発キッ ト、MicroBlaze™ マルチメディア デモ ボード、MVI ハード ウェア プラ ッ ト フォーム、

ML402 Virtex®-4 プラ ッ ト フォーム、ML506 Virtex-5 プラ ッ ト フォーム、および Spartan®-3ADSP 1800 スタータ プラ ッ ト フォーム/3400 開発プラ ッ ト フォームがサポート されています。

また、その他の System Generator ボード サポート パッケージでは、別のハード ウェア協調シ

ミ ュレーシ ョ ン プラ ッ ト フォームがサポート されています。 System Generator ボード サポー

ト パッケージは、 次のサイ トからダウンロードできます。

http://japan.xilinx.com/products/boards_kits/index.htm

システム要件および推奨事項

推奨ハードウェア

表 2-1 : Windows ベースの推奨ハードウェア

推奨 メモ

2.00GB の RAM

600MB のハード ディ スク容量 低必要条件

ザイ リ ンクス ハード ウェア協調シ ミ ュレー

シ ョ ン プラ ッ ト フォーム

ハードウェア協調シミ ュレーシ ョ ン フローに

必要

System Generator for DSP 入門ガイ ド japan.xilinx.com 19UG639 (v11.4) 2009 年 12 月 2 日

Page 20: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 2 章 : インストール

オペレーティング システム (OS) およびソフ トウェア要件

表 2-2 : Linux ベースの推奨ハードウェア

推奨 メモ

4 GB の RAM

600MB のハード ディ スク容量 低必要条件

ザイ リ ンクス ハード ウェア協調シ ミ ュレー

シ ョ ン プラ ッ ト フォーム

ハードウェア協調シミ ュレーシ ョ ン フローに

必要

表 2-3 : Windows ベースの OS およびソフ トウェア要件

必要条件 メモ

Windows XP 32 ビッ ト オペレーティング システム SP2 (英語版および日本語版)

ザイ リ ンクス ISE Design Suite 11.1 リ リース

MathWorks MATLAB®、 Simulink (Fixed-Point Toolbox 含む) バージ ョ ン 2008b および

2008b

MATLAB のインス トール ディ レク ト リのパ

ス名には、 C:\MATLAB\R2008b のよ うに、 ス

ペースを含まない名前を使用する必要があ り

ます。

53 ビッ ト よ り大きい信号には Fixed-PointToolbox が必要です。

表 2-4 : Linux ベースの OS およびソフ トウェア要件

必要条件 メモ

Red Hat Linux 4.7、 32 および 64 ビッ ト オペ

レーティング システム (英語版のみ)

ザイ リ ンクス ISE Design Suite 11.1 リ リース

MathWorks MATLAB、Simulink (Fixed-PointToolbox 含む) バージ ョ ン 2008b または

2008b

MATLAB のインス トール ディ レク ト リのパ

ス名には、 C:\MATLAB\R2008b のよ うに、 ス

ペースを含まない名前を使用する必要があ り

ます。

53 ビッ ト よ り大きい信号には Fixed-PointToolbox が必要です。

20 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.4) 2009 年 12 月 2 日

Page 21: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

ISE Design Suite インストーラの使用

ほかのツールとの互換性

System Generator は、 統合フローに含まれるその他のソフ ト ウェア ツールと共に動作するよ

うに設計されています。 System Generator は、 次のツールと互換性があ り ます。

ソフ トウェア要件

System Generator の一部の機能を動作させるには、次のソフ ト ウェアをインス トールする必要

があり ます。

• 論理合成ツール。 System Generator は、 ザイ リ ンクス XST (ISE Foundation に含まれる) およ

び Synopsys 社の Synplify Pro v8.9 と完全に互換性があ り ます。

• ハードウェア記述言語 (HDL) シ ミ ュレータ。 System Generator を使用して Simulink 内の

HDL モジュールの協調シ ミ ュレーシ ョ ンを実行する場合にのみ必要です。 System Generatorの HDL 協調シ ミ ュレーシ ョ ンのインターフェイスは、 ザイ リ ンクス ISE Simulator および

Mentor Graphics 社の ModelSim SE と互換性があ り ます。

メモ : Microsoft Windows 環境変数 $XILINX に ISE のインス トール ディ レク ト リ を指定する

必要があ り ます。

ISE サービス パッ クは、 次のダウンロード センタのページからダウンロードできます。

http://japan.xilinx.com/xlnx/xil_sw_updates_home.jsp

ISE Design Suite インストーラの使用

ISE Design Suite インス トーラを実行する前に、 MATLAB のすべてのインスタンスを閉じて

ください。 これらのインスタンスを閉じたらインス トーラを起動して、画面に表示される指示

に従って ください。

Windows OS にインストールする場合の MATLAB バージ ョ ンの選択

Windows での System Generator のインス トールの 後の手順で、 このバージ ョ ンの SystemGenerator と関連付ける MATLAB バージ ョ ンのチェッ ク ボッ クスをオンにし、 [Apply] をク

リ ッ ク します。

ネッ ト ワーク デバイスにインス トールされているなどの理由で、有効な MATLAB バージ ョ ン

がリ ス ト されていない場合は、 [Add Version] ボタンをク リ ッ ク し、 MATLAB のルート ディ

レク ト リ を選択して [Add] をク リ ッ ク します。 このバージ ョ ンの MATLAB を SystemGenerator と関連付ける場合は、追加した MATLAB のバージ ョ ンのチェッ ク ボッ クスをオン

にし、 [Apply] をク リ ッ ク します。

MATLAB がインス トールされていない場合は、 [Choose Later] をク リ ッ ク してインス トール

を続行します。 MATLAB をインス トールした後に MATLAB のバージ ョ ンと SystemGenerator を関連付けるこ とができます。 この場合は、Windows で [スタート ] → [すべてのプ

ログラム] → [Xilinx ISE Design Suite 11.1] → [DSP Tools] → [Select MATLAB version for

表 2-5 : ほかのツールとの互換性

ツール バージ ョ ン

Mentor Graphics 社 ModelSim SE および PE 6.4b

Synplicity 社 Synplify Pro 8.9

System Generator for DSP 入門ガイ ド japan.xilinx.com 21UG639 (v11.4) 2009 年 12 月 2 日

Page 22: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 2 章 : インストール

Xilinx System Generator] をク リ ッ クするか、 または Lunux で sysgen ツ リーの bin ディレク

ト リに含まれている sg_config コマンドを実行します。

Linux OS への System Generator のインストール

1. 32 ビッ ト または 63 ビッ ト Linux マシンで xsetup を実行します。 プロンプ トに 「xsetup」 を入

力して、 次のインス トール手順に従います。

メモ : DSP Tools インスト ーラでは、OS タイプに一致するコード のみがインスト ールされます。

- 32 ビッ ト Windows マシンでは、 win32 インス トールのみがインス トールされます。

- 32 ビッ ト Linux マシンでは、 lin32 インス トールのみがインス トールされます。

- 64 ビッ ト Linux マシンでは、 lin64 インス トールのみがインス トールされます。

Linux 用のインス トール ファ イル数は Windows 用と比べて少ないため、 プロセス時間は多少

短くなり ます。

a. [Next] をク リ ッ ク してインス トールを開始します。

b. 次の 2 ページでソフ ト ウェア ライセンスを承諾して [Next] をク リ ッ ク します。

c. ISE Design Tools および DSP Tools のインス トール ディレク ト リ を選択します。

22 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.4) 2009 年 12 月 2 日

Page 23: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

Linux OS への System Generator のインストール

d. [DSP Tools] をオンにして [Next] をク リ ッ ク します。

System Generator for DSP 入門ガイ ド japan.xilinx.com 23UG639 (v11.4) 2009 年 12 月 2 日

Page 24: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 2 章 : インストール

e. 次の 3 つの [Installation Options] ページで任意にオプシ ョ ンを選択します。

24 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.4) 2009 年 12 月 2 日

Page 25: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

Linux OS への System Generator のインストール

メモ : 環境設定の画面では、 System Generator ラ イブラ リおよび ISE ラ イブラ リのみの

LD_LIBRARY_PATH を設定できます。 その他のザイ リ ンクス ツールのライブラ リ パス

は後でこの LD_LIBRARY_PATH に追加できます。

PATH には $XILINX_DSP/common/bin を含める必要があ り ます。このディ レク ト リには

MATLAB で System Generator を起動するための sysgen スク リプ トが含まれています。

- [Installation Options] の画面には、 インス トール後のスク リプ ト を実行しないオプ

シ ョ ンが表示されません。 デフォルトはオンです。

- MATLAB Configuration GUI は起動されません。 Linux のみのインス トール中は

ディ スエーブルにされます。

- sysgen_startup.m スク リプ トが作成され、 $XILINX_DSP/sysgen/util ディ レク ト リに

保存されます。 このスク リプ トでは、 MATLAB セッシ ョ ンに直接 System Generatorが関連付けられるので、 MATLAB インス トールを変更する必要があ り ません。

f. [Options Summary] ページですべての設定オプシ ョ ンを確認してから [Install] をク リ ッ ク

します。

メモ : 「Successful completion of post installation.」 および 「installation complete」 とい

う メ ッセージを含むダイアログ ボッ クスが表示されます。

g. [Acquire or manage License Key] オプシ ョ ンを選択した場合は、 [Xilinx LicenseConfiguration Manager] ダイアログ ボッ クスが表示されます。 ラインセンスを設定して

[OK] をク リ ッ ク します。

System Generator for DSP 入門ガイ ド japan.xilinx.com 25UG639 (v11.4) 2009 年 12 月 2 日

Page 26: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 2 章 : インストール

メモ : これで、「Install has complete」 とい う メ ッセージを示すダイアログ ボッ クスが表示

されます。

2. 次のディ レク ト リ /ファ イルは、 Linux の DSP_Tools インス トール ディ レク ト リに含まれてい

ます。 こ こでは、 Windows でのインス トール ディ レク ト リは示しません。

<install_dir>/DSP_Tools/<OS>/install_logfiles/postinstall_<OS>.log<install_dir>/DSP_Tools/<OS>/sysgen/util/sysgen_startup.m<install_dir>/DSP_Tools/<OS>/common/bin/sysgen

3. インス トール後に System Generator によ り <install_dir>/11.1/DSP_Tools ディ レク ト リに生成

される settings32.csh および settings32.sh (32 ビッ ト Linux マシンの場合) または

settings64.csh および settings64.sh (64 ビッ トの Linux マシンの場合) シェル スク リプ ト を編

集し、$PATH 環境変数に XILINXD_LICENSE_FILE、MATLAB、およびサードパーティ ツー

ルを含めます。

settings32.csh または settings64.csh

26 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.4) 2009 年 12 月 2 日

Page 27: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

Linux OS への System Generator のインストール

settings32.sh または settings64.sh

ユニファイ ド インス トーラでは、 2 つのレベルの settings32[64].csh および settings32[64].shシェル スク リプ トが生成されます。1 つは共通スク リプ トで、も う 1 つは各アプリ ケーシ ョ ン

用のスク リプ トです。 インス トール ディ レク ト リはユーザーによっては異なる可能性があ り

ますが、 ディ レク ト リ構造はデフォルトで次のよ うな構造になっています。

<install_dir>/Xilinx/11.1/ISE<install_dir>/Xilinx/11.1/DSP_Tools

次のディ レク ト リにスク リプ トが含まれています。共通スク リプ トのディ レク ト リ を次に示し

ます。

<install_dir>/Xilinx/11.1

次のディ レク ト リに含まれる settings32[64].csh[sh] ファ イルをソースにします。

<install_dir>/Xilinx/11.1

シェル コマンド ラインに 「source settings32[64].csh[sh]」 と入力します。

メモ : このスク リプ トによ り、ユニファイ ド インス トール中にインス トールを選択したす

べてのザイ リ ンクス ツールがソースされます。

4. Linux ターミナル ウ ィンド ウ プロンプ トで 「which sysgen」 と入力して、 $PATH 環境変数に

System Generator が正し く含まれているこ とを確認します。 次のパスが表示されます。

< install_dir >/Xilinx/11.1/DSP_Tools/<OS>/common/bin/sysgen

5. 「sysgen」 と入力する と、 System Generator を起動できます。

メモ : MATLAB が起動され、 その MATLAB セッシ ョ ンに System Generator が直接追

加されます。 MATLAB コマン ド ウ ィ ン ド ウの上部に、 「Installed System Generatordynamically」 とい う メ ッセージが表示されます。 これで、 System Generator を実行でき

ます。

次に、 特定の状況で表示される メ ッセージの一部を示します。 この状況をデバッグするのに役

立つ 4 つの関数も示します。

a. このスク リプ トの実行時に System Generator が既にインス トールされている場合、次のエ

ラー メ ッセージが表示されます。

System Generator currently found installed into matlab default path.

b. 次の 4 つの関数を使用する と、XML ファ イルを検索、検証、読み出し、またはテス トでき

ます。

xl_get_matlab_support_xmlfile

この関数では、 System Generator に含まれる MATLAB サポート を判断するための共通

XML ファ イルのディ レク ト リが読み出されます。

System Generator for DSP 入門ガイ ド japan.xilinx.com 27UG639 (v11.4) 2009 年 12 月 2 日

Page 28: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 2 章 : インストール

xl_verify_matlab_support_xmlfile

この関数では、 XML ファ イルが存在して読み出し可能であるこ とが検証されます。 XMLファ イルが見つからない場合は、 MATLAB のコンソールに次のエラー メ ッセージが表示

されます。

Could not find ml_supported.xml to determine supported versions of

MATLAB with System Generator.

XML ファ イルを読み出すこ とができない場合は、 MATLAB のコンソールに次のエラー

メ ッセージが表示されます。

Could not read ml_supported.xml to determine supported versions of

MATLAB with System Generator

xl_read_matlab_support_xmlfile

この関数では、MATLAB バージョ ン情報の取得のために XML ファ イルを読み出して解析

し、 sysgen_startup.m スク リ プト で使用されるエラー /警告メ ッ セージを提供します。

xl_test_matlab_support_xmlfile

この関数では、 現在インス トールされている MATLAB セッシ ョ ンをテス ト して、 サポー

ト されているバージ ョ ン と比較します。 この比較結果に基づいてエラーまたは警告メ ッ

セージが表示されます。 XML ファ イルに情報が含まれていない場合は、MATLAB のコン

ソールに次のエラー メ ッセージが表示されます。

Matlab support table used by System Generator is empty!

XML ファ イルの情報が予期するフォーマッ トに従っていない場合、MATLAB のコンソー

ルに次のエラーが表示されます。

Input matlab support table is not well formed. It should have only

2 columns!

古すぎてサポート されていないバージ ョ ンの MATLAB を使用している場合は、 次のエ

ラー メ ッセージが表示されます。

System Generator will not properly function under this version of

MATLAB!

Error occurred while attempting to install System Generator into

MATLAB path.

新しすぎるバージ ョ ンの MATLAB を使用している場合は、次のエラー メ ッセージが表示

されます。

System Generator may not properly function under this version of

MATLAB!

Installed System Generator dynamically.

28 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.4) 2009 年 12 月 2 日

Page 29: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

インストール後のタスク

インストール後のタスク

ハードウェア協調シミ ュレーシ ョ ン用のインストール

次に、ハード ウェア協調シ ミ ュレーシ ョ ン用のハード ウェアおよびソフ ト ウェアのインス トー

ル手順へのリ ンクを示します。 ハード ウェア協調シ ミ ュレーシ ョ ンを使用しない場合は、 これ

らの手順は必要はあ り ません。

イーサネッ ト ベースのハードウェア協調シミ ュレーシ ョ ン

イーサネッ ト ハード ウェア協調シ ミ ュレーシ ョ ン用の ML402 プラ ッ ト フォームのインス トール

イーサネッ ト ハード ウェア協調シ ミ ュレーシ ョ ン用の ML506 プラ ッ ト フォームのインス トール

イーサネッ ト ハード ウェア協調シ ミ ュレーシ ョ ン用の ML605 プラ ッ ト フォームのインス トール

イーサネッ ト ハードウェア協調シ ミ ュレーシ ョ ン用の Spartan-3A DSP 1800A スタータ プラ ッ ト

フォームのインス トール

イーサネッ ト ハードウェア協調シミ ュレーシ ョ ン用の Spartan-3A DSP 3400A 開発プラ ッ ト

フォームのインス トール

メモ : 上記のプラ ッ ト フォーム以外のインス トール手順は、ご使用のプラ ッ ト フォーム キッ トに付

属のインス トール ガイ ドを参照して ください。

プロキシ実行ファイルのインストール (Linux ユーザー用)

Linux マシンでハードウェア協調シ ミ ュレーシ ョ ンを実行するには、まずプロキシ実行ファイ

ルをインス トールするシェル スク リプ ト を実行する必要があり ます。 次の手順に従います。

1. Linux マシンでルート アカウン トにログインします。

2. System Generator のインス トール ディ レク ト リに含まれている bin ディ レク ト リに移動しま

す。 たとえば、 次のよ うに指定します。

cd $XILINX_DSP/sysgen/bin

3. シェル スク リプ ト install_pcap_proxy.sh を実行します。 たとえば、シェル コマンドに次を入力

します。

./install_pcap_proxy.sh

JTAG ベースのハードウェア協調シミ ュレーシ ョ ン

JTAG ハード ウェア協調シ ミ ュレーシ ョ ン用の ML402 プラ ッ ト フォームの インス トール

JTAG ハード ウェア協調シ ミ ュレーシ ョ ン用の ML605 プラ ッ ト フォームの インス トール

JTAG ハード ウェア協調シ ミ ュレーシ ョ ン用の SP605 プラ ッ ト フォームの インス トール

サードバーティ ハードウェア協調シミ ュレーシ ョ ン

ザイ リ ンクスでは、XtremeDSP™ ソ リ ューシ ョ ンの一環と して、多数の代理店および OEM と協力して、 さまざまな DSP プロ ト タイプの作成およびプラ ッ ト フォームの開発を行っていま

す。 使用可能なプラ ッ ト フォームの詳細は、ザイ リ ンクス Web サイ トの次のページを参照して

ください。

http://japan.xilinx.com/products/boards_kits/index.htm

System Generator for DSP 入門ガイ ド japan.xilinx.com 29UG639 (v11.4) 2009 年 12 月 2 日

Page 30: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 2 章 : インストール

ザイリンクス HDL ライブラリのコンパイル

System Generator デザインを ModelSim を使用してシ ミ ュレーシ ョ ンする場合は、 IP (コア)ラ イブラ リ をコンパイルする必要があ り ます。 このセクシ ョ ンでは、 この手順を説明します。

ModelSim SE

ModelSim SE で使用するライブラ リ をコンパイルするには、 Compxlib とい うザイ リ ンクス

ツールを使用します。 たとえば、 ModelSim SE で使用する VHDL および Verilog ラ イブラ リ

をすべてコンパイルするには、 次のコマンドを使用します。

compxlib -s mti_se -s all -l all

Compxlib の実行方法は、 『コマンド ラ イン ツール ユーザー ガイ ド』 を参照してください。

System Generator キャッシュの設定

System Generator のシ ミ ュレータおよびデザイン ジェネレータでは、 デザイン プロセスを繰

り返し実行する場合に時間を短縮するため、ディ スク キャ ッシュが使用されます。 シ ミ ュレー

シ ョ ンおよび生成に関連するファイルにタグを付けて保存し、シ ミ ュレーシ ョ ンまたは生成を

次に実行する と きに、 これらのファイルを再生成するのではなく、キャ ッシュにあるファ イル

を呼び出すこ とによ り、 処理時間を短縮します。

サイズ

デフォルトでは、キャ ッシュでファイルを保存するのに 500MB までのディ スク容量が使用さ

れます。 キャ ッシュで使用するディ スク容量を指定するには、 SYSGEN_CACHE_SIZE 環境

変数を使用するキャ ッシュのサイズ (MB) に設定します。 複数個の大型デザインを設計してい

る場合は、 デフォルト よ り大きな値を設定してください。

エン ト リ数

キャ ッシュ エン ト リ データベースには、決まった数のエン ト リが保存されます。 デフォルトで

は、 20,000 個のエン ト リが保存されます。 キャ ッシュ エン ト リ データベースのエン ト リ数を

指定するには、 SYSGEN_CACHE_ENTRIES 環境変数を設定します。 エン ト リ数を小さ く し

すぎる と、 キャ ッシュのパフォーマンスが低下する可能性があ り ます。 複数個の大型デザイン

を設計している場合は、 デフォルト よ り大きな値を設定してください。

xlCache 関数を使用する と、 System Generator で使用されるさまざまなキャ ッシュのプロパ

ティを管理できます。 この関数の詳細は、「System Generator ユーティ リ ティ」 を参照して くださ

い。

System Generator のバージ ョ ンの表示と切り替え

複数のバージ ョ ンの System Generator をインス トールできます。 MATLAB コマンド

xlVersion を使用する と インス トールされているバージ ョ ンが表示され、 バージ ョ ンを切り替

えるこ とができます。 xlVersion は、モデルを 新版の System Generator 用にアップグレード

する場合に便利です。

MATLAB コンソールに「xlVersion」と入力する と、インス トールされている System Generatorのバージ ョ ンが表示され、「xlVersion <version>」 と入力する と指定したバージ ョ ンに切り替わ

り ます。 たとえば、バージ ョ ン 9.2.01 と 11.1 がインス トールされていて、現在選択されている

バージ ョ ンが 11.1 である場合、 「xlVersion」 と入力する と次の内容が表示されます。

30 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.4) 2009 年 12 月 2 日

Page 31: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

インストール後のタスク

Available System Generator installations: Version 9.2.01 in C:/Xilinx/9.2.01/DSP_Tools/sysgen Version 11.1 in C:/Xilinx/11.1/DSP_Tools/sysgen

Current version of System Generator is 11.1.

「xlVersion 9.2.01」と入力する と、System Generator のバージ ョ ンが 9.2.01 に切り替わり ます。

バージ ョ ンを切り替えるのに、MATLAB を再起動する必要がある場合があ り ます。 この場合、

「xlVersion 11.1」 と入力する と、 表示は次のよ うに表示されます。

Please restart MATLAB and run xlVersion 11.1 again to switch.

切り替えが正常に実行される と、 次のよ うに表示されます。

Your System Generator has been switched. Please restart MATLAB.

System Generator 9.2.01 をインス トールした後に 11.1 をインス トールした場合、xlVersion を機能させるには 11.1 を再インス トールする必要があ り ます。

System Generator のバージ ョ ンを切り替えたら、ISE も対応するバージ ョ ンに切り替える必要

があり ます。

System Generator for DSP 入門ガイ ド japan.xilinx.com 31UG639 (v11.4) 2009 年 12 月 2 日

Page 32: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 2 章 : インストール

32 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.4) 2009 年 12 月 2 日

Page 33: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 3 章

リ リース情報

リ リース ノート 11.4

System Generator の改善点

新規デバイスのサポート

• Spartan®-6 低消費電力

• Spartan-6 XA

新規プラッ ト フォームのサポート

System Generator では、 Virtex®-6 ML605 でのイーサネッ ト ハードウェア協調シ ミ ュレー

シ ョ ンがサポート されるよ うにな り ました。

ザイリンクス ブロックセッ トの改善点

新規ブロック

DSP48 Macro 2.0

次の機能を備えた新しいブロ ッ クを使用できます。

• DSP48 Macro ブロ ッ クは、 System Generator の基本ブロ ッ クになる代わりに下位の

LogiCORE でサポート されるよ うになり ました。

• 77 個の opcode 命令を追加して命令機能を拡張 (既存 72 個 + 77 個 = 149 個)

• Opmode、M Reg、P Reg 段を追加してパイプライン機能を拡張。 このマク ロでは 3 つのレイテ

ンシ モード (Automatic、By Tier、および Expert) がサポート されています。 Automatic および

By Tier は均等なレイテンシ モデルで、 Automatic では完全パイプライン モデルが提供される

のに対して、 By Tier では精密な制御を実行できます。

• リセッ ト ポート と イネーブル ポート : DSP Macro v2.0 ではグローバル sclr (SystemGenerator の rst) および ce (System Generator の en) が提供され、XtremeDSP スライスに含ま

れる多種レジスタのイネーブル ポートおよびリセッ ト ポートへのアクセスは提供されていま

せん。

• Virtex-6、Spartan-6、および Spartan-3A DSP ファ ミ リの前置加算器のサポート (前置加算器 Dポート を含む)

• 多種の丸め機能のサポート

• 大 64 個の命令のサポート (以前の System Generator ブロッ クでは 8 個のサポート )

System Generator for DSP 入門ガイ ド japan.xilinx.com 33UG639 (v11.4) 2009 年 12 月 2 日

Page 34: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 3 章 : リ リース情報

既存ブロックのアップデート

次のブロッ クは、 次に示す機能でアップデート されています。

Complex Multiplier 3.1

• Spartan-6L および Spartan-6 XA FPGA のサポートの追加

• レイテンシを設定するオプシ ョ ンの追加

メモ : このブロ ッ クは、 Complex Multiplier 3.0 ブロ ッ クに置き換わるものです。

Convolution Encoder 7.0

• Virtex-6 および Spartan-6 FPGA のサポートの追加

• パンクチャ リ ング機能を含む、 下位 LogiCORE に含まれるすべての機能に対するサポート を

追加

メ モ : このブロ ッ クは、 Convolutional Encoder v6_1 ブロ ッ ク に置き換わる ものです。

Convolution Encoder 7.0 ブロ ッ クでは、 下位 LogiCORE で使用可能なカスタマイズおよび

ポート インターフェイスが提供されています。 aclr 入力ポートは削除されました。

Interleave Deinterleaver 5.1

• Spartan-3A DSP のサポートの追加

メ モ : このブロ ッ クは、 Interleaver Deinterleaver v5_0 ブロ ッ ク に置き換わる ものです。

Interleaver Deinterleaver 5.1 ブロ ッ クでは、下位 LogiCORE で使用可能なカスタマイズおよび

ポート インターフェイスが提供されています。

Reed-Solomon Decoder 7.0

• Virtex-6 および Spartan-6 FPGA のサポートの追加

• エリア 適化は、 Virtex-5 ファ ミ リでのみ選択可能になり ました。

• チェッ ク シンボルの 大数を 128 から 256 に増加

メモ : このブロ ッ クは、 Reed-Solomon Decoder 6.1 ブロ ッ クに置き換わる ものです。 Reed-Solomon Decoder 7.0 ブロ ッ クでは、下位 LogiCORE で使用可能なカスタマイズおよびポート

インターフェイスが提供されています。 aclr 入力ポートは削除されました。 非同期リセッ ト入

力 (reset) は削除されました。

Reed-Solomon Encoder 7.0

• Virtex-6 および Spartan-6 FPGA のサポートの追加

メモ : このブロ ッ クは、 Reed-Solomon Decoder 6.1 ブロ ッ クに置き換わる ものです。 Reed-Solomon Decoder 7.0 ブロ ッ クでは、下位 LogiCORE で使用可能なカスタマイズおよびポート

インターフェイスが提供されています。 aclr 入力ポートは削除されました。 非同期リセッ ト入

力 (reset) は削除されました。

Viterbi Decoder 7.0

• Virtex-6 および Spartan-6 FPGA のサポートの追加

• aclr ポートは下位 LogiCORE でサポート されていないために削除されました。

• スピード オプシ ョ ンが削除されました。

メモ : このブロ ッ クは、Viterbi Decoder v6_1 ブロ ッ クに置き換わるものです。 Viterbi Decoder7 ブロ ッ クでは、 下位 LogiCORE で使用可能なカスタマイズおよびポート インターフェイス

が提供されています。 aclr 入力ポートおよびスピードオプシ ョ ンは削除されました。

34 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.4) 2009 年 12 月 2 日

Page 35: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

リ リース ノート 11.4

システム要件および推奨事項

推奨ハードウェア

オペレーテ ィ ング システム (OS) およびソフ トウェア要件

推奨 メモ

2.00GB の RAM

600MB のハード ディ スク容量 低必要条件

ザイ リ ンクス ハード ウェア協調シ ミ ュレーシ ョ

ン プラ ッ ト フォーム

ハード ウェア協調シ ミ ュレーシ ョ ン フローに

必要

表 3-1 :

Windows に関連する要件 メモ

Windows XP Professional 32 ビッ ト /64 ビッ ト

オペレーティング システム SP2 (英語版および

日本語版)

System Generator for DSP を含む 32 ビッ ト

Windows 版の ISE® Design Suite 11 は、 64ビッ ト オペレーティング システムでサポー

ト されています。

Windows Vista Business 32 ビッ ト /64 ビッ ト オペレーティング システム SP2 (英語版および

日本語版)

System Generator for DSP を含む 32 ビッ ト

Windows 版の ISE Design Suite 11 は、 64ビッ ト オペレーティング システムでサポー

ト されています。

ザイ リ ンクス ISE Design Suite 11.4

MathWorks MATLAB® バージ ョ ン 2009a また

は 2008bMATLAB のインス トール ディ レク ト リのパ

ス名には、C:\MATLAB\R2009a のよ うに、ス

ペースを含まない名前を使用する必要があ り

ます。

53 ビッ ト よ り大きい信号には Fixed-PointToolbox が必要です。

MathWorks Simulink (Fixed-Point Toolbox 含む)バージ ョ ン 2009a または 2009b

MATLAB のインス トール ディ レク ト リのパ

ス名には、C:\MATLAB\R2009a のよ うに、ス

ペースを含まない名前を使用する必要があ り

ます。

53 ビッ ト よ り大きい信号には Fixed-PointToolbox が必要です。

System Generator for DSP 入門ガイ ド japan.xilinx.com 35UG639 (v11.4) 2009 年 12 月 2 日

Page 36: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 3 章 : リ リース情報

既知の問題

System Generator の既知の問題は、 次のザイ リ ンクス Web サイ トから参照できます。

http://japan.xilinx.com/support/answers/29595.htm

表 3-2 :

Linux に関連する要件 メモ

Red Hat Enterprise Linux WS v4.7、32 ビッ ト /64ビッ ト オペレーティング システム (英語版のみ)

Red Hat Linux 5.2、32 および 64 ビッ ト オペレー

ティング システム (英語版のみ)

SUSE Linux Enterprise v10.1、 32 /64 ビッ ト オペレーティング システム (英語版のみ)

ザイ リ ンクス ISE Design Suite 11.4

MathWorks MATLAB バージ ョ ン 2009a または

2009bMATLAB のインス トール ディ レク ト リのパ

ス名には、C:\MATLAB\R2009a のよ うに、ス

ペースを含まない名前を使用する必要があ

り ます。

53 ビッ ト よ り大きい信号には Fixed-PointToolbox が必要です。

MathWorks MATLAB、 Simulink (Fixed-PointToolbox 含む) バージ ョ ン 2009a または 2009b

MATLAB のインス トール ディ レク ト リのパ

ス名には、C:\MATLAB\R2009a のよ うに、ス

ペースを含まない名前を使用する必要があ

り ます。

53 ビッ ト よ り大きい信号には Fixed-PointToolbox が必要です。

36 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.4) 2009 年 12 月 2 日

Page 37: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

リ リース ノート 11.3

リ リース ノート 11.3

System Generator の改善点

新規デバイスのサポート

• Virtex-6 低消費電力 (Virtex-6 -1L)

• Virtex-6 HXT

• Virtex-5Q

新規プラッ ト フォームのサポート

System Generator では、 Spartan-6 SP605 での JTAG ベースのハードウェア協調シ ミ ュレー

シ ョ ンがサポート されるよ うにな り ました。

サポート される OS次の OS の完全サポートが追加されました。

• Windows Vista Business 32 ビッ ト (英語版)

• Red Hat Enterprise Desktop 5.2、 32 および 64 ビッ ト

• SUSE Linux Enterprise 10、 32 ビッ ト /64 ビッ ト

ザイリンクス ブロックセッ トの改善点

新規ブロック

CIC Compiler 1.3

次の機能を備えた新しいブロ ッ クを使用できます。

• Supports Virtex-6 および Spartan-6 FPGA デバイス

• 複数チャネル ソンディングのインプ リ メンテーシ ョ ンに入力および出力ス ト リーミ ング イン

ターフェイスを追加

• ハードウェア オーバーサンプリ ング仕様をサンプル周期と して指定するための機避を追加

• オーバー サンプリ ング係数を利用して リ ソースの使用率を 適化する機避を追加

• [Sample Period] がフォーマッ トに選択されたと きのみ nd (new Data) 入力ポート を配置

メモ : このブロ ッ クは、 C I CIC Compiler 1.2 ブロ ッ クに置き換わるものです。 RATE_WE 信号は

コアへのリセッ ト と しては使用されなくなり ました。 コアは次の入力サンプル (シングル チャネル

インプ リ メンテーシ ョ ン) または 初のチャネルへの次の入力 (複数チャネル インプ リ メンテー

シ ョ ン) で新しいレートにアップデート されます。

詳細は、 「CIC Compiler 1.3」 を参照してください。

DDS Compiler 4.0

次の機能を備えた新しいブロ ッ クを使用できます。

• ブロ ッ クを Phase Generator または SIN/COS LUT のみと して使用するオプシ ョ ンを新し く追

加。 この機能によ り、Direct Digital Synthesizer を各アプリケーシ ョ ンの必要性に合わせてカス

タマイズして構築できます。

• SFDR (Spurious Free Dynamic Range) を 120dB から 150 dB に増加

System Generator for DSP 入門ガイ ド japan.xilinx.com 37UG639 (v11.4) 2009 年 12 月 2 日

Page 38: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 3 章 : リ リース情報

• システム レベルのパラ メータ (SFDR、周波数解像度) またはハード ウェア パラ メータ (位相お

よび出力幅) を使用した DDS をコンフ ィギュレーシ ョ ンするオプシ ョ ン

• 位相増分および位相オフセッ ト を定数、 プログラマブル、 またはダイナミ ッ ク と してコンフ ィ

ギュレーシ ョ ンするオプシ ョ ン

メモ : このブロ ッ クは、DDS Compiler 3.0 ブロ ッ クに置き換わるものです。 DDS Compiler 4.0 は、

前のバージ ョ ンに対してビッ ト精度が高くあ り ません。 また、位相オフセッ トのレイテンシは、ス ト

リーミ ング モードで使用しやすいよ うに、 位相増分のレイテンシに合わせて調整されます。 この変

更は、 既存の [Programmable] モードおよび [Fixed] モードにも適用されます。

詳細は、 「DDS Compiler 4.0」 を参照してください。

既存ブロックのアップデート

• MULT、 CMULT : LUT のインプ リ メンテーシ ョ ンにスピード 適化およびエリア 適化を利

用する Multiplier LogiCORE v11.2 を使用

• Upsample ブロ ッ ク : 高速クロ ッ ク ド メ インと低速クロ ッ ク ド メ インを分離するこ とでタイ ミ

ング クロージャを補助する新しいレイテンシ パターンを追加。 同じレイテンシ遅延が

Upsample ブロ ッ クの入力 (つま りは低速クロ ッ ク ド メ イン) に追加されます。

• 次のブロ ッ クは Virtex-6 低消費電力 (ブロ ッ クの機能自体の変更なし ) および Virtex-5Q デバ

イスをサポートするためにアップグレード されました。

♦ ROM、 シングル ポート RAM、 デュアル ポート RAM、 共有メモ リで Block MemoryGenerator v3.3 LogiCORE が使用されるよ うにな り ました。

♦ ROM、 シングル ポート RAM、 デュアル ポート RAM で Distributed Memory Generatorv4.2 LogiCORE が使用されるよ うにな り ました

♦ FIFO、 From FIFO、ToFIFO で FIFO Generator v5.3 LogiCORE が使用されるよ うになり

ました。

Virtex-6 低消費電力および Virtex-5Q デバイスをサポートするその他のブロ ッ クの詳細は、

「ザイ リ ンクス LogiCORE バージ ョ ン」 を参照してください。

使用できな く なった System Generator の機能

FSL (高速シンプレックス リンク) のサポート

11.3 リ リースよ り EDK プロセッサ ブロ ッ ク上の FSL バスに対する System Generator サポー

トが中止されました。 ISE Design Suite 11 では FSL を続行して使用はできますが、ISE DesignSuite 12 からは含まれなくなる予定です。

システム要件および推奨事項

推奨ハードウェア

推奨 メモ

2.00GB の RAM

600MB のハード ディ スク容量 低必要条件

ザイ リ ンクス ハード ウェア協調シ ミ ュレーシ ョ

ン プラ ッ ト フォーム

ハード ウェア協調シ ミ ュレーシ ョ ン フロー

に必要

38 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.4) 2009 年 12 月 2 日

Page 39: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

リ リース ノート 11.3

オペレーテ ィ ング システム (OS) およびソフ トウェア要件

表 3-3 :

Windows に関連する要件 メモ

Windows XP Professional 32 ビッ ト /64 ビッ ト

オペレーティング システム SP2 (英語版および

日本語版)

System Generator for DSP を含む 32 ビッ ト

Windows 版の ISE Design Suite 11 は、 64ビッ ト オペレーティング システムでサポー

ト されています。

Windows Vista Business 32 ビッ ト /64 ビッ ト オペレーティング システム SP2 (英語版および

日本語版)

System Generator for DSP を含む 32 ビッ ト

Windows 版の ISE Design Suite 11 は、 64ビッ ト オペレーティング システムでサポー

ト されています。

ザイ リ ンクス ISE Design Suite 11.4

MathWorks MATLAB バージ ョ ン 2008b または

2009aMATLAB のインス トール ディレク ト リの

パス名には、C:\MATLAB\R2009a のよ うに、

スペースを含まない名前を使用する必要が

あ り ます。

53 ビッ ト よ り大きい信号には Fixed-PointToolbox が必要です。

MathWorks Simulink (Fixed-Point Toolbox 含む)バージ ョ ン 2009b または 2009a

MATLAB のインス トール ディレク ト リの

パス名には、C:\MATLAB\R2009a のよ うに、

スペースを含まない名前を使用する必要が

あ り ます。

53 ビッ ト よ り大きい信号には Fixed-PointToolbox が必要です。

System Generator for DSP 入門ガイ ド japan.xilinx.com 39UG639 (v11.4) 2009 年 12 月 2 日

Page 40: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 3 章 : リ リース情報

既知の問題

System Generator の既知の問題は、 次のザイ リ ンクス Web サイ トから参照できます。

http://japan.xilinx.com/support/answers/29595.htm

表 3-4 :

Linux に関連する要件 メモ

Red Hat Enterprise Linux WS v4.7、32 ビッ ト /64ビッ ト オペレーティング システム (英語版のみ)

Red Hat Linux 5.2、 32 および 64 ビッ ト オペレーティング システム (英語版のみ)

SUSE Linux Enterprise v10.1、 32 /64 ビッ ト オペレーティング システム (英語版のみ)

ザイ リ ンクス ISE Design Suite 11.4

MathWorks MATLAB バージ ョ ン 2008b または

2009aMATLAB のインス トール ディ レク ト リの

パス名には、C:\MATLAB\R2009a のよ うに、

スペースを含まない名前を使用する必要が

あ り ます。

53 ビッ ト よ り大きい信号には Fixed-PointToolbox が必要です。

MathWorks MATLAB、 Simulink (Fixed-PointToolbox 含む) バージ ョ ン 2009b または 2009a

MATLAB のインス トール ディ レク ト リの

パス名には、C:\MATLAB\R2009a のよ うに、

スペースを含まない名前を使用する必要が

あ り ます。

53 ビッ ト よ り大きい信号には Fixed-PointToolbox が必要です。

40 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.4) 2009 年 12 月 2 日

Page 41: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

リ リース ノート 11.2

リ リース ノート 11.2

System Generator の改善点

Virtex-6 および Spartan-6 ファ ミ リに対する包括的な DSP デザイン プラッ トフォームのサポート

• ザイ リ ンクス ブロッ クセッ トのアップデート。 次が主なアップデートです。

♦ DSP48 マクロ、 ChipScope Pro、 PicoBlase マイク ロコン ト ローラ

♦ Shared Memory ブロ ッ クセッ ト

♦ 詳細は、 「ザイ リ ンクス LogiCORE バージ ョ ン」 を参照して ください。

♦ Complex Multiplier 3.0、 FIR Compiler 5.0、 および Fast Fourier Transform 7.0 でXtremeDSP™ スライスの前置乗算器を使用

• ML605 Virtex-6 FPGA プラ ッ ト フォームに JTAG に基づいたハードウェア協調シ ミ ュレー

シ ョ ンのサポートの追加

• Synplify Pro バージ ョ ン C2009.06 のサポート

ハードウェア協調シミ ュレーシ ョ ンの向上

• Linux のポイン ト ツー ポイン ト イーサネッ ト ハードウェア協調シ ミ ュレーシ ョ ンのサポート

次の防衛産業向けデバイス ファ ミ リのサポート

• QPro Virtex-4 Hi-Rel

• QPro Virtex-4 Rad Tolerant

MATLAB 2009a のサポート

MATLAB 2009a のサポート

System Generator for DSP 入門ガイ ド japan.xilinx.com 41UG639 (v11.4) 2009 年 12 月 2 日

Page 42: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 3 章 : リ リース情報

System Generator と XPower の統合

XPower が [Timing and Power Analysis] フローおよび [Bitstream] フローに統合されました。

これによ り、MATLAB および Simulink 環境を離れずに System Generator デザインの消費電力

を解析できます。

上の図で示したよ うに、 フローを選択してからその右横にあるボタンをク リ ッ ク し、 [PowerAnalysis] オプシ ョ ンを選択します。

デフォルトは [No analysis] です。 [Quick analysis] では高速解析を実行できますが、解析精度が

低下します。 [Full simulation-based analysis] では、 ザイ リ ンクス ISim シ ミ ュレータを使用し

てデザインに HDL シ ミ ュレーシ ョ ンが自動的に実行されます。 時間は多少かかり ますが、精度

の高い解析結果を得るこ とができます。

1. フローを選択

3. 解析タイプを選択

2. クリ ック

4. クリ ック

42 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.4) 2009 年 12 月 2 日

Page 43: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

リ リース ノート 11.2

解析が完了する と、 [Timing Analyzer] ダイアログ ボッ クスが表示されます。 次の図に示す

[Power Analysis] ボタンをク リ ッ クする と、ザイ リ ンクス Xpower Analyzer が起動して消費電

力解析が表示されます。

ザイリンクス ブロックセッ トの改善点

DDS Compiler 3.0

次の機能を備えた新しいブロ ッ クを使用できます。

• Supports Virtex-6 および Spartan-6 FPGA デバイス

メモ : このブロ ッ クは、 DDS Compiler 2.1 ブロッ クに置き換わるものです。

詳細は、 「DDS Compiler 4.0」 を参照してください。

Divider Generator 3.0

次の機能を備えた新しいブロ ッ クを使用できます。

• Virtex-6 および Spartan-6 FPGA デバイスのサポート

メモ : このブロ ッ クは、 Divider Generator 2.0 ブロ ッ クに置き換わるものです。

詳細は、 「Divider Generator 3.0」 を参照してください。

1. クリ ック

System Generator for DSP 入門ガイ ド japan.xilinx.com 43UG639 (v11.4) 2009 年 12 月 2 日

Page 44: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 3 章 : リ リース情報

Fast Fourier Transform 7.0

次の機能を備えた新しいブロ ッ クを使用できます。

• Virtex-6 および Spartan-6 FPGA デバイスのサポート

• ターゲッ ト ク ロ ッ ク周波数およびデータのスループッ トに基づいて 適なインプ リ メンテー

シ ョ ンを自動的に選択するオプシ ョ ンの追加

• コンフ ィギュレーシ ョ ン可能な入力データのタイ ミ ング (オフセッ ト なしまたは 3 サイクルの

入力遅延)

• LUT、リ ソースが 適化されている 3 つの乗算器から構成される XtremeDSP スライス構造、ま

たはパフォーマンスが 適化されている 4 つの乗算器から構成される XtremeDSP スライス構

造をインプ リ メン トするオプシ ョ ン

• Virtex-6 および Spartan-6 デバイスの XtremeDSP スライスに含まれる前置加算器を複雑な乗

算器のインプ リ メンテーシ ョ ンに使用

メモ : このブロ ッ クは、 Fast Fourier Transform 6.0 ブロ ッ ク と置き換わるものです。

詳細は、 「Fast Fourier Transform 7.0」 を参照して ください。

FIR Compiler 5.0

次の機能を備えた新しいブロ ッ クを使用できます。

• Virtex-6 および Spartan-6 FPGA デバイスのサポート

• Virtex-6 および Spartan-6 デバイスの XtremeDSP スライスに含まれる前置加算器を対称フィ

ルタのインプ リ メンテーシ ョ ンに使用

• 固定分数レート デシメーシ ョ ン構造のクロッ ク周波数およびサンプル周波数の範囲を拡張

• ハードウェア オーバーサンプリ ング レート を明示的に設定するオプシ ョ ンの追加

メモ : このブロ ッ クは、 FIR Compiler 4.0 ブロ ッ クに置き換わるものです。

詳細は、 「FIR Compiler 5.0」 を参照して ください。

システム要件および推奨事項

推奨ハードウェア

推奨 メモ

2.00GB の RAM

600MB のハード ディ スク容量 低必要条件

ザイ リ ンクス ハード ウェア協調シ ミ ュレーシ ョ

ン プラ ッ ト フォーム

ハード ウェア協調シ ミ ュレーシ ョ ン フロー

に必要

44 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.4) 2009 年 12 月 2 日

Page 45: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

リ リース ノート 11.2

オペレーテ ィ ング システム (OS) およびソフ トウェア要件

既知の問題

System Generator の既知の問題は、 次のザイ リ ンクス Web サイ トから参照できます。

http://japan.xilinx.com/support/answers/29595.htm

表 3-5 :

Windows に関連する要件 メモ

Windows XP 32 ビッ ト オペレーティング シス

テム SP2 (英語版および日本語版)

ザイ リ ンクス ISE Design Suite 11.1.2 リ リース

MathWorks MATLAB バージ ョ ン 2008b または

2009a

MathWorks Simulink (Fixed-Point Toolbox 含む)バージ ョ ン 2009b または 2009a

MATLAB のインス トール ディ レク ト リの

パス名には、C:\MATLAB\R2009a のよ うに、

スペースを含まない名前を使用する必要が

あ り ます。

53 ビッ ト よ り大きい信号には Fixed-PointToolbox が必要です。

表 3-6 :

Linux に関連する要件 メモ

Red Hat Linux 4.7、 32 /64 ビッ ト オペレーティ

ング システム (英語版のみ)

ザイ リ ンクス ISE Design Suite 11.1.2 リ リース

MathWorks MATLAB バージ ョ ン 2008b または

2009a

MathWorks MATLAB、 Simulink (Fixed-PointToolbox 含む) バージ ョ ン 2009b または 2009a

MATLAB のインス トール ディ レク ト リの

パス名には、C:\MATLAB\R2009a のよ うに、

スペースを含まない名前を使用する必要が

あ り ます。

53 ビッ ト よ り大きい信号には Fixed-PointToolbox が必要です。

System Generator for DSP 入門ガイ ド japan.xilinx.com 45UG639 (v11.4) 2009 年 12 月 2 日

Page 46: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 3 章 : リ リース情報

リ リース ノート 11.1

System Generator の改善点

Linux のサポート

Red Hat Enterprise Linux 4 WS (32 および 64 ビッ ト ) OS がサポート されるよ うにな り ました。

Linux 特定のインス トール手順の詳細は、「Linux OS への System Generator のインス トール」 を参

照してください。

MATLAB 2008b のサポート

この リ リースでは MATLAB 2008b および MATLAB 2008a がサポート されています。

System Generator と Platform Studio SDK の使用

ザイ リ ンクス Platform Studio ソフ ト ウェア開発キッ ト (SDK) は、 ソフ ト ウェア プラ ッ ト

フォーム デザインの作成用の統合開発環境 (IDE) です。 SDK は Eclipse ベースの ISE で、 ザ

イ リ ンクス エンベデッ ド プロセッサの高性能 C/C++ コードを簡単に記述できます。 SystemGenerator では自動的に SDK ウォークスペースを生成し、Hello World プログラム テンプレー

ト を提供するこ とで SDK にアクセスできるよ うにします。 このテンプレートには、 短期間で

生産性の高いコードを記述可能にするサンプル コードが含まれています。 詳細は、 「PlatformStudio SDK の使用」 を参照して ください。

アップデート されたデザイン例

この リ リースでは、 Examples フォルダに含まれるデザインがアップデート されました。 これ

らのデザインでは、 新のデバイスと 新の IP が使用されています。

双方向ポートのサポート

System Generator のブラ ッ ク ボッ クスで双方向の 上位ポート宣言を含む HDL がサポート

されるよ うになり ました。 これらの双方向ポートは Simulink ダイアグラムでは表示されず、

生成された System Generator HDL に含まれます。 双方向ポートは、System Generator シ ミ ュ

レーシ ョ ン中にテキス ト ファ イルのデータを使用して駆動するこ と も可能です。 双方向ポー

ト をイネーブルにする方法の詳細は、 「ブラ ッ ク ボッ クスのコンフ ィギュレーシ ョ ン M 関数」 を参照して ください。

46 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.4) 2009 年 12 月 2 日

Page 47: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

リ リース ノート 11.1

System Generator の XReport の表示

コンパイル後の XReport の表示

次に示すよ うに、 [Bitstream] または [Timing Analysis] をターゲッ トにしたデザインをコンパ

イルする と、[Compilation status] ダイアログ ボッ クスに新しい [Show Reports] ボタンが表示

されます。 このボタンをク リ ッ クする と、 関連する XReport を表示できます。

System Generator for DSP 入門ガイ ド japan.xilinx.com 47UG639 (v11.4) 2009 年 12 月 2 日

Page 48: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 3 章 : リ リース情報

Estimator ブロックからの XReport の表示

デザインに Estimator ブロ ッ クが含まれている と きに [Estimate options] で [Post Map] を選択

する と、[Running Resource Estimator] ダイアログ ボッ クスに新しい [Show Reports] ボタンが

表示されます。 このボタンをク リ ッ クする と、 関連する XReport を表示できます。

48 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.4) 2009 年 12 月 2 日

Page 49: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

リ リース ノート 11.1

ザイリンクス ブロックセッ トの改善点

Complex Multiplier 3.0

次の機能を備えた新しいブロ ッ クを使用できます。

• 2 の複素数を乗算

• すべてのオペランドおよびその結果は、 符号付きの 2 の補数形式で示されます。

• オペランドの幅とその結果の幅は、 パラ メータ指定可能です。

詳細は、 「Complex Multiplier 3.0」 を参照して ください。

CORDIC 4.0

次の機能を備えた新しいブロ ッ クを使用できます。

• 次の論理式タイプを持つ CORDIC (Coordinate Rotational Digital Computer) アルゴ リズムを

インプ リ メン ト します。

♦ 直交座標 <-> 極座標の変換

♦ 三角関数

♦ 双曲線

♦ 平方根

• 次の 2 つのアーキテクチャ コンフ ィギュレーシ ョ ンを提供

♦ シングル サイクルのデータ スループッ ト を使用した完全なパラレル コンフ ィギュレー

シ ョ ン (シ リ コン エリ アは増大)

♦ マルチサイクル スループッ ト を使用したワード シ リアル インプ リ メンテーシ ョ ン (わず

かなシ リ コン エリ アを使用)

• CORDIC アルゴ リズムに結果の振幅にスケール係数を使用

• CORDIC スケール係数を自動的に補正するオプシ ョ ン

詳細は、 「CORDIC 4.0」 を参照してください。

EDK Processor ブロックの改善点

• [Initial Program] : 初期プログラム ファ イル (.elf) を設定可能。 [Bitstream] または [HardwareCo-simulation] をコンパイル ターゲッ トに使用して EDK プロセッサを含むビッ ト ス ト リーム

を作成する場合、このフ ィールドで指定された初期プログラム ファ イルがビッ ト ス ト リームの

作成後にプロセッサのプログラム メモ リに読み込まれます。

♦ レジスタの リードバッ ク : メモ リ マップのインターフェイスは、通常一方向で、レジスタ

にはプロセッサからの読み出しまたはプロセッサへの書き込みのいずれかを実行できま

す。 [Register Read-Back] をイネーブルにする と、書き込みと読み出しを実行できます。 このオプシ ョ ンをオンにする と、 メモ リ マップへの入力が増加し、 スピードの低下とエ リア

使用率の増加につながり ます。

ザイリンクス基本ブロックの改善点

次の基本構築ブロッ クがアップデート されました。

• Adder Subtractor 11.0

• Accumulator 11.0

System Generator for DSP 入門ガイ ド japan.xilinx.com 49UG639 (v11.4) 2009 年 12 月 2 日

Page 50: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 3 章 : リ リース情報

• Binary Counter 11.0

• Multiplier 11.0

• RAM-Based Shift Register 11.0

• Block Memory Generator 3.1、Distributed Memory Generator 4.1、および FIFO Generator 5.1を使用するよ うに次のメモ リ ブロッ クをアップデート

♦ Single Port RAM

♦ Dual Port RAM

♦ ROM

♦ FIFO

♦ Shared Memory

♦ To FIFO

♦ From FIFO

置き換えられたザイリンクス ブロック

次のザイ リ ンクス DSP ブロ ッ クは、置き換えられました。 次の表にこれらのブロ ッ クの代わり

に使用するブロ ッ クを示します。

表 3-7 : 置き換えられたザイリンクス DSP ブロック

置き換えられたブロック 代わりに使用するブロック

CIC Compiler 1.0 CIC Compiler 1.2

CIC Compiler 1.1 CIC Compiler 1.2

Convolutional Encoder v3_0 Convolutional Encoder v6_1

Convolutional Encoder v6_0 Convolutional Encoder v6_1

DDS Compiler v1_1 DDS Compiler 2.1

DDS v4_0 DDS Compiler 2.1

DDS v5_0 DDS Compiler 2.1

FFT v1_0 Fast Fourier Transform 6.0

FFT v3_1 Fast Fourier Transform 6.0

FFT v3_2 Fast Fourier Transform 6.0

FFT v4_1 Fast Fourier Transform 6.0

FFT v5_0 Fast Fourier Transform 6.0

FIR Compiler v1_0 FIR Compiler 4.0

FIR Compiler v2_0 FIR Compiler 4.0

FIR Compiler v3_0 FIR Compiler 4.0

FIR Compiler v3_1 FIR Compiler 4.0

FIR Compiler v3_2 FIR Compiler 4.0

50 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.4) 2009 年 12 月 2 日

Page 51: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

リ リース ノート 11.1

システム要件および推奨事項

推奨ハードウェア

オペレーテ ィ ング システム (OS) およびソフ トウェア要件

Interleaver Deinterleaver v4_0 Interleaver Deinterleaver v5_0

RS Decoder v5_1 Read-Solomom Decoder 6.1

RS Decoder v6_0 Read-Solomom Decoder 6.1

RS Eecoder v5_0 Read-Solomom Encoder 6.1

RS Eecoder v6_0 Read-Solomom Encoder 6.1

Viterbi Decoder v5_0 Viterbi Decoder v6_1

Viterbi Decoder v6_0 Viterbi Decoder v6_1

表 3-7 : 置き換えられたザイリンクス DSP ブロック

置き換えられたブロック 代わりに使用するブロック

推奨 メモ

2.00GB の RAM

600MB のハード ディ スク容量 低必要条件

ザイ リ ンクス ハード ウェア協調シ ミ ュレーシ ョ

ン プラ ッ ト フォーム

ハード ウェア協調シ ミ ュレーシ ョ ン フロー

に必要

表 3-8 :

Windows に関連する要件 メモ

Windows XP 32 ビッ ト オペレーティング シス

テム SP2 (英語版および日本語版)

ザイ リ ンクス ISE Design Suite 11.1 リ リース

MathWorks MATLAB バージ ョ ン 2008a または

2008b

MathWorks Simulink (Fixed-Point Toolbox 含む)バージョ ン 2008a または 2008b

MATLAB のインス トール ディ レク ト リのパ

ス名には、C:\MATLAB\R2008b のよ うに、ス

ペースを含まない名前を使用する必要があ

り ます。

53 ビッ ト よ り大きい信号には Fixed-PointToolbox が必要です。

System Generator for DSP 入門ガイ ド japan.xilinx.com 51UG639 (v11.4) 2009 年 12 月 2 日

Page 52: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 3 章 : リ リース情報

既知の問題

System Generator の既知の問題は、 次のザイ リ ンクス Web サイ トから参照できます。

http://japan.xilinx.com/support/answers/29595.htm

表 3-9 :

Linux に関連する要件 メモ

Red Hat Linux 4.7、 32 および 64 ビッ ト オペ

レーティング システム (英語版のみ)

ザイ リ ンクス ISE Design Suite 11.1 リ リース

MathWorks MATLAB バージ ョ ン 2008a または

2008b

MathWorks MATLAB、 Simulink (Fixed-PointToolbox 含む) バージ ョ ン 2008b または 2008b

MATLAB のインス トール ディ レク ト リの

パス名には、 C:\MATLAB\R2008b のよ う

に、スペースを含まない名前を使用する必要

があ り ます。

53 ビッ ト よ り大きい信号には Fixed-PointToolbox が必要です。

52 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.4) 2009 年 12 月 2 日

Page 53: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

リ リース ノート 10.1.3

リ リース ノート 10.1.3

ザイリンクス DSP ブロックセッ トの改善点

演算子向けの DSP48 抽象化

DSP48 または従来の LUT ベースのインプリ メンテーシ ョ ンを使用して Accumulator、AddSub、および Counter ブロ ッ クをインプリ メン トできるよ うにな り ました。 これによ り、サ

ポート されるザイ リ ンクス デバイス間でのデザインの移植が可能になり ます。

Fast Fourier Transform 6.0

次の機能を備えた新しいブロ ッ クを使用できます。

• データ幅および位相係数幅を 34 ビッ トに拡張

• Pipelined Streaming I/O アーキテクチャでのブロ ッ ク浮動小数点のサポート

WaveScope

• WaveScope 波形を直接プリ ンタに直接送信し、印刷プレビュー機能を使用して表示し、印刷前

に波形のフォーマッ ト をカスタマイズできます。 この機能は、[File] メニューまたはツールバー

のシ ョート カッ ト キーからアクセスできます。

System Generator for DSP 入門ガイ ド japan.xilinx.com 53UG639 (v11.4) 2009 年 12 月 2 日

Page 54: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 3 章 : リ リース情報

ツール フローとの互換性

System Generator 10.1.3 は、 次のツールと互換性があ り ます。

既知の問題

System Generator の既知の問題は、 次のザイ リ ンクス Web サイ トから参照できます。

http://japan.xilinx.com/support/answers/29595.htm

ツール バージ ョ ン

The Mathworks 社 MATLAB および Simulink 2007b および 2008a

Mentor Graphics 社 ModelSim® SE 6.3c

Synplicity 社 Synplify Pro 8.8.0.4 (ハード ウェア協調シ ミ ュレーシ ョ ン

用にフローティング ライセンスが必要)

ザイ リ ンクス AccelDSP 10.1.03

ザイ リ ンクス ChipScope Pro 10.1.03

ザイ リ ンクス EDK 10.1.03

ザイ リ ンクス ISE 10.1.03

ザイ リ ンクス ISE IP アップデート 10.1 IP アップデート 3

ザイ リ ンクス ISE Simulator 10.1.03

54 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.4) 2009 年 12 月 2 日

Page 55: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

リ リース ノート 10.1.2

リ リース ノート 10.1.2

System Generator の改善点

ハイブリ ッ ド DCM - CE サポート

10.1 リ リースでは、 デザインに DCM (デジタル ク ロ ッ ク マネージャ ) を自動的に含めるク

ロ ッキング オプシ ョ ンが追加されました。 このオプシ ョ ンで使用できるク ロ ッ ク レートは 3個までに制限されていました。

10.1.2 リ リースでは、 このオプシ ョ ンが向上されて、3 個以上のクロ ッ ク レート を含むデザイ

ンをサポートできるよ うになり ました。 4 個目以降のクロ ッ ク レートは、CE (ク ロ ッ ク イネー

ブル) 手法を使用して自動的にサポート されます。 たとえば、デザインに 6 個のクロ ッ ク レー

トが含まれる場合、レートが高い方から 3 つのクロ ッ ク レートは DCM でサポート され、残り

の 3 つは CE 手法でサポート されます。

MATLAB 2008a

MATLAB 2008a が System Generator でサポート されるよ うになり ました。

ザイリンクス DSP ブロックセッ トの改善点

FIR Compiler 4.0

次の機能を備えた新しいブロ ッ クを使用できます。

• データおよび係数幅を 大 49 ビッ ト まで拡張

• チャネライザ アプリ ケーシ ョ ンおよび転置型 MAC (Multiply and Accumulate) アーキテク

チャに対する多相フ ィルタ バンクのサポート

• 大 16 個のパラレル データ パス間で制御および係数メモリ リ ソースを共有可能

• 分散演算アーキテクチャでの Virtex-5 および Spartan-3A DSP のサポート を追加

FIR Compiler LogiCORE v4.0 でサポート されるすべての機能をサポート

System Generator for DSP 入門ガイ ド japan.xilinx.com 55UG639 (v11.4) 2009 年 12 月 2 日

Page 56: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 3 章 : リ リース情報

Divider Generator 2.0

整数を除算するための除算アルゴ リズムを生成する新ブロ ッ ク

• 大 54 ビッ ト幅までのオプシ ョ ンのオペランド幅、 同期制御、 および選択可能なレイテンシ

• Radix-2 の整数除算および高基数除算アルゴ リズムでの Virtex-4、Virtex-5、および Spartan-3ADSP のサポート

ツール フローとの互換性

System Generator 10.1.2 は、 次のツールと互換性があ り ます。

既知の問題

System Generator の既知の問題は、 次のザイ リ ンクス Web サイ トから参照できます。

http://japan.xilinx.com/support/answers/29595.htm

ツール バージ ョ ン

The Mathworks 社 MATLAB および Simulink 2007b および 2008a

Mentor Graphics 社 ModelSim SE 6.3c

Synplicity 社 Synplify Pro® 8.8.0.4 (ハード ウェア協調シ ミ ュレーシ ョ ン

用にフローティング ライセンスが必要)

ザイ リ ンクス AccelDSP 10.1.02

ザイ リ ンクス ChipScope Pro 10.1.02

ザイ リ ンクス EDK 10.1.02

ザイ リ ンクス ISE 10.1.02

ザイ リ ンクス ISE IP アップデート 10.1 IP アップデート 2

ザイ リ ンクス ISE Simulator 10.1.02

56 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.4) 2009 年 12 月 2 日

Page 57: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

リ リース ノート 10.1.1

リ リース ノート 10.1.1

System Generator の改善点

• EDK インポート フローでの UCF サポートの向上

EDK インポート フローでのユーザー制約ファイル (UCF) の処理が向上され、サイズの大きい

UCF ファ イルがサポート されるよ うにな り ました。 インポート された XPS プロジェク トの

UCF ファ イルが解析されて、 EDK プロセッサ ブロ ッ クの設定に基づいた新規 UCF ファ イル

が生成されます。 元の UCF ファ イルを参照、変更するこ と も可能で、XPS プロジェク トに再イ

ンポートできます。

• PLB デュアル クロ ッ ク サポートの向上

PLB バス、MicroBlaze プロセッサ、およびその他のハードウェア ペリ フェラルを異なるク ロ ッ

クで駆動するためにクロ ッ ク ジェネレータを使用していたザイ リ ンクス Platform Studio のプ

ロジェク ト を自動的に System Generator にインポート して、 HDL ネッ ト リ ス トの生成および

ハードウェア協調シ ミ ュレーシ ョ ンを実行できます。

ザイリンクス DSP ブロックセッ トの改善点

CIC Compiler 1.2

既存ブロッ クのアップデート

• CIC Compiler 1.1 と比べてシ ミ ュレーシ ョ ン速度が 大 4 倍向上

DDS Compiler 2.1

既存ブロッ クのアップデート

• 以前のバージ ョ ンと比べてコアの生成時間が 大 10 倍短縮

• 負の周波数の特定可能

• リセッ トがディアサート された後に RDY 出力が 1 ク ロ ッ ク サイクル早く High になる問題を

修正

System Generator for DSP 入門ガイ ド japan.xilinx.com 57UG639 (v11.4) 2009 年 12 月 2 日

Page 58: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 3 章 : リ リース情報

ツール フローとの互換性

System Generator 10.1.1 は、 次のツールと互換性があ り ます。

既知の問題

System Generator の既知の問題は、 次のザイ リ ンクス Web サイ トから参照できます。

http://japan.xilinx.com/support/answers/29595.htm

ツール バージ ョ ン

The Mathworks 社 MATLAB および Simulink 2007a および 2007b

Mentor Graphics 社 ModelSim SE 6.3c

Synplicity 社 Synplify Pro 8.8.0.4 (ハード ウェア協調シ ミ ュレーシ ョ ン

用にフローティング ライセンスが必要)

ザイ リ ンクス AccelDSP 10.1.01

ザイ リ ンクス ChipScope Pro 10.1.01

ザイ リ ンクス EDK 10.1.01

ザイ リ ンクス ISE 10.1.01

ザイ リ ンクス ISE IP アップデート 10.1 IP アップデート 1

ザイ リ ンクス ISE Simulator 10.1.01

58 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.4) 2009 年 12 月 2 日

Page 59: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

リ リース ノート 10.1

リ リース ノート 10.1

System Generator の改善点

System Generator と Project Navigator の統合

System Generator デザインは、Project Navigator の [New Source] ダイアログ ボッ クスを使用

して Project Navigator 内のデザインに簡単に組み込むこ とができます。 または、 ProjectNavigator から System Generator デザインを起動できます。

DCM サポート

デザインに自動的に DCM を含めるオプシ ョ ンが追加されました。 オプシ ョ ンの DCM は設

計者によ り取り除かれますが、生成されたデザインではシ リ コン上で使用可能な DCM が利用

されます。

DCM に手動で接続するために 上位のクロ ッ ク ポート を表示するオプシ ョ ンも追加されま

した。

PLB46 用のデュアル非同期クロック サポート

デザインの DSP およびエンベデッ ド プロセス部分を異なるクロ ッ ク レートで実行可能にな

り、 柔軟性が向上されます。

ランタイム速度の向上

• シ ミ ュレーシ ョ ンの 初の初期化に要する速度が 大 2 倍に向上

• >Simulink Library Browser に含まれるザイ リ ンクス ブロ ッ クセッ ト を読み込む際の初期化の

要する時間が 10 倍以上短縮

M ベースのハードウェア協調シミ ュレーシ ョ ン

ハードウェア協調シ ミ ュレーシ ョ ン用にコンパイルされた System Generator モデルを組み込

んで、 コンフ ィギュレーシ ョ ンし、MATLAB コード スク リプ トで使用できるよ うになったこ

とによ り、 MATLAB からハード ウェアへの呼び出しが実行できるよ うになり ました。

System Generator for DSP 入門ガイ ド japan.xilinx.com 59UG639 (v11.4) 2009 年 12 月 2 日

Page 60: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 3 章 : リ リース情報

ザイリンクス DSP ブロックセッ トの改善点

FFT 5.0

既存のブロッ クに CP (Cyclic Prefix) の挿入を加えてアップデート

FIR Compiler 3.2

Virtex-II および Spartan-3A のサポート を追加

Reset Generator

サンプ リ ング レート を下げた同期リセッ ト信号を生成する新規ブロッ ク

CIC Compiler 1.1

新規ブロッ ク

ツール フローとの互換性

System Generator 10.1 は、 次のツールと互換性があ り ます。

既知の問題

System Generator の既知の問題は、 次のザイ リ ンクス Web サイ トから参照できます。

http://japan.xilinx.com/support/answers/29595.htm

ツール バージ ョ ン

The Mathworks 社 MATLAB および Simulink 2007a および 2007b

Mentor Graphics 社 ModelSim SE 6.3c

Synplicity 社 Synplify Pro 8.8.0.4 (ハード ウェア協調シ ミ ュレーシ ョ ン

用にフローティング ライセンスが必要)

ザイ リ ンクス AccelDSP 10.1

ザイ リ ンクス ChipScope Pro 10.1

ザイ リ ンクス EDK 10.1

ザイ リ ンクス ISE 10.1

ザイ リ ンクス ISE IP アップデート 10.1 IP アップデート 1

ザイ リ ンクス ISE Simulator 10.1

60 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.4) 2009 年 12 月 2 日

Page 61: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

ザイリンクス System Generator モデルのアップデート

ザイリンクス System Generator モデルのアップデート

V2.x 以前のモデルのアップデート

v3.1 よ り前のバージ ョ ンのモデルをアップデートする場合は、 System Generator v7.x を入手

してモデルを v7.x にアップデート してから v9.1.01 にアップデートする必要があり ます。

v3.x、 v6.x、 および v7.x モデルのアップデート

このセクシ ョ ンでは、System Generator v3.x、v6.x、および v7.x モデルを v9.1.01 で機能する

よ うにアップデートする手順を示します。

メモ : このセクシ ョ ンの v7.x に関する手順は、 v3.x または v6.x にも適用できます。

v7.x モデルを v9.1.01 にアップデートする基本的な手順は、次のとおりです。 1) v7.1 モデルお

よびモデルで使用されるユーザー定義ライブラ リのバッ クアップ コピーを作成します。 2)xlUpdateModel をまずライブラ リに対して実行し、 その後モデルに対して実行します。 3)xlUpdateModel のレポート を参照し、指示に従います。4) モデルが v9.1.01 で動作するかど う

かを確認します。

これらの手順を、 次に詳し く説明します。

1. v7.1 モデルおよびモデルで使用されるユーザー定義ライブラ リのバッ クアップ コピーを作成

します。

2. xlUpdateModel を実行します。

MATLAB コンソールで cd コマンドを使用し、モデルを含むディ レク ト リに移動します。 モデ

ル名が designName.mdl の場合は、 「xlUpdateModel('designName')」 と入力します。

xlUpdateModel は、 次のタスクを実行します。

♦ v7.x デザインの各ブロ ッ クを、 同じ設定を使用した対応する v9.1.01 ブロ ッ クにアップ

デート します。

♦ 加えた変更を説明するレポート を作成します。 このレポートに、 ユーザーが手動で加える

必要のある変更も記述されます。

ほとんどの場合、 xlUpdateModel で等価の v9.1.01 モデルが生成されますが、 変更が必要な構

文が含まれている可能性があ り ます。 レポート を参照し、 このセクシ ョ ンの残りの手順に従う

こ とが重要です。

3. xlUpdateModel レポート を参照し、 その指示に従います。

レポートに次の問題が記述されている場合は、 手動の変更が必要です。

a. System Generator v7.x モデルに削除されたブロ ッ クが含まれている。

次のブロ ッ クは、System Generator から削除されています。 CIC、Clear Quantization Error、Digital Up Converter、 J.83 Modulator、 Quantization Error、 Sync

b. System Generator v7.x モデルに廃止予定のブロ ッ クが含まれている。

DDSv4.0 ブロッ クはまだ System Generator に含まれていますが、 廃止予定です。

c. System Generator v7.x モデルでサンプリ ング周期を明示的に指定するフ ィールドが使用

されている。

サンプ リ ング周期を明示的に指定するフ ィールドは、 System Generator v9.1.01 のソース

以外のほとんどのブロ ッ クで削除されています。 Counter ブロ ッ クなどのソース ブロ ッ ク

System Generator for DSP 入門ガイ ド japan.xilinx.com 61UG639 (v11.4) 2009 年 12 月 2 日

Page 62: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 3 章 : リ リース情報

では、 サンプ リ ング周期の明示的な指定が可能です。 フ ィードバッ ク ループを含むモデル

をアップデートする場合は、 System Generater でパスの適切なレート と タイプを判断でき

るよ うにするため、 通常 xlUpdateModel を実行した後に Assert ブロ ッ クを追加する必要

があ り ます。 次のメ ッセージは、 Assert ブロ ッ クが必要であるこ とを示しています。

“The data rates could not be established for the feedback paths through this block. You mayneed to add Assert blocks to instruct the system”

この場合、各フ ィードバッ ク ループに Assert ブロ ッ クを追加し、このブロ ッ クでレート と

タイプを指定します。

変換スク リプ トでは、 v7.1 モデルの周期が明示的に指定された部分すべてに対して、 モデ

ルが変換されたこ とが示されます。 変換後のモデルでは、ほとんどの場合 Assert ブロ ッ ク

を追加する必要はあ り ません。 Assert ブロ ッ クが必要かど うかを判断するには、 ダイアグ

ラムをアップデート します ([編集] → [モデルの更新] をク リ ッ ク )。 レートが決定しない場

合は、 1 つ以上の Assert ブロ ッ クを挿入する必要があ り ます。

明示的なサンプリ ング周期の設定を使用するブロ ッ クの後に自動的に Assert ブロ ッ クを

追加するよ うに、変換スク リプ ト を設定できます。 このオプシ ョ ンを使用するには、次のコ

マンドを実行します。

xlUpdateModel(designName,'assert')

4. アップデート されたモデルを保存し、 閉じます。

アップデート前のモデルのバッ クアップ コピーを作成していない場合は、アップデート後

のモデルを別の名前で保存します。

5. モデルが System Generator v9.1.01 で動作するこ とを確認します。

上記の手順に従っていれば、 モデルは System Generator v9.1.01 で動作するはずです。 モデルを System Generator v9.1.01 で開き、 実行して ください。

例 1

>> xlUpdateModel('my_model_name');

現在の MATLAB 作業ディ レク ト リにある my_model_name.mdl ファ イルをアップデート し

ます。

例 2

>> xlUpdateModel('my_model_name','lib');

現在の MATLAB 作業ディ レク ト リにある my_model_name.mdl ファ イルと関連するライブ

ラ リ をアップデート します。

例 3

>> xlUpdateModel('my_model_name','assertí);

現在の MATLAB 作業ディ レク ト リにある my_model_name.mdl ファ イルをアップデート し

ます。 必要に応じて Assert ブロ ッ クを追加します。

62 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.4) 2009 年 12 月 2 日

Page 63: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 4 章

入門

概要

この章には、 System Generator の主要な機能を紹介する 6 つのレッスンが含まれています。 各レッ

スンは、 10 分程度で読み終えるこ とのできる説明と、 演習で構成されています。 演習フォルダは、

System Generator のインス トール ディ レク ト リにあ り、 データ ファ イルと手順を含みます。

コンピュータに System Generator がインス トールされている場合は、これらの演習を時間のある と

きに独自のペースで進めるこ とができます。 System Genrator がインス トールされていない場合は、

ザイ リ ンクス Web サイ トの次のページから録音版 e-ラーニングにアクセスできます。

http://japan.xilinx.com/support/training/rel/system-generator.htm

この章に含まれるレッスンは、 次のとおりです。

• レッスン 1 : デザイン作成の基礎 - System Genrator を使用した DSP デザインの作成およびイ

ンプ リ メンテーシ ョ ンの基礎を説明します。

• レッスン 2 : 固定小数点およびビッ ト操作 - 固定小数点信号の個々のビッ ト を抽出および操作

する System Generator 配線ブロッ クの使用について説明します。

• レッスン 3 : システム制御 - 有限ステート マシン、 論理制御条件、 FFT に典型的なバース ト

データの処理およびフ ィルタ処理を System Generator を使用して作成するのに適した方法を

示します。

• レッスン 4 : マルチレート システム - データのサンプリ ング レート を増減させるこ とによ りマ

ルチレート システム作成する方法を示します。

• レッスン 5 : メモ リの使用 - ザイ リ ンクス ブロ ッ ク RAM リ ソースおよび DSP ブロ ッ クの適

切な使用方法を示します。

• レッスン 6 : フ ィルタの設計 - ザイ リ ンクス デバイスで効率的な FIR フ ィルタを作成する方

法、フ ィルタのインプ リ メンテーシ ョ ンに FIR Compiler ブロ ッ クを使用する方法、フ ィルタ デザインに FDATool を使用する方法を示します。

System Generator for DSP 入門ガイ ド japan.xilinx.com 63UG639 (v11.3) 2009 年 9 月 16 日

Page 64: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 4 章 : 入門

レッスン 1 : デザイン作成の基礎

System Generator デザイン フロー

System Generator は、Simulink モデル ベースのデザイン手法に基づいています。 ほとんどの実行仕様は、Simulink 標準ブロッ

クセッ ト を使用して作成します。 この仕様は、 浮動小数点数値精度を使用して、 ハード ウェアの詳細なしで作成できます。 機能および基本的なデータフローを定義したら、 System Generator を使用してザイ リ ンクス デバイス用のハードウェア インプ

リ メンテーシ ョ ンの詳細を指定できます。 System Generator は Simulink 用のザイ リ ンクス DSP ブロ ッ クセッ ト を使用し、自

動的に CORE Generator™ を起動し て DSP 機能ブロ ッ ク の高度に 適化されたネ ッ ト リ ス ト を作成し ます。 SystemGenerator から、 すべてのインプ リ メンテーシ ョ ン ツールを実行して、 FPGA をプログラムするビッ ト ス ト リームを生成でき

ます。 また、 Simulink 環境から抽出したテス ト ベクタを使用して、 ModelSim または ISE® Simulator で使用するテス トベン

チを作成するこ と も可能です。

64 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.3) 2009 年 9 月 16 日

Page 65: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

レッスン 1 : デザイン作成の基礎

ザイリンクス DSP ブロックセッ ト

ザイ リ ンクス DSP ブロ ッ クセッ トには、 Simulink Library Browser からアクセスできます。 Simulink Library Browser は、標

準 MATLAB ツールバーから開く こ とができます。 ブロ ッ クセッ トは、検索しやすいよ うにサブカテゴ リに分類されています。

Index とい うサブカテゴ リにはすべてのブロ ッ クが含まれているので、 ブロ ッ クを使用し慣れている場合はこのサブカテゴ リ

からすばやくアクセスできます。 DSP システムの作成用に 90 個以上の DSP 機能ブロッ クが用意されています。

System Generator for DSP 入門ガイ ド japan.xilinx.com 65UG639 (v11.3) 2009 年 9 月 16 日

Page 66: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 4 章 : 入門

FPGA の境界の定義

System Generator では、 標準 Simulink モデルを使用できます。 Gateway In および Gateway Out とい う 2 つのブロ ッ クは、

FPGA と Simulink シ ミ ュレーシ ョ ン モデルとの境界を定義します。 Gateway In ブロ ッ クは、 浮動小数点入力を固定小数点値

に変換します。 ブロ ッ クをダブルク リ ッ クする と、 固定小数点値を指定するパラ メータ ダイアログ ボッ クスが表示されます。

66 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.3) 2009 年 9 月 16 日

Page 67: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

レッスン 1 : デザイン作成の基礎

System Generator トークンの追加

System Generator ダイアグラムには、System Generator トークンを少なく と も 1 つ配置する必要があ り ます。 この トークンは

接続されませんが、 FPGA インプ リ メンテーシ ョ ン プロセスを駆動します。 この トークンのパラ メータ ダイアログ ボッ クス

では、 ターゲッ ト ネッ ト リ ス ト、 デバイス、 パフォーマンス ターゲッ ト 、 およびシステム周期を指定できます。 この トークン

がない場合、 System Generator でエラー メ ッセージが表示されます。

System Generator for DSP 入門ガイ ド japan.xilinx.com 67UG639 (v11.3) 2009 年 9 月 16 日

Page 68: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 4 章 : 入門

DSP デザインの作成

Gateway ブロ ッ クを使用して FPGA の境界を定義したら、 ザイ リ ンクス DSP ブロ ッ クセッ トのブロ ッ クを使用して DSP デザインを作成します。 標準 Simulink ブロ ッ クは、 Gateway In と Gateway Out ブロ ッ クの境界内では使用できません。 フ ィル

タ、 FFT、 FEC コア、 メモ リ、 演算、 論理、 ビッ ト単位ブロ ッ クなど、 DSP デザインを構築するためのさまざまなブロ ッ クが

あ り ます。 これらのブロ ッ クは、 それぞれサイクル精度およびビッ ト精度です。

68 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.3) 2009 年 9 月 16 日

Page 69: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

レッスン 1 : デザイン作成の基礎

HDL コードの生成

デザインが完了したら、 System Generator トークンのパラ メータ ダイアログ ボッ クスにある [Generate] ボタンをク リ ッ ク し

てハードウェア インプリ メンテーシ ョ ン ファ イルを生成します。 [Compilation] で [HDL Netlist] を選択する と、 RTL 合成お

よび配置配線の FPGA インプ リ メ ンテーシ ョ ン プロセスを、 ツールのユーザー インターフェイスを使用して実行できます。

[Bitstream] を選択する と、 System Generator ですべてのインプ リ メンテーシ ョ ン プロセスが自動的に実行されます。

[Create testbench] をオンにする と、Simulink シ ミ ュレーシ ョ ンからテス ト ベクタ ファ イルが抽出されて保存され、ModelSim用の HDL テス トベンチ ファ イルと スク リプ ト ファ イルが生成されます。 これは、生成されたハードウェアが Simulink シ ミ ュ

レーシ ョ ンと機能的に等価であるかど うかを検証するための手順です。 スク リプ ト ファ イルは、 ModelSim と対話形式で使用

する必要があ り ます。

System Generator for DSP 入門ガイ ド japan.xilinx.com 69UG639 (v11.3) 2009 年 9 月 16 日

Page 70: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 4 章 : 入門

System Generator を使用したモデル ベースのデザイン

モデル ベースのデザインとは、標準 Simulink ブロッ クセッ ト または MATLAB を使用してまず高レベルの実行仕様を作成し、

ハードウェアの詳細を 小限にして機能を定義する手法のこ とを指します。 この実行仕様は、ザイ リ ンクス DSP ブロ ッ クセッ

ト を使用してハードウェア表現を指定する際にリ ファレンス モデルと して使用されます。

70 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.3) 2009 年 9 月 16 日

Page 71: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

レッスン 1 : デザイン作成の基礎

MATLAB を使用した入力ベクタの作成

Simulink は MATLAB をベース と して構築されており、 入力信号の生成および出力の解析に MATLAB 言語を使用できます。

Simulink の Sources ラ イブラ リにある From Workspace ブロ ッ クおよび Sinks ラ イブラ リにある To Workspace ブロ ッ クを使

用できます。 入力値は n 行 X 2 列の行列で指定する必要があ り ます。 こ こで、 1 列目はシ ミ ュレーシ ョ ン時間、 2 列目は入力

値です。 これは、 System Generator デザインの入力ベクタを生成するのによ く使用される方法です。

System Generator for DSP 入門ガイ ド japan.xilinx.com 71UG639 (v11.3) 2009 年 9 月 16 日

Page 72: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 4 章 : 入門

レッスン 1 のまとめ

• Gateway In と Gateway Out ブロ ッ クを使用して、FPGA デザインと Simulink システムを分離

します。

• 各シートに必ず System Generator トークンを含めます。

• Gateway ブロ ッ クの境界内では、 ザイ リ ンクス DSP ブロ ッ クセッ トのブロ ッ クのみが使用可

能です。

• From Workspace および To Workspace ブロ ッ クを使用する と、MATLAB を使用して入力の生

成および出力の解析が可能です。

演習 : Simulink の使用

この演習では、Simulink の基礎を学びます。 Simulink ブロ ッ クセッ ト を使用して単純なデザインを

生成し、シ ミ ュレーシ ョ ンまで実行します。 その後、サンプ リ ング設定を変更して、出力への影響を

確認します。 サブシステムの作成方法も学びます。

この演習の手順は、 次の場所にあ り ます。

...<path_to_sysgen>\examples\getting_started_training\lab1\lab1.pdf

演習 : System Generator 入門

この演習では、Simulink によるモデル ベースのデザイン フローで System Generator を使用してデ

ザインを作成するための基本的な概念を示します。 デザインは、 単純な乗算/加算回路です。

この演習の手順とデザインは、 次の場所にあ り ます。

...<path_to_sysgen>\examples\getting_started_training\lab2\

演習手順 : lab2.pdf

演習デザイン : lab3.mdl

72 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.3) 2009 年 9 月 16 日

Page 73: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

レッスン 2 : 固定小数点およびビッ ト操作

レッスン 2 : 固定小数点およびビッ ト操作

固定小数点数値精度

System Generator では、正の値のみの DSP 操作に対して符号なし、負の値を含む DSP 操作に対して符号付き 2 の補数、1 ビッ

ト制御信号に対してブール値の 3 つのデータ型がサポート されています。 各ブロッ クには、通常量子化パラ メータがあ り ます。

この初期量子化は、 Gateway In ブロ ッ クで定義します。

System Generator for DSP 入門ガイ ド japan.xilinx.com 73UG639 (v11.3) 2009 年 9 月 16 日

Page 74: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 4 章 : 入門

System Generator 固定小数点量子化

ザイ リ ンクスの固定小数点データ型は、合計ビッ ト数と 2 進小数点の位置によ り定義します。 2 進小数点の左側のビッ ト数は、

符号なしの場合は整数ビッ ト 、 符号付きの場合は整数ビッ ト と符号ビッ ト を足したものです。 ザイ リ ンクス FPGA では、 DSPプロセッサのよ うに、 固定小数点値が定義済みの 8 ビッ ト境界に収まる必要はあ り ません。 ロジッ クをビッ ト ご とに拡張し、

必要な固定小数点精度を達成できます。

74 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.3) 2009 年 9 月 16 日

Page 75: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

レッスン 2 : 固定小数点およびビッ ト操作

オーバーフロー モード と量子化モード

System Generator では、 オーバーフロー モード と して [Wrap] ( 上位ビッ ト よ り上のビッ ト を切り捨て)、 [Saturate] (正また

は負の 大値を使用)、 および [Flag as error] (オーバーフローをエラーと してレポート ) がサポート されています。 デフォルト

では、 [Wrap] に設定されています。 この設定にする と、 ハードウェアのコス トが も低くなり ます。 [Saturate] に設定する と、

この操作を実行するためにロジッ クを追加する必要があるので、 アプ リケーシ ョ ンで必要な場合のみ使用するよ うにしてくだ

さい。

量子化プロセスでは、 LSB の [Truncate] ( 下位ビッ ト よ り右のビッ ト を切り捨て) および [Round] ( も近い値に丸める ) がサポート されています。 [Truncate] に設定する と、 ハードウェアのコス トが 小限に抑えられるので、 これがデフォルトです。

[Round] に設定する と、追加のロジッ クが必要となるので、アプ リ ケーシ ョ ンで必要な場合のみ使用するよ うにして ください。

System Generator for DSP 入門ガイ ド japan.xilinx.com 75UG639 (v11.3) 2009 年 9 月 16 日

Page 76: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 4 章 : 入門

ビッ ト レベルの操作

実際の DSP ハード ウェア システムでは、 すべての操作が数学的に表現できるわけではあ り ません。 ほとんどの場合、 信号は

個々のビッ トでアクセスする必要があ り ます。 System Generator ではビッ ト レベルの操作がサポート されており、信号の個々

のビッ ト を再解釈、 結合、 変換、 および抽出できます。 これによ り、 信号ビッ トのパディング、 切り取りなどを厳密に制御し

て実行できます。 これらのブロ ッ クでは、 ハードウェア リ ソースは使用されません。

76 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.3) 2009 年 9 月 16 日

Page 77: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

レッスン 2 : 固定小数点およびビッ ト操作

Reinterpret ブロック

Reinterpret ブロ ッ クは、信号のビッ ト を、その数値または小数点の位置にかかわらず、新しいタイプの値にします。 信号のビッ

ト数は変更されず、データ型のみが再解釈されます。 たとえば、符号なしの [4 1] では 1000 は 4 ですが、符号なしの [4 0] で解釈する と 1000 は 8 になり ます。

System Generator for DSP 入門ガイ ド japan.xilinx.com 77UG639 (v11.3) 2009 年 9 月 16 日

Page 78: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 4 章 : 入門

Convert ブロック

Convert ブロ ッ クは、値ではなく量子化を変更します。 このブロ ッ クでは、値を表すビッ ト数が変化する場合があ り ます。 符号

付きデータ型を符号なしデータ型に変換したり、符号なしのデータ型を符号付きのデータ型に変更したりできます。 Convert ブロ ッ クは、 乗算の後に出力の小数点以下のビッ ト を切り捨てるためによ く使用されます。

78 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.3) 2009 年 9 月 16 日

Page 79: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

レッスン 2 : 固定小数点およびビッ ト操作

Concat ブロック

Concat ブロ ッ クは、 2 つの入力をビッ ト レベルで 1 つの出力に連結します。 このブロ ッ クには、 hi および lo とい う 2 つの入

力ポートがあ り ます。 hi 入力は出力信号の上位ビッ ト、 lo 入力は下位ビッ トにな り ます。 このブロ ッ クは、 信号の上位または

下位にゼロをパディングする場合に有益です。

System Generator for DSP 入門ガイ ド japan.xilinx.com 79UG639 (v11.3) 2009 年 9 月 16 日

Page 80: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 4 章 : 入門

Slice ブロック

Slice ブロ ッ クは、量子化された値の個々のビッ トにアクセスするために使用します。 このブロ ッ クでは、 ビッ トのシーケンス

を指定するのにいくつかの手法が提供されています。 パラ メータ化の際に入力のタイプがわかっている場合は、 これらの手法

を使用するこ とで機能的な利点はあ り ませんが、 入力データの幅および 2 進小数点の位置が変化するよ うなデザインでは、 こ

れらの手法が有益になり ます。 たとえば、 入力の 上位ビッ トのみ、 整数ビッ トのみ、 または小数点以下上位 3 ビッ トのみを

抽出するよ う設定できます。

80 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.3) 2009 年 9 月 16 日

Page 81: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

レッスン 2 : 固定小数点およびビッ ト操作

BitBasher ブロック

BitBasher ブロ ッ クを使用する と、 ビッ ト レベルでの信号処理を Verilog 構文に基づくテキス トで指定できます。 入力信号の

連結および切り取り、 定数の追加がサポート されています。 このブロ ッ クでは、4 つまでの出力がサポート されており、論理式

によ り推論されます。

System Generator for DSP 入門ガイ ド japan.xilinx.com 81UG639 (v11.3) 2009 年 9 月 16 日

Page 82: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 4 章 : 入門

レッスン 2 のまとめ

• ブロ ッ クの出力がユーザー定義の場合、量子化とオーバーフローのオプシ ョ ンを設定できます。

• 量子化は、 小数点以下のビッ ト数が値の小数点以下の部分を表現するのに不十分な場合に行わ

れます。

• オーバーフローは、 データが表現可能な値の範囲外である場合に行われます。

• ビッ ト レベル操作ブロッ クでは、複数のバスを 1 つのバスに連結、ビッ ト数を変更せずにデー

タ型を変換、 ビッ ト を抽出、 値を別のフォーマッ トに変換できます。

• BitBasher ブロ ッ クでは、Verilog に基づくテキス ト仕様によ り ビッ トの操作および追加を実行

できます。

演習 : 信号配線

この演習では、 System Generator 信号配線ブロッ クを使用して、 パディングおよびパディング解除

ロジッ クの設計と検証を実行します。

この演習の手順は、 次の場所にあ り ます。

...<path_to_sysgen>\examples\getting_started_training\lab3\lab3.pdf

82 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.3) 2009 年 9 月 16 日

Page 83: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

レッスン 3 : システム制御

レッスン 3 : システム制御

DSP システムの制御

ハードウェアで DSP システムを開発する場合、あるレベルの制御が通常必要とな り ます。 ステートに応じたビヘイビア、フ ィ

ルタ係数のアップデート などの単純な操作の実行や、 ノンス ト リーミ ング FFT などのバース ト データの制御用にシステム レベルの制御が必要となる場合があ り ます。

System Generator for DSP 入門ガイ ド japan.xilinx.com 83UG639 (v11.3) 2009 年 9 月 16 日

Page 84: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 4 章 : 入門

MCode ブロック

MCode ブロ ッ クは、 ステートに応じた操作や分岐条件制御をインプリ メン トするのに MATLAB を使用できるよ うにします。

このブロ ッ クは、 FIR フ ィルタやマ ト リ ッ クスの反転など、 アルゴ リズム操作の記述には適しません。 これらの操作には、 ザ

イ リ ンクス AccelDSP™ 合成ツールを使用できます。 MCode ブロ ッ クは、 ステート マシンや複雑なマルチプレクサ条件をイ

ンプ リ メ ン ト するのに効率的で便利な方法です。 System Generator で有限ステー ト マシンをインプ リ メ ン ト する場合は、

MCode ブロ ッ クを使用するこ とをお勧めします。

84 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.3) 2009 年 9 月 16 日

Page 85: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

レッスン 3 : システム制御

ザイリンクス xl_state データ型

MCode ブロ ッ クを使用してステート マシンをインプ リ メン トする場合は、ザイ リ ンクスが提供する xl_state とい う MATLAB関数を使用して、 持続型変数を初期化する必要があ り ます。 この関数では、 初期条件と変数の量子化の 2 つの引数を指定しま

す。 たとえば、 ステート マシンに 6 つのステートがある場合、 符号なし 4 ビッ トの量子化が必要です。

System Generator for DSP 入門ガイ ド japan.xilinx.com 85UG639 (v11.3) 2009 年 9 月 16 日

Page 86: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 4 章 : 入門

ステート マシンの例

次の図は、 単純な 2 ステート FSM を示します。 これを、 3 ステート以上のステート マシンに簡単に拡張できます。 state とい

う変数は persistent と宣言されており、 xl_state 関数を使用して符号なしの 2 ビッ ト値に初期化されています。 入力のデコー

ド、 次のステートへの分岐、 出力の割り当てには、 switch-case 文が使用されています。

86 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.3) 2009 年 9 月 16 日

Page 87: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

レッスン 3 : システム制御

Expression ブロック

Expression ブロ ッ クは、 2 つの入力信号に対してビッ ト ごとの NOT、 AND、 OR、 および XOR を実行します。 入力ワード長

は、 2 以上にできます。 2 つの入力のワード長が異なる場合は、 2 進小数点の位置が揃えられてから、エレ メン ト ごとにブール

演算が実行されます。 DSP システムに論理制御をインプリ メン トする場合は、 このブロ ッ クを使用する と便利です。

System Generator for DSP 入門ガイ ド japan.xilinx.com 87UG639 (v11.3) 2009 年 9 月 16 日

Page 88: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 4 章 : 入門

リセッ ト ポート とイネーブル ポート

メモ リ またはス ト レージを含む System Generator ブロ ッ クのほとんどには、 リセッ ト ポート と ク ロ ッ ク イネーブル ポート を

使用するオプシ ョ ンがあ り ます。 選択しない場合は、 これらのポートは自動的にハード ウェアのグローバル リセッ ト と ク ロ ッ

ク イネーブルまたは DCM スキームに接続されます。 System Generator ブロ ッ クでこれらのポート を選択する と、グローバル

信号またはローカル信号が TRUE にアサート されたと きにブロ ッ クを リセッ ト またはイネーブルにする条件が作成されます。

DSP システムでこれらの機能を厳密に制御する必要がある場合には、 これらのポート を使用して ください。

88 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.3) 2009 年 9 月 16 日

Page 89: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

レッスン 3 : システム制御

バースト データ

ザイ リ ンクス DSP ブロ ッ クセッ トに含まれるよ り複雑な DSP ブロ ッ クでは、 バース ト データが生成されます。 たとえば、 ノ

ンス ト リーミ ング FFT では、入力データを処理して有効な出力データを生成するのに数クロ ッ ク サイクルかかり ます。 これら

のブロ ッ クには、 DSP システムで使用する必要のあるデータ フロー制御ポートが含まれます。 これらのポートは、 基本的な

プッシュ モードのデータフロー制御を可能にします。 入力に有効なデータがあるこ とを示す vin ポート と、 出力に有効なデー

タがあるこ とを示す vout ポートがあ り ます。

System Generator for DSP 入門ガイ ド japan.xilinx.com 89UG639 (v11.3) 2009 年 9 月 16 日

Page 90: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 4 章 : 入門

レッスン 3 のまとめ

• ステート マシンや分岐条件ロジッ クには、 MCode ブロ ッ クを使用します。

• ビッ ト レベルでの論理制御をインプリ メン トするには、 Expression ブロ ッ クを使用します。

• 記憶エレ メン トには、リセッ ト ピンと ク ロ ッ ク イネーブル ピンを含めるこ とができます。これ

らのピンは、 System Generator で接続できます。

• バース ト データを処理するブロッ クには、vin および vout というデータフロー制御ピンが含ま

れます。

演習 : システム制御

この演習では、 MCode ブロ ッ クを使用して、 1011 とい う 2 進数値のシーケンスを検出する単純な

ステート マシンを作成します。 この FSM では、10111011 のよ うにシーケンスが連続する場合も検

出する必要があ り ます。

この演習のデータ と手順は、 次の場所にあ り ます。

...<path_to_sysgen>\examples\getting_started_training\lab4\

演習手順 : lab4.pdf

演習データ : lab4.mdl

90 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.3) 2009 年 9 月 16 日

Page 91: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

レッスン 4 : マルチレート システム

レッスン 4 : マルチレート システム

マルチレート システムの作成

次の図は、一般的な基地局レシーバを示します。 電波塔には複数のアンテナがあ り、エ リ アをセク タごとに網羅しています。 ダイアグラムには、 2 つのレシーバ チャネルが示されています。 これらの各チャネルでは、 複雑な ミ キシングが行われ、 実チャ

ネルと虚チャネルに分けられます。

このよ う な DSP システムでは、イコラ イズおよび復調中に実行されるデジタル フ ィルタの前に、入力信号のサンプリ ング レー

トが下げられます。 サンプリ ング レート を下げるこ とで、 フ ィルタのデザインおよびハード ウェアを大幅に簡略化できます。

これらのシステムは、 マルチレート システムと呼ばれます。

System Generator for DSP 入門ガイ ド japan.xilinx.com 91UG639 (v11.3) 2009 年 9 月 16 日

Page 92: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 4 章 : 入門

Up Sample および Down Sample ブロック

System Generator には、 システムのサンプリ ング レート を変更する Up Sample および Down Sample ブロ ッ クが含まれてい

ます。 Up Sample ブロ ッ クは、 サンプ リ ング レート を上げます。 追加されたサンプルの値は、 ブロ ッ ク オプシ ョ ンに応じて、

0 かその前のサンプルの値になり ます。 Down Sample ブロ ッ クは、サンプルを破棄して必要なサンプリ ング レート を達成しま

す。 たとえば、 3 でサンプリ ング レート を下げる場合、 3 サンプルごとに 2 つのサンプルが破棄されます。

92 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.3) 2009 年 9 月 16 日

Page 93: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

レッスン 4 : マルチレート システム

レート変更ファンクシ ョ ン ブロック

System Generator には、 Up Sample および Down Sample ブロ ッ クに加え、 特定のファンクシ ョ ンも実行するレート変更ファ

ンクシ ョ ン ブロ ッ クが含まれています。 Parallel to Serial ブロ ッ クはサンプリ ング レート を上げ、 Serial to Parallel ブロ ッ ク

はサンプリ ング レート を下げます。 FIR Compiler は、 リ ソースが共有される乗算器を使用する場合はサンプリ ング レート を

下げ、 TDM ブロッ クはサンプリ ング レート を上げます。

System Generator for DSP 入門ガイ ド japan.xilinx.com 93UG639 (v11.3) 2009 年 9 月 16 日

Page 94: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 4 章 : 入門

Simulink でのレート変化の表示

Simulink では、異なるサンプリ ング時間を異なる色で表示できますが、この機能は System Generator ブロ ッ クで完全にサポー

ト されています。 サンプリ ング時間の色をイネーブルにするには、 [書式] → [ポート /信号の表示] → [サンプル時間の色分け表

示] をク リ ッ ク します。 Simulink では、 この操作を行ってもモデルの色は自動的にアップデート されないので、 [編集] → [モデ

ルの更新] をク リ ッ ク して表示をアップデートする必要があ り ます。 元の色に戻すには、 [書式] → [ポート /信号の表示] → [サンプル時間の色分け表示] をも う一度ク リ ッ ク してサンプリ ング時間の色をディ スエーブルにします。

94 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.3) 2009 年 9 月 16 日

Page 95: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

レッスン 4 : マルチレート システム

ツールのデバッグ

System Generator には、複雑なマルチレート システムをデバッグするため、3 つのデバッグ ユーティ リ ティが含まれています。

Sample Time (ST) プローブを System Generator 信号に接続し、 Sinks ライブラ リにある Simulink の display ブロ ッ クに接続

する と、 接続されたネッ トのサンプ リ ング時間がディ スプレイに表示されます。

Clock Probe (clk) は入力には接続されず、 スコープ出力のみに接続され、 マスタ ク ロ ッ クが表示されます。 これは、 ClockEnable Probe と共に使用して、 サンプ リ ング レート を下げる際のさまざまな時点におけるク ロ ッ ク イネーブル信号の動作を

表示させるこ とができます。

System Generator for DSP 入門ガイ ド japan.xilinx.com 95UG639 (v11.3) 2009 年 9 月 16 日

Page 96: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 4 章 : 入門

サンプリング周期に関する規則

次の図は、マルチレート システムにおいて Simulink のシステム周期を算出し、System Generator ブロ ッ クのパラ メータ ダイ

アログ ボッ クスに入力する方法を示します。

不正な周期を入力した場合、 サンプ リ ング周期解析ツールによ り適切なサンプリ ング周期が算出され、 値をアップデートする

よ う メ ッセージが表示されます。

96 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.3) 2009 年 9 月 16 日

Page 97: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

レッスン 4 : マルチレート システム

演習 : マルチレート システム

この演習では、 System Generator に含まれるレート変更ブロ ッ クの動作を調べます。 レート変更ブ

ロ ッ クには、Up Sample、Down Sample、Serial to Parallel、および Parallel to Serial などがあ り ます。

この演習の手順とデザインは、 次の場所にあ り ます。

...<path_to_sysgen>\examples\getting_started_training\lab5\

演習手順 : lab5.pdf

演習デザイン : lab5.mdl

System Generator for DSP 入門ガイ ド japan.xilinx.com 97UG639 (v11.3) 2009 年 9 月 16 日

Page 98: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 4 章 : 入門

レッスン 5 : メモリの使用

ブロック RAM と分散 RAMザイ リ ンクス FPGA のメモ リには、 ブロ ッ ク RAM と分散 RAM があ り ます。 ブロ ッ ク RAM は、 オンチップの専用ハード

ウェア リ ソースを使用しており、 エ リ アを も効率的に使用した RAM のインプ リ メ ンテーシ ョ ンが可能です。 ブロ ッ ク

RAM は高パフォーマンスですが、 チップの決まった場所にあるため、 配線遅延が多少大き く なる可能性があ り ます。 分散

RAM は、 FPGA スライスのルッ クアップ テーブルを使用してインプ リ メン ト されるので、 論理演算に使用できるルッ クアッ

プ テーブルの数が減少しますが、 チップの任意の位置に配置できるため、配線遅延が 小限に抑えられ、比較的高いパフォー

マンスを達成できる可能性があ り ます。 分散 RAM は、 小型の FIFO に適しています。

98 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.3) 2009 年 9 月 16 日

Page 99: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

レッスン 5 : メモリの使用

RAM および ROM の初期化

RAM および ROM ブロ ッ クは、 RAM のワード数に一致する 1xn ベク タに初期化できます。 初期値ベクタを設定するには、

MATLAB を使用します。 imread、 auread、 wavread、 load などのファ イル読み出しコマンドを含め、 1xn ベク タを得られる

MATLAB 文を使用できます。

System Generator for DSP 入門ガイ ド japan.xilinx.com 99UG639 (v11.3) 2009 年 9 月 16 日

Page 100: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 4 章 : 入門

System Generator のRAM ブロック

System Generator では、 シングル ポートおよびデュアル ポートの RAM ブロ ッ クが提供されています。 64K までのワード数

がサポート されています。 分散 RAM またはブロ ッ ク RAM を使用してインプリ メン トできます。 System Generator によ りザ

イ リ ンクス メモ リ コンパイラが呼び出され、 指定のパラ メータ、 ビッ ト幅、 ワード数のメモ リ構造がハード ウェアに作成さ

れます。 特定の Virtex® ブロ ッ ク または分散 RAM 構造の詳細について考慮する必要はあ り ません。 シングル ポートおよび

デュアル ポート RAM ブロ ッ クの両方で、 初期化がサポート されています。 RAM のアドレス ポートに接続する信号は、 小数

点以下のビッ トのない符号なしの信号にする必要があ り ます。

100 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.3) 2009 年 9 月 16 日

Page 101: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

レッスン 5 : メモリの使用

System Generator のROM ブロック

ROM ブロ ッ クは、 ブロ ッ ク RAM または分散 RAM を使用してインプ リ メン ト でき、 MATLAB コマンドを使用して初期化

します。 アドレス ポートに接続する信号は、 小数点以下のビッ トのない符号なしの信号にする必要があ り ます。

System Generator for DSP 入門ガイ ド japan.xilinx.com 101UG639 (v11.3) 2009 年 9 月 16 日

Page 102: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 4 章 : 入門

Delay ブロック

Delay ブロ ッ クは、 FPGA を通過するデータフローを同期化するのに使用します。 このブロ ッ クは、 SRL16 とい う スラ イス

ルッ クアップ テーブルで構築される効率的なシフ ト レジスタ構造にマップされ、 レジスタを使用するよ り もエ リ アを 85% 小さ くできます。

102 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.3) 2009 年 9 月 16 日

Page 103: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

レッスン 5 : メモリの使用

FIFO ブロック

FIFO ブロ ッ クは、 ブロ ッ ク RAM および分散 RAM を使用してインプ リ メン トできます。 64K までのワード数がサポート さ

れています。 empty、full、および %full の 3 つの出力フラグがあ り ます。 %full フラグは、ビッ ト幅によって異なり ます。 1 ビッ

トの場合は、 FIFO が 50% 未満フルか 50% 以上フルかを示します。 2 ビッ トの場合は FIFO が 25% フルになるまでゼロで、

その後 25%、 50%、 75% フルであるこ とを示します。

System Generator for DSP 入門ガイ ド japan.xilinx.com 103UG639 (v11.3) 2009 年 9 月 16 日

Page 104: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 4 章 : 入門

Shared Memory ブロック

System Generator では、プロセッサにカスタム ロジッ クを追加するための単純な抽象表現が提供されます。 これは、 カスタム

ロジッ クに含まれる メモリ をプロセッサのメモ リ アドレス空間に簡単にマップできるよ うにするためです。System Generatorでは、 System Generator ブロ ッ クセッ トに含まれている Shared Memory ブロ ッ クを使用してこれを実現できます。

104 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.3) 2009 年 9 月 16 日

Page 105: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

レッスン 5 : メモリの使用

演習 : メモリの使用

この演習では、ブロ ッ ク RAM または分散 RAM を使用して Arcsin などの LUT ベースの演算をイ

ンプ リ メン トするのに、ザイ リ ンクス ROM ブロ ッ クを使用する方法を示します。 この方法では、10ビッ ト以下に量子化可能な入力を持つ三角法および演算ファンクシ ョ ンを効率的にインプ リ メン ト

できます。

この演習の手順とデザインは、 次の場所にあ り ます。

...<path_to_sysgen>\examples\getting_started_training\lab6\

演習手順 : lab6.pdf

演習デザイン : lab6.mdl

System Generator for DSP 入門ガイ ド japan.xilinx.com 105UG639 (v11.3) 2009 年 9 月 16 日

Page 106: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 4 章 : 入門

レッスン 6 : フ ィルタの設計

概要

デジタル フ ィルタは一般的な DSP 操作であ り、 FPGA へのインプリ メン トに適しています。 各クロ ッ ク サイクルで結果を返

すパラレル フ ィルタは、 高パフォーマンス アプリ ケーシ ョ ンで有益です。 Virtex®-5 デバイスには、 640 個までのパラレル乗

算器が含まれています。 FIR Compiler は、一般的な FIR フ ィルタの作成にこれらの乗算器が も効率的に使用されるよ う設計

されています。 別のインプ リ メンテーシ ョ ン方法は 「分散演算」 と呼ばれ、 乗算器を使用せずに、 シフ ト加算手法を使用して

FIR フ ィルタを作成します。 この方法は、 小型のデバイスで乗算器がほかのファンクシ ョ ンに割り当てられている場合に使用

されます。

106 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.3) 2009 年 9 月 16 日

Page 107: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

レッスン 6 : フ ィルタの設計

Virtex DSP48 スライス

Virtex® ファ ミ リには、乗算器と共に、高パフォーマンスの演算ユニッ トである低消費電力の DSP48 スライスが含まれていま

す。 次の図は、 DSP48 の構造を詳細に示しています。 DSP48 スライスは、 (1) I/O レジスタ、 (2) 符号付き乗算器、 (3) 3 入力

加減算器、 (4) OPMODE マルチプレクサの 4 つのセクシ ョ ンから構成されています。

System Generator for DSP 入門ガイ ド japan.xilinx.com 107UG639 (v11.3) 2009 年 9 月 16 日

Page 108: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 4 章 : 入門

FIR Compiler ブロック

ザイ リ ンクス FIR Compiler ブロッ クは、 高速 MAC ベースの FIR フ ィルタをインプリ メン ト します。 このブロ ッ クは、 入力

データのス ト リームを受信し、 フ ィルタのコンフ ィギュレーシ ョ ンに応じてフ ィルタ処理した結果を、 固定の遅延で出力しま

す。 FIR Compiler では、リ ソースの共有またはパラレル FIR 構造、多相デシメーシ ョ ンおよび補間構造を生成できます。 また、

オーバーサンプリ ングもサポート されています。 係数は、 MATLAB コマンドを使用して指定します。

108 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.3) 2009 年 9 月 16 日

Page 109: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

レッスン 6 : フ ィルタの設計

FDATool を使用した係数の作成

MathWorks 社の FDATool は、FIR Compiler ブロ ッ クの係数を生成するために使用できるグラフ ィカルなフ ィルタ設計プログ

ラムです。 ザイ リ ンクスの FDATool ブロッ クは、 MATLABの Signal Processing Toolbox の一部である FDATool ソフ ト ウェアへのインターフェイスにな り ます。

System Generator for DSP 入門ガイ ド japan.xilinx.com 109UG639 (v11.3) 2009 年 9 月 16 日

Page 110: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 4 章 : 入門

FDATool の係数の使用

適切なフ ィルタ応答を設計したら、 [ファ イル] → [エクスポート ] をク リ ッ ク して係数をワークスペースにエクスポート しま

す。 このワークスペース変数は、 FIR Compiler のパラ メータ ダイアログ ボッ クスで使用できます。

110 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.3) 2009 年 9 月 16 日

Page 111: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

レッスン 6 : フ ィルタの設計

演習 : フ ィルタの設計

この演習では、 Filter Compiler ブロ ッ クを使用して、 Virtex®-4 アーキテクチャ用に 適化された

フ ィルタを生成します。

この演習の手順とデザインは、 次の場所にあ り ます。

...<path_to_sysgen>\examples\getting_started_training\lab7\

演習手順 : lab7.pdf

演習デザイン : lab7.mdl

System Generator for DSP 入門ガイ ド japan.xilinx.com 111UG639 (v11.3) 2009 年 9 月 16 日

Page 112: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 4 章 : 入門

その他の例とチュート リアル

System Generator のマニュアルには、System Generator の機能を示すさまざまな例が含まれていま

す。 これらの例は、<sysgen_tree>\examples ディ レク ト リにあ り、次の表にリ ス ト されています。 これらの例に加え、 System Generator にもデモ ページから実行可能なデモ モデルが含まれています。

メモ : MATLAB ヘルプ ブラウザを使用している場合は、 このページから例を直接開き、 実行でき

ます。 例を実行するには、リ ンクをク リ ッ ク して ください。 MATLAB のディ レク ト リが例のディ レ

ク ト リに変更され、 例のモデルが開きます。

ブラック ボックスの例

ChipScope の例

DSP の例

例 説明

VHDL モジュールのイン

ポート ブラ ッ ク ボッ クスを使用して VHDL を System Generator デザイ

ンにインポート し、 ModelSim を使用して VHDL モジュールに対

して協調シ ミ ュレーシ ョ ンを実行する方法を示します。

複数のブラ ッ ク ボッ クスの

同時シ ミ ュレーシ ョ ン 1 つの ModelSim ラ イセンスを使用して、複数のブラ ッ ク ボッ クス

に対して同時に協調シ ミ ュレーシ ョ ンを実行する方法を示します。

ダイナミ ッ ク ブラ ッ ク ボッ クス

ブラ ッ ク ボッ クスのパラ メータ指定方法を示します。

Verilog モジュールのイン

ポート ブラ ッ ク ボッ クスを使用して Verilog を System Generator デザイ

ンにインポート し、 ModelSim を使用して Verilog モジュールに対

して協調シ ミ ュレーシ ョ ンを実行する方法を示します。

CORE Generator モジュー

ルのインポート CORE Generator モジュールをブラ ッ ク ボッ クス と してインポー

トする方法を示します。

例 説明

ChipScope Pro Analyzer を使用した リアルタイム ハー

ド ウェア デバッグ

ザイ リ ンクスのデバッグ ツールである ChipScope Pro™ を

System Generator 内で接続し、 使用する方法を示します。 SystemGenerator フローに ChipScope Pro を統合する と、システム スピー

ドで リアル タイムのデバッグを実行できます。

例 説明

DSP48 ブロッ ク DSP48 ブロ ッ クを、DSP48 命令を供給する Constant ブロ ッ ク と共

に使用する方法を示します。

DSP48 Macro ブロ ッ ク DSP48 Macro ブロ ッ クを使用して複素乗算器をインプ リ メン トす

る方法を示します。

112 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.3) 2009 年 9 月 16 日

Page 113: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

その他の例とチュート リアル

MCode の例

DSP48 ブロッ ク

(DSP48 と Constant ブロ ッ クを使用した 35 ビッ ト乗算器)

DSP48 と Constant ブロ ッ クを使用して、 35 X 35 ビッ トの乗算器

を異なるサンプリ ング レートでインプ リ メン トする方法を示しま

す。 サンプルごとに 1、 2、 4 クロ ッ ク サイクルの 3 つの乗算器イ

ンプ リ メンテーシ ョ ンを示します。

DSP48 Macro ブロ ッ ク

(DSP48 Macro ブロ ッ クを MAC ファンクシ ョ ンと し

て使用した FIR フ ィルタ )

DSP48 Macro ブロ ッ クを使用して 35 X 35 乗算器をインプ リ メン

トする方法を示します。

DSP48 ブロッ ク

DSP48 ブロッ クを使用し

た FIR フ ィルタの例

DSP48 と Constant ブロ ッ クを使用して FIR フ ィルタをインプ リ

メン トする方法を示します。 このデザインには、タイプ 1 およびタ

イプ 2 のアーキテクチャを使用したパラレル、セ ミパラレル、シー

ケンシャル FIR フ ィルタが含まれています。 各フ ィルタは、DSP48ベースの 16 タ ップ FIR フ ィルタをインプ リ メン ト します。

DSP48 設計手法

(DSP48 ベースのダイナ

ミ ッ ク シフタ )

2 つの DSP48 ブロ ッ クを使用して、35 ビッ トの符号付きライ ト シフ ト をインプ リ メン トする方法を示します。

DSP48 設計手法

(Virtex®-4 用の合成可能な FIR フ ィルタ )

System Generator を使用して、 Virtex®-4 アーキテクチャに効率的

にマップできる合成可能な FIR フ ィルタをインプ リ メン トする方

法を示します。

DSP48 Macro ブロ ッ ク

(DSP48 Macro ブロ ッ クを MAC ファンクシ ョ ンと し

て使用した FIR フ ィルタ )

DSP48 Macro ブロ ッ クを使用してシーケンシャル FIR フ ィルタを

インプ リ メン トする方法を示します。

MAC FIR フ ィルタ MAC エンジンとデータ と係数の保存にデュアル ポート RAM を使用した 43 タ ップ FIR フ ィルタをインプ リ メン ト します。

複素 FIR フ ィルタ System Generator と Simulink ライブラ リのブロ ッ クから複素 FIRフ ィルタを作成する方法を示します。

例 説明

例 説明

単純なセレクタ 入力の 大値を返すファンクシ ョ ンをインプ リ メ ン トする方法を示し

ます。

単純な数値演算 単純な数値演算をインプ リ メン トする方法を示します。

レ イテンシのある複素

乗算器

レイテンシのある複素乗算器を構築する方法を示します。

シフ ト操作 シフ ト操作のインプ リ メン ト方法を示します。

MCode ブロ ッ クへ

パラ メータを渡す

MCode ブロ ッ クにパラ メータを渡す方法を示します。

System Generator for DSP 入門ガイ ド japan.xilinx.com 113UG639 (v11.3) 2009 年 9 月 16 日

Page 114: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 4 章 : 入門

プロセッサの例

共有メモリの例

オプシ ョ ンの入力

ポート

MCode ブロ ッ クにオプシ ョ ンの入力ポート をインプ リ メン トする方

法を示します。

有限ステートマシン 有限ステート マシンのインプリ メン ト方法を示します。

パラ メータ指定

アキュムレータ

パラ メータ指定アキュムレータの構築方法を示します。

FIR ブロ ッ ク と検証 FIR ブロ ッ クのモデリ ング方法とシステムの検証方法を示します。

RPN カ リキュレータ RPN カ リキュレータ (スタ ッ ク マシン) のモデリ ング方法を示します。

disp 関数の例 disp 関数を使用する方法を示します。

例 説明

例 説明

MicroBlaze プロセッサ ペリ フェラルの設計と

エクスポート

MicroBlaze™ プロセッサ用のペリ フェラル (pcore) の設計方法と、

System Generator から Xilinx Platform Studio (EDK) にエクスポート

する方法を示します。 RGB からグレー スケールへの色空間変換を作

成し、 EDK へのエクスポート を使用して pcore へ生成します。

チュート リ アル : MicroBlaze プロセッサ システムの設計とシ

ミ ュレーシ ョ ン

XPS を使用して作成したMicroBlaze プロセッサを System Generatorにインポートする方法を示します。 MicroBlaze プロセッサへのコプロ

セッサと して、 DSP48 ブロ ッ クが使用されています。

PicoBlaze マイ クロコ

ン ト ローラ アプリケー

シ ョ ンの設計

PicoBlaze™ プログラムを System Generator にインプ リ メン トする方

法を示します。 この例では、 割り込み中の DDS (Direct DigitalSynthesizer) の出力周波数を変更するよ う PicoBlaze をプログラムし

ます。

例 説明

さ まざまなモデル間のシ ミ ュ

レーシ ョ ン

Simulink モデル間で通信する共有メモリ を示します。

ホス ト PC 共有メモ リのアク

セス

共有メモ リ と通信するための Developer Studio プロジェク ト

ハード ウェア協調シ ミ ュレー

シ ョ ンを使用した高速ビデオ

処理

高速協調シ ミ ュレーシ ョ ン バッファ インターフェイスについ

て説明し、このインターフェイスを 5X5 フ ィルタ カーネルを使

用したビデオ ス ト リームのリアル タイム処理に使用する例を

示します。

高速 I/O バッファ処理 ハードウェア協調シ ミ ュレーシ ョ ン用の高速共有メモ リ I/Oバッファ インターフェイスを示します。

114 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.3) 2009 年 9 月 16 日

Page 115: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

その他の例とチュート リアル

タイ ミ ング解析の例

その他の例

System Generator デモ

System Generator for DSP を使用する と、 Simulink を使用して FPGA に高パフォーマンスの DSPシステムをインプ リ メン トできます。 ザイ リ ンクス ブロ ッ クセッ トには、 演算ファンクシ ョ ンや論

理ファンクシ ョ ン、デジタル フ ィルタ処理用の DSP 機能、スペク トル解析、デジタル通信のビッ ト

精度およびサイクル精度のモデルが含まれています。 System Generator は、ザイ リ ンクス ブロ ッ ク

の Simulink モデルを、FPGA で効率的に動作するよ う設計された合成可能 VHDL および IP ブロ ッ

クを使用したハードウェア インプリ メンテーシ ョ ンに変換します。

SharedMemory

(mex 関数インターフェイス)

共有メモ リへのインターフェイス と して mex 関数を使用する方

法を示します。

複数ク ロ ッ クのサイ クル単位

アイランドの生成 2 つの非同期クロ ッ クを使用した例を示します。

共有メモリ、 FIFO への送信、

レジス タへの送信、 レジス タ

からの送信

共有メモ リ、FIFO、およびレジスタを使用して情報を転送する方

法を示します。

ハード ウェア協調シ ミ ュレー

シ ョ ン を使用 し た フ レーム

ベースの シ ミ ュレーシ ョ ン

FPGA ハードウェア協調シ ミ ュレーシ ョ ンを使用したシ ミ ュ

レーシ ョ ンを高速化するためにフレーム ベースまたはベクタ

ベースの転送を使用する方法を示します。

例 説明

例 説明

チュート リ アル : タイ ミ ング

解析の使用 System Generator のタイ ミ ング解析ツールを使用して、 SystemGenerator デザインのタイ ミ ング要件を満たす方法を示します。

また、 デザインがタイ ミ ングを満たさない場合の手法も説明し

ます。

例 説明

System Generator デザイン

の大型システムへのイン

ポート

System Generator から VHDL ネッ ト リ ス ト を取り出して合成

し、 大型デザインに組み込む方法を示します。 また、 SystemGenerator で作成した VHDL をシステム全体のシ ミ ュレーシ ョ

ン モデルに組み込む方法も示します。

コンフ ィギャブル サブシス

テムと System Generator シ ミ ュレーシ ョ ンおよび生成用にコンフ ィギャブル サブシステ

ムを使用する方法を示します。

積分器 積分器を使用してエラー解析機能を示します。

ブロ ッ ク RAM ベースのス

テート マシン

リ ファレンス ラ イブラ リの Mealy State Machine ブロ ッ クの使用

を示します。

System Generator for DSP 入門ガイ ド japan.xilinx.com 115UG639 (v11.3) 2009 年 9 月 16 日

Page 116: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

第 4 章 : 入門

System Generator には、ツールの主要な機能を活用した多数のデモ デザインが含まれており、現実

のデザイン アプリ ケーシ ョ ンを使用して適切なデザイン手法を示します。 これらのデザインには、

System Generator デモ ページからアクセスできます。

116 japan.xilinx.com System Generator for DSP 入門ガイ ド

UG639 (v11.3) 2009 年 9 月 16 日

Page 117: System Generator for DSP 入門ガイド...System Generator for DSP 入門ガイド japan.xilinx.com UG639 (v11.4) 2010 年 12 月 2 日 Xilinx is disclosing this user guide, manual,

System Generator for DSP 入門ガイ ド japan.xilinx.com 117UG639 (v11.4) 2009 年 12 月 2 日

IISE

ザイ リ ンクス 20, 35, 36, 39, 40, 45, 51, 52

ISE Design Suite インス トーラ 21

MMATLAB 20, 35, 36, 39, 40, 45, 51,

52, 54, 56, 58, 60ModelSim 54, 56, 58, 60ModelSim SE 21

SSimulink 35, 36, 39, 40, 45, 51, 52System Generator

ISE Design Suite インス トーラ 21キャ ッシュ 30ソフ ト ウェアのダウンロード 19バージ ョ ンの切り替え 30バージ ョ ンの表示 30

System Generator ユーティ リ ティ

xlUpdateModel 61

XxlUpdateModel 61

インス トール

ソフ ト ウェア要件 21ハード ウェア協調シ ミ ュレーシ ョ

ン 29

互換性

MATLAB 20, 35, 36, 39, 40, 45, 51, 52, 54, 56, 58, 60

ModelSim 54, 56, 58, 60ModelSim SE 21Simulink 35, 36, 39, 40, 45, 51, 52Synplify Pro 21, 54, 56, 58, 60

ザイ リ ンクス ISE 35, 36, 39, 40, 45, 51, 52, 20

コンパイル

ザイ リ ンクス HDL ラ イブラ リ 30

ザイ リ ンクス HDL ライブラ リ

コンパイル 30サポート される OS

Red Hat Linux 4.7 (32 ビッ トおよび 64 ビッ ト ) 20, 36, 40, 45, 52

Red Hat Linux 5.2 (32 ビッ トおよび 64 ビッ ト ) 36, 40

SUSE Linux Enterprise 10 (32 ビッ トおよび 64 ビッ ト ) 40

SUSE Linux Enterprise 10.1 (32 ビッ トおよび 64 ビッ ト ) 36

Windows Vista 32 ビッ トおよび 64 ビッ ト 35, 39

Windows XP 32 ビッ ト 20, 45, 51Windows XP 32 ビッ トおよび 64

ビッ ト 35, 39

設定

System Generator キャ ッシュ 30

ダウンロード

System Generator 19

ハード ウェア協調シ ミ ュレーシ ョ ン

インス トール 29

索引