through silicon via (tsv) datasheet ts107… · 2019-05-10 · through silicon via (tsv) 2.5d...

6
TECHNOLOGY SOLUTIONS Through Silicon Via (TSV) Through Silicon Via (TSV) 기술은 다양한 2.5D 및 3D 패키지 애플리케이션 및 아키텍처를 제공합니다. TSV는 뛰어난 성능과 낮은 소비 전력 요구사항을 충족합니다. Through Silicon Via (TSV) Wafer Finishing & Flip Chip Stacking Through Silicon Via (TSV) 기술은 최소한의 전력으로 뛰어난 성능과 기능을 요구하는 2.5D 및 3D TSV 패키지 애플리케이션 및 아키텍처를 제공하기 위해 개발되었습니다. 2.5D / 3D TSV 아키텍처에서 TSV의 사용을 가능하게 하기 위해 앰코는 TSV를 포함하는 웨이퍼 및 어셈블리의 양산을 가능하게 하는 다양한 백엔드 플랫폼 기술을 개발했습니다. * 앰코는 파운드리 웨이퍼에 대한 TSV 형성을 제공하지 않습니다. 앰코의 TSV 웨이퍼 공정은 TSV가 이미 형성된 300 mm 웨이퍼로 시작됩니다. 웨이퍼 공정에서 웨이퍼를 얇게 만들고, 웨이퍼 뒷면에 금속 배선을 생성하여 TSV 연결을 완성합니다. TSV 노출과 뒷면의 금속 배선 생성은 보통 MEOL (Middle-End-Of-Line)이라고 합니다. 앰코의 MEOL 도구 및 공정은 다음과 같습니다. f 웨이퍼 지지 Bonding 및 De-bonding f TSV 웨이퍼 박막화 f TSV 노출과 CMP f 웨이퍼 후면 Passivation f 필요시 인터포저 웨이퍼 후면의 Cu 재배치 f 마이크로필러, C4 접합 무연 도금 f 웨이퍼 레벨 프로브 Amkor’s Role in 2.5D-TSV & 3D-TSV Packaging with TSV Interconnects 앰코는 TSV 웨이퍼의 백엔드 프로세스(MEOL), 범핑 및 2.5D-TSV와 3D-TSV 어셈블리를 위한 TSV 기술 솔루션을 개발했습니다. 여기에는 다음과 같은 고급기술이 요구됩니다. f TSV 베어링 웨이퍼의 웨이퍼 지지 Bonding 및 De-bonding 박형 웨이퍼 관리를 위한 Wafer Support System (WSS) f TSV MEOL 프로세스 TSV 베어링 웨이퍼의 Bonding 및 De-bonding 제품의 요구사항에 맞추어 웨이퍼 두께 축소 (3D-TSV : 50 μm, 2.5D-TSV : 100 μm) 웨이퍼 후면 Passivation CMP Planarization 포함 TSV 노출 프로세스

Upload: others

Post on 25-Dec-2019

2 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Through Silicon Via (TSV) Datasheet TS107… · 2019-05-10 · Through Silicon Via (TSV) 2.5D Platform (생산되는 제품의 예) TV/ PLATFORM GPU NETWORKING AI SERVER FLOOR PLAN

TECHNOLOGY SOLUTIONS

Through Silicon Via (TSV)Through Silicon Via (TSV) 기술은 다양한 2.5D 및 3D 패키지 애플리케이션 및 아키텍처를 제공합니다. TSV는 뛰어난 성능과 낮은 소비 전력 요구사항을 충족합니다.

Through Silicon Via (TSV) Wafer Finishing & Flip Chip StackingThrough Silicon Via (TSV) 기술은 최소한의 전력으로 뛰어난 성능과 기능을 요구하는 2.5D 및 3D TSV 패키지 애플리케이션 및 아키텍처를 제공하기 위해 개발되었습니다. 2.5D / 3D TSV 아키텍처에서 TSV의 사용을 가능하게 하기 위해 앰코는 TSV를 포함하는 웨이퍼 및 어셈블리의 양산을 가능하게 하는 다양한 백엔드 플랫폼 기술을 개발했습니다. * 앰코는 파운드리 웨이퍼에 대한 TSV 형성을 제공하지 않습니다.

앰코의 TSV 웨이퍼 공정은 TSV가 이미 형성된 300 mm 웨이퍼로 시작됩니다. 웨이퍼 공정에서 웨이퍼를 얇게 만들고, 웨이퍼 뒷면에 금속 배선을 생성하여 TSV 연결을 완성합니다.

TSV 노출과 뒷면의 금속 배선 생성은 보통 MEOL (Middle-End-Of-Line)이라고 합니다. 앰코의 MEOL 도구 및 공정은 다음과 같습니다.

f 웨이퍼 지지 Bonding 및 De-bonding f TSV 웨이퍼 박막화 f TSV 노출과 CMP f 웨이퍼 후면 Passivation f 필요시 인터포저 웨이퍼 후면의 Cu 재배치 f 마이크로필러, C4 접합 무연 도금 f 웨이퍼 레벨 프로브

Amkor’s Role in 2.5D-TSV & 3D-TSV Packaging with TSV Interconnects앰코는 TSV 웨이퍼의 백엔드 프로세스(MEOL), 범핑 및 2.5D-TSV와 3D-TSV 어셈블리를 위한 TSV 기술 솔루션을 개발했습니다. 여기에는 다음과 같은 고급기술이 요구됩니다.

f TSV 베어링 웨이퍼의 웨이퍼 지지 Bonding 및 De-bonding ▷ 박형 웨이퍼 관리를 위한 Wafer Support System (WSS)

f TSV MEOL 프로세스 ▷ TSV 베어링 웨이퍼의 Bonding 및 De-bonding ▷ 제품의 요구사항에 맞추어 웨이퍼 두께 축소 (3D-TSV : 50 μm, 2.5D-TSV : 100 μm)

▷ 웨이퍼 후면 Passivation ▷ CMP Planarization 포함 TSV 노출 프로세스

Page 2: Through Silicon Via (TSV) Datasheet TS107… · 2019-05-10 · Through Silicon Via (TSV) 2.5D Platform (생산되는 제품의 예) TV/ PLATFORM GPU NETWORKING AI SERVER FLOOR PLAN

Through Silicon Via (TSV)

2.5D Platform (생산되는 제품의 예)

TV/ PLATFORM

GPU NETWORKING AI SERVER

FLOOR PLAN Substrate Si InterposerOrganic

InterposerMemory/

Small LogicLogic

f 고급 TSV 웨이퍼 마무리 ▷ 전면·후면 인터포저 웨이퍼 범핑 ▷ 40 μm 피치 Cu 필러 및 랜딩패드 마이크로 범프 ▷ 후면 재배치

f 고급 어셈블리 기술

▷ 기능성 칩 마이크로 Cu 필러 범프 ▷ 기능성 칩 Chip-to-Wafer (CoW) 플립칩 연결 ▷ 300 mm 인터포저 웨이퍼 몰딩 ▷ 성형 웨이퍼의 백그라인드 ▷ 대형 성형 CoW 모듈 연결 ▷ 2.5D-TSV 어셈블리 통합을 위한 다양한 크기의 FCBGA 지원(25~60 mm)

▷ 3D-TSV 어셈블리 통합을 위한 다양한 크기의 fcCSP 지원(10~25 mm)

▷ 베어 칩, 리드 탑재 및 오버 몰드 어셈블리 옵션을 라인업

▷ 부분적으로 조립된 CoS 모듈 및 CoW 모듈을 위한 중간 전기 테스트

f 인증 ▷ 3D-TSV 플랫폼 ▷ 2.5D-TSV 플랫폼

Page 3: Through Silicon Via (TSV) Datasheet TS107… · 2019-05-10 · Through Silicon Via (TSV) 2.5D Platform (생산되는 제품의 예) TV/ PLATFORM GPU NETWORKING AI SERVER FLOOR PLAN

Through Silicon Via (TSV)

기능성 웨이퍼의 미세 피치 마이크로 Cu 필러 범프 – TSV 어셈블리와 첨단 패키지의 핵심

3D Platform (생산되는 제품의 예)

TV/ PLATFORM

AP DDR4-3DS HBM LOGIC-DRAM

FLOOR PLAN Substrate OvermoldMemory/

Small LogicLogic

Page 4: Through Silicon Via (TSV) Datasheet TS107… · 2019-05-10 · Through Silicon Via (TSV) 2.5D Platform (생산되는 제품의 예) TV/ PLATFORM GPU NETWORKING AI SERVER FLOOR PLAN

Through Silicon Via (TSV)

BS C4

Fab BEOL

TSV

BS RDL

FS Pad

2.5D TSV Integration

TSV

Fab BEOL

FS CuP

BS Pad

3D TSV Integration

2.5D & 3D TSV Reveal Processing (MEOL)

“MEOL” TSV Reveal

TSV WaferFabrication

Front SideBumping Packaging TestBack Side

Bumping MEOL2MEOL1

Front Side (FS): Cu/Ni/Au Pad Front Side (FS): Cu Pillar

Completed TSV Structure

Back Side (BS): Cu RDL+PBO+Ni/LF BumpBack Side (BS): Ni/Au Pad

“MEOL” TSV Reveal

Completed TSV Structure

TSV WaferFabrication

Front SideBumping

Back SideBumpingMEOL1 MEOL2 Packaging Test

Page 5: Through Silicon Via (TSV) Datasheet TS107… · 2019-05-10 · Through Silicon Via (TSV) 2.5D Platform (생산되는 제품의 예) TV/ PLATFORM GPU NETWORKING AI SERVER FLOOR PLAN

Through Silicon Via (TSV)

2.5D CoW Final Assembly 3D CoS Final Assembly

2.5D CoS Assembly

2.5D + 3D Assembly

3D CoS Assembly

Top Die

Substrate

BS C4

TSV

CuP InterconnectTop Die

Substrate

TSV

CuP Interconnect

8 Die Stack Using DRAM Device

Amkor TSV Assembly Platform CoS (Chip on Substrate) Assembly Die Stacking

Page 6: Through Silicon Via (TSV) Datasheet TS107… · 2019-05-10 · Through Silicon Via (TSV) 2.5D Platform (생산되는 제품의 예) TV/ PLATFORM GPU NETWORKING AI SERVER FLOOR PLAN

CoW (Chip on Wafer) Assembly Die Stacking

2.5D CoW Assembly: Die Site Population on Wafer & Die Underfill

2.5D CoW Assembly: Wafer Overmold and Module Thinning

2.5D CoW Module

2.5D CoW Final Assembly

2.5D CoW Module

2.5D CoW Module

본 문서의 모든 콘텐츠는 저작권법에 따라 무단복제 및 배포를 금지하며, 제공된 정보의 정확성을 보장하지는 않습니다. 앰코는 본 문서의 정보사용에 따른 특허나 라이선스 등과 관련된 어떠한 형태의 피해에 대해서도 책임을 지지 않습니다. 본 문서는 앰코의 제품보증과 관련하여 표준판매약관에 명시된 것 이상으로 확대하거나 변경하지 않습니다. 앰코는 사전고지 없이 수시로 제품 및 제품정보를 변경할 수 있습니다. 앰코의 이름 및 로고는 Amkor Technology, Inc.의 등록상표입니다. 그 외 언급된 모든 상표는 각 해당 회사의 자산입니다. © 2019 Amkor Technology Incorporated. All Rights Reserved. TS107C Rev Date: 4/19

자세한 내용은 amkor.com을 방문하거나 [email protected]로 이메일을 보내십시오.