tokyo electron corporate update · 2018. 11. 5. · corp ir / 2018.11.05 2 3 11 23 30 35 39 42 47...
TRANSCRIPT
CORP IR / 2018.11.05 1
November 05, 2018
Tokyo Electron
Corporate Update
CORP IR / 2018.11.05 2
3
11
23
30
35
39
42
47
53
1. TEL Overview
2. Medium-term Management Plan TEL Initiatives and Progress
3. FY2019 Business Environment and Financial Estimates
Appendix 1: Effects of EUV Lithography Adoption
Appendix 2: Diversity of Semiconductor Technology
Appendix 3: Deposition System
Appendix 4: Etch System
Appendix 5: FPD Production Equipment
Appendix 6: Financial Data
Contents
CORP IR / 2018.11.05 3
TEL Overview
CORP IR / 2018.11.05 4
Distributor of other suppliers’ productsEstablished development/
manufacturing functionsGlobalization Production reform
TEL is Innovative and Flexible to Market Change
0
300
600
900
1,200
1,500
65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 00 01 02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 19(E)
(Billion Yen)
(FY)
TEL consolidated net sales
Listed on TSE #2 in1980 and #1 in 1984 Strengthened corporate governanceEstablished first
manufacturing JV
(TEL-Thermco)Discontinued export business of
consumer productsBegan overseas direct operations
Semiconductor applications
Mainframe computer
Mobile phonePC
Consumer electronics, etc.
Striving for
new growth
CORP IR / 2018.11.05 5
Financial Performance: Sales and Operating Margin
(Billion Yen)
4M DRAM oversupply • IT bubble crash
• Excessive logic foundry investment
• Financial crisis in Asia
• 64M DRAM oversupply
• World financial crisis
• Memory oversupply
(FY)
• Effects of European debt crisis,
slowdown in emerging markets
• Weak demand for PC, mobile
723.8
906.0
668.7
497.2612.1613.1
663.9
799.7
1,130.7
1,280.0
16.7%
-4.4%
18.6%
-0.5%
14.6%
2.5%5.3%
14.4%17.6%
19.5%
24.9%24.1%
-10%
0%
10%
20%
30%
40%
50%
-300
0
300
600
900
1,200
1,500
90 91 92 93 94 95 96 97 98 99 00 01 02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 19(E)
TEL Net sales
Operating income
Operating margin
CORP IR / 2018.11.05 6
US$ 1,887B
US$ 420B
US$ 51B
The Market TEL Participates in
¥1,055.2B, 93%
¥75.0B, 7%
¥0.4B, 0%
¥1,130.7B
CY2017 World Market
Electronic System
Semiconductor
WFE* CAPEX
* The semiconductor production process can be divided into two sequential sub-
processes: front-end (wafer fabrication) and back-end (assembly and test)
production. WFE is used in the front-end production process. Front-end production
equipment includes equipment for wafer level packaging.
Source: Gartner, “Forecast: Semiconductor Wafer Fab Equipment (Including Wafer-
Level Packaging), Worldwide, 1Q18 Update” 8 May 2018
Charts/graphics created by Tokyo Electron based on Gartner research.
FY2018 TEL Sales(April 2017-March 2018)
FPD Production
Equipment
(FPD)
Semiconductor
Production
Equipment
(SPE)
Others
CORP IR / 2018.11.05 7
Oxidation/Diffusion
FPD Coater/Developer FPD Etcher/Asher
Deposition System Cleaning SystemCoater/Developer Dry Etch System
87%
26%36%
31%
25%
19%
71%
TEL Main Products World Market Share (CY2017)
ALD
38%
CVD
42%
Semiconductor Production Equipment
FPD Production Equipment (FY2018)
Source (SPE): Gartner, “Market Share: Semiconductor Wafer Fab Equipment, Worldwide, 2017”, 18 April 2018,
Charts/graphics created by Tokyo Electron based on Gartner research.
Coater/Developer: Photoresist processing (Track), Dry Etch System: Dry etch, Deposition System: Tube CVD + Atomic layer
deposition tools + Oxidation/diffusion furnaces + Nontube LPCVD, ALD: Atomic layer deposition tools, CVD: Tube CVD + Nontube
LPCVD, Oxidation/Diffusion: Oxidation/diffusion, Cleaning System: Wet stations + Spray processors + Other clean process
Source (FPD): TEL survey
CORP IR / 2018.11.05 8
World Top 10 SPE Makers
CY2017 Revenue Ranking
10.61
8.13
7.24
7.16
2.84
1.56
1.39
1.15
1.03
0.96
Applied Materials
Lam Research
Tokyo Electron
ASML
KLA-Tencor
Screen SemiconductorSolutions
Teradyne
Advantest
Hitachi High-Tech
Hitachi Kokusai
(Billions of US$)
SCREEN
Semiconductor Solutions
Source; The Chip Insider Equipment & Emerging Markets (VLSI Research, June 2018)
CORP IR / 2018.11.05 9
TEL’s Sustainability (economic value × social value = corporate value creation)
Environment Climate change, water, biodiversity, environmental management
Social Human rights, employment and labor, health and safety, supply chain, local communities
Governance Corporate governance, compliance, risk management
Going forward, continue to work to resolve social issues and contribute to the
achievement of sustainable development goals (SDGs) through our business
activities in accordance with the Ten Principles of the UN Global Compact and RBA*
code of conduct
Continue to be a company trusted by all stakeholders* RBA: Responsible business alliance
CORP IR / 2018.11.05 10
Contributing to the Environment is a Key Strategy
Technological proposals that reduce environmental impact create significant value
Lower energy consumption
of TEL products
Manufactured devicesrealize low power
consumptionRaises TEL’s value+
CORP IR / 2018.11.05 11
Medium-term Management Plan
TEL Initiatives and Progress
CORP IR / 2018.11.05 12
Wafer Fab Equipment (WFE) Market Outlook
WFE market growth
accelerating.
Investment expanding to
$60B+
Future growth drivers
Equipment market is moving to the next phase amid
expanding applications for semiconductors
PC, internet, mobile+
VR/AR/MR*1, IoT, AI, RPA*2,
machine learning, big data,
autonomous driving, blockchain
*1 VR/AR/MR: Virtual reality/Augmented reality/Mixed reality *2 RPA: Robotic process automation
CORP IR / 2018.11.05 13
14/16nm 10nm 7nm
Logic
4X 6/7X 9X
3D NAND
2Z 1X 1Y
DRAM
Rising Added-value in SPE
Expanded business opportunities for SPE manufacturers on arrival of new applications and rising level of technological difficulty
WFE investment (100k WSPM*, greenfield/TEL estimate)
~$7B ~$7B
~$18B
* WSPM: Wafer starts per month
CORP IR / 2018.11.05 14
Expect China to comprise 30% of WFE (CY’20)– Customers planning 10-15 new plants (CY’18-CY’20)
Capture high share and service business
through high value added products and
comprehensive support
Build solid business base – Hiring of engineers going well (3x vs CY’16)
– Enhance training centers
Response to Growth in China Business
Beijing
Shanghai
Wuxi
Chengdu Wuhan
Kunshan
Xiamen
Xi'an
Nanjing
Head Office
Branch
Manufacture
Service Site
0
500
1,000
FY'15 FY'16 FY'17 FY'18 FY'19
Employees in China
Business development in ChinaOpened Shanghai Office in 1998
Dalian
Hefei
Shenzhen
Steadily build business base in growing market
(Employees)
CORP IR / 2018.11.05 15
SPE Business Strategy: Etching System
Achieving success in DRAM as planned. Continue to Focus on 3D NAND and Logic
Word line
isolation (Slit)
Multi-level
contact
3D NAND HARC* processesDRAM
Lower customer patterning costs through
combining multiple steps into one
Improve our position in 9X/12X through
our clear lead in productivity and etch
profile
Simplify processes
Logic
Maintain high interconnecting
dielectric process market share
Enhance our position in 7nm and finer
advanced patterning
Capacitor processes (HARC)
Interconnects
Capacitor
Interconnects
FinFET
Market share CY’15 (Actual) CY’16 (Actual) CY’17 (Actual) ・・・ CY’20 (Target)
Etching System 21% 23% 26% >30%
Channel
(Memory hole)
* HARC (High aspect ratio contact) process: a process for forming holes that requires advanced processing technology
Interconnects
CY’18 CY’19 CY‘20
6X/9X 9X 9X/12X
CORP IR / 2018.11.05 16
CY'14 CY'15 CY'16 CY'17 CY'18 CY'19 CY'20
SPE Business Strategy: Deposition System
CVD systemALD system
Achieve both high quality film formation and high
productivity needed for miniaturization and for 3D
structure with semi-batch system
Differentiate in memory through our clear lead in
batch system productivity
Achieve high quality metallization to enable further
miniaturizationALD system market
Metallization system
Triase+™
Market share CY’15 (Actual) CY’16 (Actual) CY’17 (Actual) ・・・ CY’20 (Target)
Deposition system 38% 37% 36% >40%
Semi-batch ALD system
NT333™
Batch system
TELINDY PLUS™
Aim to expand earnings based on new technologies for further
miniaturization and next-generation semiconductors
Source: Gartner, “Market Share: Semiconductor Wafer Fab Equipment, Worldwide, 2016”, 30 March 2017, Charts/graphics created by Tokyo Electron based on Gartner research.
Deposition System: Tube CVD + Atomic layer deposition tools + Oxidation/diffusion furnaces + Nontube LPCVD
CAGR >15%
TEL estimate
¥90.0B
¥210.0B
CORP IR / 2018.11.05 17
SPE Business Strategy: Cleaning Systems
Single wafer cleaning system
CELLESTA™
Batch cleaning system
EXPEDIUSTM
* Bevel cleaning: process for removing film from the outer part of the wafer
Market share CY’15 (Actual) CY’16 (Actual) CY’17 (Actual) ・・・ CY’20 (Target)
Cleaning system 18% 20% 25% >27%
Expand sales of CELLESTA™ single wafer cleaning system
– Expand applications based on backside and bevel cleaning* and drying technology
that prevents pattern collapse during the post-etch cleaning processes
Secure key 3D NAND processes through batch cleaning
– Provide high quality and productivity in the metal etching, polysilicon etching, and
nitride film removal processes required for precise controllability
Apply best known coater/developers methods to cleaning system
business
– Share leading-edge technology and expertise by unifying R&D
CORP IR / 2018.11.05 18
Field Solutions (FS)
Leverage our strengths as an equipment manufacturer to
increase earnings in both the used equipment/modification
and part/service segments
Business strategy
93.0105.0 115.0
150.0 160.0
190.077.0
80.093.0
101.0115.0
150.0
0
100
200
300
400
FY'15 FY'16 FY'17 FY'18 FY'19(E)
Field Solutions sales
¥340.0B
170.0
Used equipment
and modification
Parts and services
185.0208.0
251.0
WFE$62B
FY’21
(Target)
275.0
Respond to new customer needs
driven by IoT
– Provide upgrades and
remanufactured equipment that
handle new applications
Contribute to improving customer
productivity
– Provide added-value services using
remote connections
(Installed base of 67,000 units)
CORP IR / 2018.11.05 19
New Financial Model (FY2021) (Billion yen)
FY2018
(Actual)
FY2019
(Estimates)
FY2021
(Plan)
$51B
14%
$54-56B
15%
$55B
18%
$62B
18%
Net sales 1,130.7 1,280.0 1,500.0 1,700.0
SPE 1,055.2 1,169.8 1,400.0 1,600.0
FPD 75.0 110.0 100.0 100.0
Gross profitGross profit margin
475.042.0%
528.041.3%
650.043.3%
745.043.8%
SG&A expensesSG&A expenses to sales ratio
193.817.1%
219.017.1%
252.016.8%
269.015.8%
Operating incomeOperating margin
281.124.9%
309.024.1%
398.026.5%
476.028.0%
Net income attributable to
owners of parent
Net profit margin
204.318.1%
237.018.5%
292.019.5%
348.020.5%
Increase corporate value through innovative technologies and groundbreaking proactive
solutions, raise efficiency and secure even higher profitability and resistance to market shifts
WFE market
Market share
CORP IR / 2018.11.05 20
Raise gross profit margin of core SPE, FPD products
– Timely introduction of new products to an expanding market
– Lower cost ratio through product quality improvements
Gross Profit, SG&A Expenses (Sales ¥1,700.0B Model)
FY2018
(Actual)
FY2019
(Estimates)
FY2021
(Plan)
Growth rate
(FY’18-FY’21)
Gross profit
Gross profit margin
475.042.0%
528.041.3%
745.043.8%
+57%+1.8pts
(Billion yen)
Proactively invest in growth areas while planning appropriate SG&A and
R&D expenses
FY2018
(Actual)
FY2019
(Estimates)
FY2021
(Plan)
Growth rate
(FY’18-FY’21)
SG&A expenses
SG&A expenses to sales ratio
193.817.1%
219.017.1%
269.015.8%
+39%-1.3pts
(Billion yen)
CORP IR / 2018.11.05 21
39.5
21.7
12.7 13.1 13.3
20.6
45.651.0
24.1 26.6 24.820.8 19.2 17.8
20.626.0
0
20
40
60
FY’12 FY’13 FY’14 FY’15 FY’16 FY’17 FY’18 FY’19 (E) FY’21
81.573.2 78.6
71.3 76.283.8
97.1
116.0
0
50
100
150
FY'12 FY'13 FY'14 FY'15 FY'16 FY'17 FY'18 FY'19 (E) FY'21
R&D Expenses, Capex Plan
Conduct proactive investment towards further growth
(Billion Yen)
(Billion Yen)
R&D expenses
Capex Depreciation
(Plan)
(Plan)
130.0-145.0
40.0-50.0
35.0-37.0
CORP IR / 2018.11.05 22
Accounts receivable turnover
– FY2018: 52 days⇒ Achieved target
Inventory turnover
– FY2018: 111 days ⇒ Target: 95 days
ROE
– FY2018: 29% ⇒ Target: 30-35%
Assets and Capital Efficiency (Sales ¥1,700.0B Model)
EPS and ROE(Yen)
461
702
1,245
13.0%
19.1%
29.0%
0
500
1,000
1,500
2,000
2,500
FY'16 FY'17 FY'18 FY'21(Target)
EPS ROE
Maintain focus on assets and capital efficiency
ROE = (Net income attributable to owners of parent/Average total equity) x 100
30-35%
CORP IR / 2018.11.05 23
FY2019 Business Environment and Financial Estimates(FY2019: April 1, 2018-March 31, 2019)
CORP IR / 2018.11.05 24
FY2018 (April 2017-March 2018) Highlights
Net sales +41% YoY driven by increase in SPE* demand and expansion of market share in focus areas
Operating income and net income attributable to owners of parent reached new record highs
Net Sales and Gross Profit Margin
612.1 613.1 663.9
799.7
33.0%
39.6% 40.2% 40.3%42.0%
0
400
800
1,200
FY'14 FY'15 FY'16 FY'17 FY'18
Net sales (¥B)
Gross profit margin
32.2
88.1116.7
155.6
281.1
5.3%
14.4%
17.6%19.5%
24.9%
0.0%
5.0%
10.0%
15.0%
20.0%
25.0%
30.0%
0
100
200
300
FY'14 FY'15 FY'16 FY'17 FY'18
Operating income (¥B)
Operating margin
Operating Income and Operating Margin
Net Income Attributable to
Owners of Parent and ROE
1,130.7
-19.4
71.8 77.8
115.2
204.3
-3.3%
11.8%13.0%
19.1%
29.0%
-20
80
180
FY'14 FY'15 FY'16 FY'17 FY'18
Net income attributable toowners of parent (¥B)
ROE
* SPE: Semiconductor production equipment
CORP IR / 2018.11.05 25
► WFE*1 capex
CY2018 investment driven by buoyant demand for memory,
expect YoY growth of approx. 5-10%
►FPD production equipment capex for TFT array process*2
We expect CY2018 demand for investment in production equipment to
continue at a high level comparable with CY2017 despite adjustment in
investment in small/medium panels for mobile, and significantly expanded
investment in G10.5
Continuous growth in the equipment market on
active investment in IoT and next generation technologies
Business Environment (Outlook as of October 2018)
*1 WFE (Wafer fab equipment): The semiconductor production process is divided into front-end production, in which circuits are formed on wafers and inspected, and back-end production, in
which wafers are cut into chips, assembled and inspected again. Wafer fab equipment refers to the production equipment used in front-end production and in wafer-level packaging production.
*2 TFT array process: The processes of manufacturing the substrates with the electric circuit functions that drive displays
CORP IR / 2018.11.05 26
CY'17 CY'18*
DRAM: Market growth of 50-55% YoY forecast
– Capex: 70% of investment amount to be for new factories, and 1X/1Ynm generation to comprise 80%
– Driving force: Average server capacity up 35%
– Opportunities: Differentiation through combined patterning and our strength in interconnects
Non-volatile memory: Unchanged to 5% increase YoY
– Capex: 9X generations to comprise 50%
– Driving force: Growth in SSD demand for data centers and PCs
– Opportunities: Differentiation through high value-added etch and clean
Logic/Foundry: 10-15% decrease YoY
– Capex: 10nm and beyond generation to comprise 50%
– Driving force: Demand for higher performance, lower power consumption
– Opportunities: Business expansion in more complex patterning processes
CY’18 WFE Market Growth and Business Opportunities by Application
WLP
DRAM
Non-volatile memory
Logic foundry
Logic & others
* TEL estimate
WFE market by application
$51B$54B-$56B
CORP IR / 2018.11.05 27*1 Adjustments: changes from the figures announced on April 25, 2018. *2 Gross profit, net income attributable to owners of parent
SPE: Semiconductor production equipment, FPD: Flat panel display production equipment
FY2018
(Actual)
FY2019 (Estimates)
1st half 2nd half Full yearFull year
YoY changeActualNew
estimates
Adjust-
ments*1
New
estimates
Adjust-
ments*1
Net sales 1,130.7 691.0 588.9 -121.0 1,280.0 -120.0 +13.2%
SPE 1,055.2 638.4 531.3 -122.6 1,169.8 -118.2 +10.9%
FPD 75.0 52.4 57.5 +1.5 110.0 -2.0 +46.5%
Gross profitGross profit margin
475.042.0%
284.141.1%
243.841.4%
-66.1-2.3pts
528.041.3%
-70.0-1.4pts
+52.9-0.7pts
SG&A expenses 193.8 108.6 110.3 -6.6 219.0 -13.0 +25.1
Operating incomeOperating margin
281.124.9%
175.425.4%
133.522.7%
-59.4-4.5pts
309.024.1%
-57.0-2.0pts
+27.8-0.8pts
Income before income taxes 275.2 181.1 133.8 -59.1 315.0 -51.0 +39.7
Net income attributable to
owners of parent204.3 135.2 101.7 -40.2 237.0 -33.0 +32.6
Net income per share (Yen) 1,245.48 824.70 - - 1,445.20 -200.00 +199.72
Revision of FY2019 Financial Estimates
Expect record profit*2 for third consecutive year on market growth and higher market share
(Billion yen)
CORP IR / 2018.11.05 28
30% 22% 20% 22%
11% 10% 8% 11%
37% 43% 42%36%
22%
25%30%
31%
374.0
440.5
504.3
400.0
0
100
200
300
400
500
FY'18 H1(Actual)
FY'18 H2(Actual)
FY'19 H1(Actual)
FY'19 H2(Estimates)
DRAM
Non-volatile memory
FY2019 SPE Division New Equipment Sales Forecast
(Billion yen) Logic foundry
Logic & others
Sales by application
Memory sales to account for 70% of sales in H2
Percentages on the graph show the composition ratio of new equipment sales. Field solutions sales are not included.
CORP IR / 2018.11.05 29
FY2019 Dividend Forecast
Interim413 yen
Year-end311 yen
143 yen237 yen
352 yen
624 yen
0
300
600
900
FY'15 FY'16 FY'17 FY'18 FY'19 (E)
724 yen
(Yen)Dividend per share
Dividend payout ratio: 50%
Annual DPS of not less than 150 yen
We will flexibly consider share buybacks
TEL shareholder return policy
We will review our dividend policy if the company does not generate
net income for two consecutive fiscal years
Expect to raise DPS 16% YoY to 724 yen
CORP IR / 2018.11.05 30
Appendix 1: Effects of EUV Lithography Adoption
CORP IR / 2018.11.05 31
EUV adoption will solve sophisticated technological hurdles our customers
face (i.e. placement errors), bringing about quite positive effects on
semiconductor and SPE industries
– Advance miniaturization
– Accelerate customers’ investment in next generation technologies by enhancing the yield
Further miniaturization led by EUV will create more differentiation of our
products and business opportunities
– Increase our coater/developer market share even further
– Expand demand for etch, deposition and cleaning equipment
– Differentiate our product through advancing self-aligned patterning technology
– Expand business with process integration, leveraging our robust product lineup
Effects of EUV Lithography Adoption
CORP IR / 2018.11.05 32
Edge Placement Error Improvement by EUV
1
12
33 masks
Too close
Closer
Too far
1 mask
Cut
3 masks : (Litho + Etch) x 3 Yield degradation
Yield improvement
Minimum fluctions
Schematic of logic device
EUV
introduction
(Litho-Etch)3 with 193i
11
11
Line
Space
Self-aligned multiple patterning (SAMP)
requires a lot of etch and dep equipment
LELELE = (Litho-Etch)3 requires
many lithography tools but does not
require many etch and dep equipment
Step1: Line/Space
Step2: Cut
1 mask : (Litho + Etch) x 1
Fluctuation can be cumulated
after each litho-etch step
Edge placement error
Single exposure by EUV
CORP IR / 2018.11.05 33
(Litho-etch)n Patterning for Logic MOL Contact/Cut Module
• PMD dep
• Hard mask1 dep
• Hard mask2 dep
• Lithography1
• Contact HM2 etch1 short etch time
• Lithography2
• Contact HM2 etch2 short etch time
• Lithography3
• Contact HM2 etch3 short etch time
• Contact HM1 etch short etch time
• Contact PMD etch long etch time
• Post etch clean
• Barrier metal Ti/TiN dep
• Contact plug W dep
• Contact plug W CMP
Lithography1 Lithography2 Lithgraphy3
Contact PMD etch
Contact HM2
etch1
Contact HM2
etch2
Contact HM2
etch3
Contact HM1 etch Contact plug W CMP
Thicker layer
Higher etch selectivity
(Litho-etch)3 patterning EUV lithography
Contact HM2
etch
(Litho-etch)n patterning
or
CORP IR / 2018.11.05 34
Self-aligned Multiple Patterning for Line/Space
Mandrel2 etch Sidewall1 dep Sidewall etch back1
Hard mask3 etch Mandrel1 etch Sidewall2 dep Sidewall etch back2
Hard mask1Hard mask2
Mandrel1Hard mask3Hard mask4Mandrel2Hard mask5
𝑝
• Had mask1 dep
• Hard mask2 dep
• Mandrel1 dep
• Hard mask3 dep
• Hard mask4 dep
• Mandrel2 dep
• Hard mask5 dep
• Lithography
• Hard mask5 etch
• Mandrel2 etch
• Sidewall1 dep
• Sidewall1 etch back
• Mandrel2 pull
• Hard mask4 etch
• Hard mask3 etch
• Mandrel1 etch
• Sidewall2 dep
• Sidewall2 etch back
1
2𝑝
SADP
SADP: Self-aligned double patterning
SAQP: Self-aligned quadruple patterning
1
4𝑝
SAQP
Lithography
Deposition
Etch
CORP IR / 2018.11.05 35
Appendix 2: Diversity of Semiconductor Technology
CORP IR / 2018.11.05 36
Summary – Diversity of Semiconductor Technology
MoreMoore
Functional diversificationC
MO
S s
calin
gMore than Moore
Logic with new material
new structure
Advanced packaging
(3DI/WLP)
Emerging
memoryPatterning
Heterogeneous
3DIFlip-Chip
2.5D
Wire-bonding
Si photonics
Homogeneous
DRAM
NAND
STT-MRAM
3D NAND
ReRAM
Strained Si
High-k metal
gate
Cu/ULK
FinFET
Nanowire FETCu/ELK
ArF
Arf-immersion
EUV
DP/MP
NIL, EBDWDSA,
KrF
Now
2017~
InFO
Neuromorphic
CFET
CORP IR / 2018.11.05 37
Logic FEOL/MOL Technology Roadmap
Node
Transistor
Contact
< 3.5 nm5 nm7 nm10 nm16-14 nm
Nanowire/Nanosheet FET
Diamond
shaped Epi
Wrap around contact
W Co and other materials
FinFET
Scaling and performance enhancement concurrently requires structure, design and material changes, which makes integration challenging
CORP IR / 2018.11.05 38
New Opportunities through Integration
CFET (new structure)
Buried power rail (new material)
Close integration between process equipment is a must in order to propose solutions to realize further scaling
through new materials and structural solutions
Cleaning
Etch
Lithography
Deposition
CELLESTA™-i
CLEAN TRACK™
LITHIUS Pro™ Z
TELINDY PLUS™
NT333™
Certas™
Tactras™ Vigus™
EXIM™
EXPEDIUS™-i
Triase+™
Source: Imec presentation at ISPD 2016
Source: imec
Sn
Dn
Sp
Dp
Sn
Dn
Sp
Dp
CORP IR / 2018.11.05 39
Appendix 3: Deposition System
CORP IR / 2018.11.05 40
Next generation thermal processing system
– Introduce SLB* product with high productivity and efficiency
– Adoption of new, high precision controller will contribute to enhance tool matching and increasing uptime
New single wafer platform
– Smaller footprint, higher productivity
– Enables diverse cluster processes
Deposition Systems: Striving for Further Growth
New platform
Diagnostic
* SLB: Super large batch
Tool
Matching
Optimum
Control
New platform
Expand market share in areas where we already participate in by increasing productivity and responding to diverse process needs
CORP IR / 2018.11.05 41
Evaluation of new materials
for metal deposition systems
– Achieve low resistance with
thin wire
– Excellent gap fill capabilities
Develop anisotropic deposition and
selective deposition
– Achieving gap fill and bottom up processes
by using TEL’s unique deposition
technique
Deposition Systems:Initiatives Towards New Materials and Applications
TEL/TEL TEL/TEL
Ge(SiGe)
AR: ~ 5:1
CD: 40nm
Depth 200nm
0 5 10 15 20 25
Resis
tivity[u
oh
m c
m]
Thickness[nm]
TiN/Convetional W
TiN/ FFW
TiN/New Material
Gap fill Bottom up
SiO2
AR: 8:1
CD: 43nm
Depth 331nm
Resistivity ratio at thin film
Film formation
in processing
After
formation
Expanding SAM through the development of new applications for miniaturization
CORP IR / 2018.11.05 42
Appendix 4: Etch System
CORP IR / 2018.11.05 43
Logic: Focus Processes and Key Points
Logic
Contact
Interconnects
Gate
Fin
・Transistor periphery 3D structuresNanowire NanosheetFinFET
・Miniature contact process
Key requirement
1. High SiN selectivity (less SiN loss)
2. Narrow slit etch capability
・Contact/interconnect process; more complex patterning
SAC (Self-aligned contact)
STI
Leverage technological advantage in increasingly complex etch processes, respond to new needs
CORP IR / 2018.11.05 44
Leading-edge Logic Initiative 1: Silicon Etch
プラズマエッチング装置
Tactras™ RLSA™
Typical ICP
etcher
RLSA™ plasma
etcher
RLSA™ plasma BB
Pro
ce
ss P
erf
orm
an
ce
Stability and Productivity
Optimized Microwave
Conventional
Microwave
Adoption of
new technology
Superior aspects of RLSA™ plasma etcher
in silicon etch
Faceting
Depth variation
Non-vertical form
Equal depth
Vertical shape
RectangleFin Gate
In silicon etch, differentiate through processing performance and productivity
Transistor periphery 3D structures
CORP IR / 2018.11.05 45
Conventional etch Quasi-ALE*
Self-a
ligned
co
nta
ct
Si-A
RC
etc
h
Leading-edge Logic Initiative 2: Highly Selective Etch
SiN
SiO2
SiN
Si
-ARC
Desorption
Initial
SiO2
Adsorption
FC film
Activation
Ar + + +
Concept of Quasi-ALE
Aim to win share through rising demand for highly selective etch
* ALE (Atomic layer etch): Highly selective etch technology at the atomic level
CORP IR / 2018.11.05 46
Leading-edge Logic Initiative 3: Gas Chemical Etch
Si SiGeSi
N+3N+2N+1
SiGeMin. Fin pitch Min. Fin pitch Min. Fin pitch
Broadening of applications for gas chemical etch
Nanowire Nanosheet
Isotropic
SiGe etch
Post dummy Si removal Post gate oxide removal Post SiGe removal
Si/SiGe layer stack Si nanowire
Isotropic
Ox etch
Footing
Gas chemical etch
Wet etch
No footing
Differentiate through isotropic selective etch technology needed for 3D transistors
CORP IR / 2018.11.05 47
Appendix 5: FPD Production Equipment
CORP IR / 2018.11.05 48
-10%
-5%
0%
5%
10%
15%
20%
25%
0
20
40
60
80
100
120
FY'15 FY'16 FY'17 FY'18 FY'19 FY'21
Sales Operating margin
0
5
10
15
20
25
CY'15 CY'16 CY'17 CY'18 CY'19 CY'20
Coater/developer Dry etch TFT others
AMOLED CF, Cell, Others
FPD Medium-term Plan
Progressing according to plan towards achieving 20% operating margin target in medium-term plan
($B)
Strong TFT equipment
market
FPD equipment market Sales and operating margin(Billion yen)
Data based on IHS Markit, Technology Group, Display Supply Demand Equipment Tracker Q4 2017.
Results are not an endorsement of Tokyo Electron Limited. Any reliance on these results is at the third party’s
own risk. Visit technology.ihs.com for more details.
CORP IR / 2018.11.05 49
Display Trends
Technological change in displays increasing business opportunities
Increasing screen size
Increasing resolution
FHD 4K 8K
OLED
LCD OLED
TFT
Color filter
Backlight
LCD
TFT
Emissive
layer
Flexible, edge bent, free format
TV
Mobile, VR 300 ppi 1000 ppi
Design flexibility
CORP IR / 2018.11.05 50
Data based on IHS Markit, Technology Group, Display Supply Demand Equipment Tracker Q4 2017.
Results are not an endorsement of Tokyo Electron Limited. Any reliance on these results is at the third
party’s own risk. Visit technology.ihs.com for more details.
Greater-than-expected growth in investment
Maintain high market share through technological differentiation (large area
plasma control, air floating coater)
0
5
10
15
20
CY'16 CY'17 CY'18 CY'19 CY'20
Business Opportunity: G10.5 Equipment Market
Eight 65 inch TV panel substrate possible
“65
3,3
70
mm
2,940 mm
G10.5 TFT array equipment market($B)
Increased sales far beyond market growth bymeeting customers’ technological needs
CORP IR / 2018.11.05 51
Business Opportunity: Metal Oxide/LTPS
TFT array a-Si Metal Oxide LTPS
Representation
of structure
ApplicationLCD TV
Monitor
OLED TV
Tablet
Smartphone
(LCD/OLED)
Number of
masks5 6-8 9-13
Dry etch
processes
3
a-Si, SiNx
3
SiO, SiNx
~11
SiO, metal
Flexible displays
+2 processes
OLED process
(G6 Half Size)+3-4 processes
Further new
needs
Number of etch processes increased as more advanced technology sought
CORP IR / 2018.11.05 52
Material utilization significantly more efficient than current evaporation method
Companies improving functionality of ink
Business Opportunity: Growth of OLED TV Market
OLED TV(K units)
Inkjet printing system for manufacturing OLED planes
EliusTM 2500
Differentiate with inkjet printing systemtowards growth in the OLED TV market
0
2,000
4,000
6,000
8,000
CY'16 CY'17 CY'18 CY'19 CY'20 CY'21Data based on IHS Markit, Technology Group, Display Long-Term Demand Forecast
Tracker Q4 2017. Results are not an endorsement of Tokyo Electron Limited. Any
reliance on these results is at the third party’s own risk. Visit technology.ihs.com for
more details.
CORP IR / 2018.11.05 53
Appendix 6: Financial Data
CORP IR / 2018.11.05 54
Financial Summary
FY2017 FY2018YoY
Change
Net sales 799.7 1,130.7 +41.4%
SPE 749.8 1,055.2 +40.7%
FPD 49.3 75.0 +52.0%
Gross profitGross profit margin
322.240.3%
475.042.0%
+47.4%+1.7pts
SG&A expenses 166.5 193.8 +16.4%
Operating incomeOperating margin
155.619.5%
281.124.9%
+80.6%+5.4pts
Income before income taxes 149.1 275.2 +84.6%
Net income attributable to
owners of parent115.2 204.3 +77.4%
EPS (Yen) 702.26 1,245.48 +77.4%
R&D expenses 83.8 97.1 +15.9%
Capital expenditures 20.6 45.6 +120.3%
Depreciation and amortization 17.8 20.6 +15.4%
(Billion yen)
1. In principle, export sales of Tokyo Electron’s mainstay semiconductor and FPD production equipment are denominated in yen. While some settlements are denominated
in dollars, exchange risk is hedged as forward exchange contracts are made individually at the time of booking.
2. Profit ratios are calculated using full amounts, before rounding.
CORP IR / 2018.11.05 55
94 93
6 7
0%
50%
100%
FY'17 FY'18
749.8
1,055.2
182.7
314.624.4%
29.8%
0%
10%
20%
30%
40%
50%
60%
0
300
600
900
1,200
FY'17 FY'18
Segment Information
49.3
75.0
4.6
13.29.4%
17.7%
0%
10%
20%
30%
40%
50%
60%
0
25
50
75
100
FY'17 FY'18
Significantly raised profitability in both SPE and FPD
SPE
FPD
SPE(Semiconductor Production Equipment)
FPD(Flat Panel Display Production Equipment)
(Billion Yen) (Billion Yen)
Composition of Net Sales
Sales
Segment income
Segment profit margin
Sales
Segment income
Segment profit margin
1. Segment income is based on income before income taxes.
2. R&D expenses such as fundamental research and element research are not included in above reportable segments.
3. Composition of net sales figures is based on the sales to customers.
CORP IR / 2018.11.05 56
(Billion Yen)
FY2018 results
Sales of DRAM and non-volatile memory
more than doubled YoY driven by increase
in demand for servers and proactive
investment in next-generation technology
In foundry/logic, investment in cutting-edge
generations and 28nm and above continued
SPE Division: New Equipment Sales by Application
25% 24%25%
25%
31%11%20%
28%
40%
30%
17%
24%
437.4
550.3
814.6
0
300
600
900
FY'16 FY'17 FY'18
DRAM
Non-volatile memory
Logic foundry
Logic & others (MPU, AP, Others)
CORP IR / 2018.11.05 57
FY2018 results
Sales expanded in focus areas (etch,
deposition, cleaning) driven by investment
in non-volatile memory and miniaturization
enabled by multiple patterning
SPE Division: New Equipment Sales by Product
3% 2% 1%4% 6% 6%10%
11%10%
26%22%
23%29%
34%
40%
28%
25%
20%
437.4
550.3
814.6
0
300
600
900
FY'16 FY'17 FY'18
Coater/Developer
Etch system
Deposition system
Cleaning system
Wafer prober
Others
(Billion Yen)
CORP IR / 2018.11.05 58
105.0 115.0
150.0
80.0
93.0
101.0185.0
208.0
251.0
0
100
200
300
FY'16 FY'17 FY'18
FY2018 results
Sales growth of +21% YoY,
full-year sales reached ¥251.0B
Parts sales increased strongly, especially
in South Korea, due to rise in customers’
equipment utilization
Field Solutions Sales
Used equipment and modification
Parts and services
(Billion Yen)
* FY2016 and FY2017 sales figures have been rounded.
CORP IR / 2018.11.05 59
Financial Summary (Quarterly)
FY2018 FY2019
Q2 Q3 Q4 Q1 Q2vs. Q1
FY2019
Net sales 280.5 257.7 355.9 295.5 395.4 +33.8%
SPE 265.7 241.4 326.0 280.4 358.0 +27.7%
FPD 14.7 16.2 29.7 15.1 37.3 +147.2%
Gross profitGross profit margin
118.242.1%
106.041.1%
153.543.1%
122.441.4%
161.640.9%
+32.0%-0.5pts
SG&A expenses 49.7 47.9 53.7 50.0 58.6 +17.1%
Operating incomeOperating margin
68.524.4%
58.022.5%
99.728.0%
72.424.5%
103.026.0%
+42.2%+1.5pts
Income before income taxes 67.1 57.3 95.6 75.2 105.8 +40.8%
Net income attributable to
owners of parent49.4 40.7 72.9 55.7 79.5 +42.7%
R&D expenses 24.8 23.9 27.0 26.1 31.2 +19.4%
Capital expenditures 11.7 13.7 12.0 9.6 13.2 +36.7%
Depreciation and amortization 4.7 5.5 6.0 5.0 5.6 +11.1%
1. In principle, export sales of Tokyo Electron’s mainstay semiconductor and FPD production equipment are denominated in yen. While some settlements are denominated
in dollars, exchange risk is hedged as forward exchange contracts are made individually at the time of booking.
2. Profit ratios are calculated using full amounts, before rounding.
(Billion Yen)
SPE: Semiconductor production equipment, FPD: Flat panel display production equipment
CORP IR / 2018.11.05 60
265.7
241.4
326.0
280.4
358.0
78.669.0
100.7
78.3
105.8
29.6% 28.6%30.9%
27.9%29.6%
0%
10%
20%
30%
40%
50%
0
80
160
240
320
400
Q2FY'18
Q3 Q4 Q1FY'19
Q2
Segment Information (Quarterly)
14.7 16.2
29.7
15.1
37.3
2.2 3.0
7.4
2.4
9.5 15.4%
19.0%
25.1%
16.2%
25.5%
0%
10%
20%
30%
40%
50%
0
8
16
24
32
40
Q2FY'18
Q3 Q4 Q1FY'19
Q2
95 94 92 95 91
5 6 8 5 9
0%
50%
100%
Q2FY'18
Q3 Q4 Q1FY'19
Q2
SPE(Semiconductor production equipment)
FPD(Flat panel display production equipment)
Composition of Net Sales
(Billion Yen) (Billion Yen)
Sales
Segment income
Segment profit margin
Sales
Segment income
Segment profit margin
SPE
FPD
1. Segment income is based on income before income taxes.
2. R&D expenses such as fundamental research and element research, etc. and other general and administrative expenses are not included in the above reportable segments.
3. Composition of net sales figures is based on the sales to customers.
CORP IR / 2018.11.05 61
Q2
FY’17
Q3 Q4 Q1
FY’18
Q2 Q3 Q4 Q1
FY’19
Q2
Japan 26.4 20.3 26.6 26.7 38.4 35.1 45.1 51.1 58.0
North America 34.4 24.6 25.4 24.0 32.0 29.1 33.9 28.4 45.9
Europe 6.6 15.0 32.9 25.3 26.9 19.6 25.0 17.7 31.3
South Korea 29.0 33.3 55.5 68.3 101.7 79.4 122.3 88.9 86.5
Taiwan 58.2 62.3 74.7 45.8 36.6 42.1 44.3 26.3 48.0
China 24.0 13.7 22.7 26.7 23.0 22.6 31.8 54.9 71.3
S. E. Asia, Others 17.3 9.8 5.3 4.6 6.7 13.2 23.4 12.7 16.8
SPE Division: Sales by Region (Quarterly)
196.2179.3
243.5221.9
265.7241.4
326.0
280.4
358.0
0
100
200
300
400
(Billion Yen)
CORP IR / 2018.11.05 62
SPE Division: New Equipment Sales by Application (Quarterly)
29%
20%
30%
21%
25%
23%
27%
25%
38%
17%
22%
23%
18%
31%
32%
19%
12%
31%
32%
25%
16%
24%
34%
26%
22%
27%
27%
24%
17%
40%
16%
27%
DRAM
Non-volatile memory
Logic foundry
Logic & others
(MPU, AP, Others)
(Billion Yen)
Percentages on the graph show the composition ratio of new equipment sales. Field solutions sales are not included.
25%
23%
27%
25%
38%
17%
22%
23%
18%
31%
32%
19%
12%
31%
32%
25%
16%
24%
34%
26%
22%
27%
27%
24%
17%
40%
16%
27%
25%
35%
8%
32%
25% 26% 24% 27%32%
26% 19% 17%23%
32% 34%27%
16%8%
12% 10%4%
11%
31%24%
27%40%
35%41%
43%
49%
36%12%
16%
22%17%
25%21%
28%
30%
30%
146.1
130.4
185.3
169.7
204.3
181.7
258.8
219.7
284.5
0
50
100
150
200
250
300
Q2FY'17
Q3 Q4 Q1FY'18
Q2 Q3 Q4 Q1FY'19
Q2
CORP IR / 2018.11.05 63
Field Solutions Sales (Quarterly)
52.4 50.9
60.4
54.4
64.462.1
70.0
63.2
76.1
0
20
40
60
80
Q2
FY’17
Q3 Q4 Q1
FY’18
Q2 Q3 Q4 Q1
FY’19
Q2
SPE Sales 50.0 48.8 58.1 52.2 61.4 59.7 67.2 60.6 73.4
FPD Sales 2.4 2.0 2.2 2.2 2.9 2.4 2.8 2.5 2.7
(Billion Yen)
CORP IR / 2018.11.05 64
103.7 108.4 114.3 119.1 115.416.4 16.5 15.8 17.2 17.1111.4 120.8 125.9 131.2 139.938.0 52.9 69.0 28.7 42.7
283.4332.8
344.0 381.6 344.0
142.8
152.3159.5 151.3 155.3
329.9301.2
373.8 355.5 423.71,025.8
1,085.1
1,202.7 1,185.01,238.5
Q2FY'18
Q3 Q4 Q1FY'19
Q2
Balance Sheet (Quarterly)
707.9 709.5771.5 772.3
849.5
317.9375.5
431.2 412.7388.9
1,025.81,085.1
1,202.7 1,185.01,238.5
Q2FY'18
Q3 Q4 Q1FY'19
Q2
Assets Liabilities & Net Assets
(Billion Yen) (Billion Yen)
* Cash and cash equivalents: Cash and deposits + Short-term investments, etc. (Securities in B/S).
From the beginning of the FY2019 Q1 accounting period the Accounting Standards Board of Japan’s “Partial Amendments to Accoun ting Standard for Tax Effect Accounting, etc.”
(ASBJ Statement No. 28, revision on February 16, 2018) has been applied. FY2018 results have been restated in the graphs in accordance with the revised accounting standards.
Liabilities
Net assets
Other current assets
Tangible assets
Intangible assets
Cash & cash
equivalents*
Inventories
Trade notes, accounts
receivables
Investment & other assets
CORP IR / 2018.11.05 65
Turnover days = inventory or accounts receivable at the end of each quarter / last 12 months sales x 365
Inventory Turnover and Accounts Receivable Turnover (Quarterly)
395.4
81
65 61
57 54 54 52 46 43
111
120
108 110 107
117 111
117 96
0
40
80
120
160
0
100
200
300
400
Q2FY'17
Q3 Q4 Q1FY'18
Q2 Q3 Q4 Q1FY'19
Q2
(Billion Yen)
Net sales
Accounts receivable turnover
Inventory turnover
(Days)
CORP IR / 2018.11.05 66
Cash Flow (Quarterly)
84.0
-12.2 -5.0
71.7
-100
-80
-60
-40
-20
0
20
40
60
80
100
Q2
FY’17
Q3 Q4 Q1
FY’18
Q2 Q3 Q4 Q1
FY’19
Q2
Cash flow from operating activities 29.8 41.3 43.6 24.4 43.4 30.4 88.1 51.4 84.0
Cash flow from investing activities*1 -4.9 -4.1 -4.9 -9.3 -9.4 -15.2 -12.8 -12.1 -12.2
Cash flow from financing activities 0.0 -21.0 -0.0 -36.8 -0.0 -45.7 -0.0 -56.9 -5.0
Free cash flow*2 24.8 37.1 38.7 15.1 34.0 15.1 75.3 39.3 71.7
Cash on hand*3 255.6 277.2 315.3 294.6 329.9 301.2 373.8 355.5 423.7
*1 Cash flow from investing activities excludes changes in deposits with periods to maturity of over 3 months.
*2 Free cash flow = cash flow from operating activities + cash flow from investing activities excluding changes in deposits with periods to maturity of over 3 months.
*3 Cash on hand includes the total of cash + deposits with periods to maturity of over 3 months.
(Billion Yen)
CORP IR / 2018.11.05 67
(Million Yen) FY2009 FY2010 FY2011 FY2012 FY2013 FY2014 FY2015 FY2016 FY2017 FY2018
Net Sales 508,082 418,636 668,722 633,091 497,299 612,170 613,124 663,948 799,719 1,130,728
Semiconductor production equipment 325,383 262,391 511,331 477,873 392,026 478,841 576,242 613,032 749,893 1,055,234
FPD production equipment 88,107 71,361 66,721 69,888 20,160 28,317 32,709 44,687 49,387 75,068
PV production equipment 3,805 3,617
Computer network 94,207 84,473 90,216 84,867 84,664 100,726
Electronic components
Other 384 410 453 461 448 479 555 6,228 438 425
Gross profit 137,408 108,316 234,758 211,444 158,754 201,892 242,773 267,209 322,291 475,032
Gross profit margin 27.0% 25.9% 35.1% 33.4% 31.9% 33.0% 39.6% 40.2% 40.3% 42.0%
SG&A expenses 122,697 110,496 136,887 151,001 146,206 169,687 154,660 150,420 166,594 193,860
Operating income 14,710 -2,180 97,870 60,443 12,548 32,204 88,113 116,788 155,697 281,172
Operating margin 2.9% -0.5% 14.6% 9.5% 2.5% 5.3% 14.4% 17.6% 19.5% 24.9%
Ordinary income 20,555 2,558 101,919 64,046 16,696 35,487 92,949 119,399 157,549 280,737
9,636 -7,767 99,579 60,602 17,766 -11,756 86,827 106,466 149,116 275,242
7,543 -9,033 71,924 36,725 6,076 -19,408 71,888 77,891 115,208 204,371
Depreciation and amortization 23,068 20,001 17,707 24,197 26,630 24,888 20,878 19,257 17,872 20,619
Capital expenditures 18,107 14,918 39,140 39,541 21,773 12,799 13,183 13,341 20,697 45,603
R&D expenses 60,987 54,074 70,568 81,506 73,248 78,663 71,349 76,286 83,800 97,103
Interest-bearing debt 3,806 5,105 7,996 4,402 3,756 13,531 ― ― ― ―
Equity 518,387 511,818 572,741 586,789 593,032 578,091 639,483 562,369 643,094 767,146
Total assets 668,998 696,351 809,205 783,610 775,527 828,591 876,153 793,367 957,447 1,208,705
Debt-to-equity ratio 0.7% 1.0% 1.4% 0.8% 0.6% 2.3% ― ― ― ―
Equity ratio 77.5% 73.5% 70.8% 74.9% 76.5% 69.8% 73.0% 70.9% 67.2% 63.5%
ROE 1.4% -1.8% 13.3% 6.3% 1.0% -3.3% 11.8% 13.0% 19.1% 29.0%
81,030 48,284 83,238 29,712 84,266 44,449 71,806 69,398 136,948 186,582
-160,621 9,613 -35,881 -8,352 -141,769 -19,599 155,737 -150,013 -28,893 -11,833
-46,015 -287 -5,236 -27,334 -10,625 -186 -18,213 -138,600 -39,380 -82,549
42.2 -50.5 401.7 205.0 33.9 -108.3 401.1 461.1 702.3 1,245.5
24 12 114 80 51 50 143 237 352 624
10,391 10,068 10,343 10,684 12,201 12,304 10,844 10,629 11,241 11,946
Net income per share
Cash dividends per share
Number of employees
Income before income taxes
Net income attributable to owners of parent
Cash flow from operating activities
Cash flow from investing activities
Cash flow from financing activities
Consolidated 10-year Financial Summary
CORP IR / 2018.11.05 68
Disclaimer regarding forward-looking statement
Forecast of TEL’s performance and future prospects and other sort of information published are made based on information available
at the time of publication. Actual performance and results may differ significantly from the forecast described here due to changes in
various external and internal factors, including the economic situation, semiconductor/FPD market conditions, intensification of sales
competition, safety and product quality management, and intellectual property-related risks.
Processing of numbers
For the amount listed, because fractions are rounded down, there may be the cases where the total for certain account titles does not
correspond to the sum of the respective figures for account titles. Percentages are calculated using full amounts, before rounding.
Exchange risk
In principle, export sales of Tokyo Electron’s mainstay semiconductor and FPD panel production equipment are denominated in yen.
While some settlements are denominated in dollars, exchange risk is hedged as forward exchange contracts are made individually at
the time of booking. Accordingly, the effect of exchange rates on profits is negligible.
Disclaimer regarding IHS Markit data (Page 48, 50, 52)
The IHS Markit reports and information referenced herein (the "IHS Markit Materials") are the copyrighted property of IHS Markit Ltd. (“IHS Markit”) and represent data, research,
opinions or viewpoints published by IHS Markit, and are not representations of fact. The IHS Markit Materials speak as of the original publication date thereof (and not as of the
date of this offering document). The information and opinions expressed in the IHS Markit Materials are subject to change without notice and IHS Markit has no duty or
responsibility to update the IHS Markit Materials. Moreover, while the IHS Markit Materials reproduced herein are from sources considered reliable, the accuracy and
completeness thereof are not warranted, nor are the opinions and analyses which are based upon it. To the extent permitted by law, IHS Markit shall not be liable for any errors
or omissions or any loss, damage or expense incurred by reliance on the IHS Markit Materials or any statement contained herein, or resulting from any omission. No portion of
the IHS Markit Materials may be reproduced, reused, or otherwise distributed in any form without the prior written consent of IHS Markit. Content reproduced or redistributed with
IHS Markit’s permission must display IHS Markit’s legal notices and attributions of authorship. IHS Markit and the IHS Markit globe design are trademarks of IHS Markit. Other
trademarks appearing in the IHS Markit Materials are the property of IHS Markit or their respective owners.
Disclaimer regarding Gartner data (Page 6, 7, 16)
All statements in this presentation attributable to Gartner represent Tokyo Electron’s interpretation of data, research opinion or viewpoints published as part of a syndicated
subscription service by Gartner, Inc., and have not been reviewed by Gartner. Each Gartner publication speaks as of its original publication date (and not as of the date of this
presentation). The opinions expressed in Gartner publications are not representations of fact, and are subject to change without notice.
FPD: Flat panel display