xilinx power estimator · xilinx power estimator ユーザー ガイド 5 ug440 (v2016.3) 2016 年...

107
Xilinx Power Estimator ユーザー ガイド UG440 (v2016.3) 2016 10 5 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。 資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新 情報につきましては、必ず最新英語版をご参照ください。

Upload: others

Post on 24-Jul-2020

1 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

Xilinx Power Estimatorユーザー ガイド

UG440 (v2016.3) 2016 年 10 月 5 日

この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきましては、必ず最新英語版をご参照ください。

Page 2: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

Xilinx Power Estimator ユーザー ガイド 2UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

改訂履歴

次の表に、 この文書の改訂履歴を示します。

 

日付 バージョ ン 内容

2016 年 10 月 5 日 2016.3 • 第 3 章 「Xilinx Power Estimator の各シートの使用」 に、 Zynq UltraScale+ EV デバイス

の VCU (Video Codec Unit) の消費電力見積も りに関するセクシ ョ ンを追加。

• 第 1 章 「概要」 に、 最大プロセスのオンチップ消費電力は、 レールごとの消費電力

と一致しないという注記を追加。

• 第 1 章 「概要」 に、 最大のパッケージ電流値の警告に関する注記を追加。

2016 年 6 月 8 日 2016.2 • 第 1 章 「概要」 の 「[Power Supply] パネルの使用」 に、 電流の予測値が選択したパッ

ケージの最大仕様値を超えた場合、 Iccint 電流の合計値のビヘイビアに関する注記

を追加。

2016 年 4 月 6 日 2016.1 • 第 3 章 「Xilinx Power Estimator の各シートの使用」 の 「UltraScale+ デバイスでの

UltraRAM のサポート 」 を更新。

• 第 3 章 「Xilinx Power Estimator の各シートの使用」 に 「 ト ランシーバーの動作モー

ド」 を追加。

• 第 3 章 「Xilinx Power Estimator の各シートの使用」 の 「[PS] シートの使用 (Zynq

UltraScale+ MPSoC 用)」 を更新。

Page 3: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

目次

第 1章: 概要はじめに . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

XPE の使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

定義/用語 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9

XPE ユーザー インターフェイスの使用. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14

XPE のスプレッ ドシートの色分け表示 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14

[Summary] シートの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15

XPE ツールバーの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24

XPE ウ ィザードの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26

ま とめ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42

第 2章: クロックの指定と管理ク ロ ッ クの指定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44

ク ロ ッ ク マネージメン ト リ ソース シート (DCM、 PMCD、 PLL、 MMCM、 ク ロ ッ ク マネージャー ) の使用 . . 45

第 3章: Xilinx Power Estimator の各シートの使用概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47

[Logic] シートの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47

[IP_Manager] シート (7 シ リーズ、 Zynq-7000 AP SoC、 UltraScale デバイス) の使用 . . . . . . . . . . . . . . . . . . . . . . . . . 49

[I/O] シートの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52

[BRAM] シートの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59

[DSP] シート ([MULT]、 [DSP48]) の使用. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63

GT シート (GTP、 GTX、 GTH、 GTY、 GTZ) の使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64

[TEMAC] シートの使用. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70

[PCIe] シートの使用. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70

[PPC440] シートの使用 (PowerPC). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71

[PS] シートの使用 (Zynq-7000 AP SoC および Zynq UltraScale+ MPSoC). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72

[Other] シートの使用 (7 シ リーズ、 Zynq-7000 AP SoC、 UltraScale、 UltraScale+ デバイス) . . . . . . . . . . . . . . . . . . . 77

第 4章: 消費電力データの交換概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81

XPE から XPower Analyzer へ設定データをエクスポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81

XPower Analyzer から結果をインポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82

Vivado ツールの消費電力解析機能から結果をインポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82

データのインポートおよびエクスポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82

XPE へデータをインポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83

XPE 結果をエクスポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86

第 5章: XPE の自動化概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88

名前付きセルの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88

Xilinx Power Estimator ユーザー ガイド 3UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 4: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

数式の使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92

Visual Basic マクロの利用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 93

XPE へのスク リプ ト記述 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95

第 6章: スナップシ ョ ッ トおよびグラフ  シートの使用[Snapshot] シートの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97

グラフ シートの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101

付録 A: その他のリソースおよび法的通知ザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104

ソ リ ューシ ョ ン センター . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104

Xilinx Documentation Navigator とデザイン ハブ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104

参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105

ト レーニング リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106

お読みください: 法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107

Xilinx Power Estimator ユーザー ガイド 4UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 5: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1章

概要

はじめに

Xilinx® Power Estimator (XPE) は、 スプレッ ドシート を使用した消費電力概算ツールであ り、 通常は、 設計やインプ

リ メンテーシ ョ ン前の段階で使用されます。 これは、 アプリ ケーシ ョ ンに合わせたアーキテクチャ評価やデバイス

選択に有効で、 適切な電源および熱設計部品の選択にも役立ちます。

XPE は、 デザインで使用されている リ ソース、 ト グル レート、 I/O 負荷、 およびその他多数の要素を含んだデバイ

ス モデルを考慮して消費電力を概算します。 デバイス モデルは測定値、 シ ミ ュレーシ ョ ンおよび既知の値に基づい

た推定値から作成されています。

XPE の精度は、 次の 2 組の入力要素に依存します。

• デバイスの使用率、 コンポーネン トのコンフ ィギュレーシ ョ ン、 ク ロ ッ ク レート、 イネーブル レート、 ト グル

レート、 およびユーザーがツールに入力するその他の情報

• ツールに統合されているデバイスのデータ モデル

アプリ ケーシ ョ ンの正確な消費電力概算を行うには、 できるだけ現実的な情報を入力してください。 実際のデザイ

ン値よ り低い値を入力したり、 デザインに関して十分な情報がない場合は、 算出される結果が非現実的な値となり

ます。 このユーザー ガイ ドでは、 XPE を使用して、 ワース ト ケースおよび標準の消費電力値を求める方法について

説明します。

XPE は、 設計の初期段階やレジスタ転送レベル (RTL) 設計が未完成な段階で使用する、 インプリ メンテーシ ョ ン前

のツールです。 インプ リ メンテーシ ョ ン後は、 ISE® Design Suite の XPower Analyzer (XPA) ツールまたは Vivado®

Design Suite の消費電力レポート ツールを使用して、 よ り正確な消費電力の概算および解析が可能です。 XPA の詳細

は、 XPower Analyzer ヘルプ [参照 1] を参照してください。 Vivado ツールの消費電力解析機能の詳細は、 『Vivado

Design Suite ユーザー ガイ ド : 消費電力解析および最適化』 (UG907) [参照 2] を参照して ください。

XPE はスプレッ ドシート を使用しているため、 Microsoft Excel の全機能をそのまま使用でき、 各セクシ ョ ンは保護さ

れていないので書き込み可能です。 XPE には、 使いやすさを求めた機能が追加されています。 その他に、 ド ロ ップ

ダウン メニューやコ メン トが有効なセルなど、 ユーザーにとって便利な機能も備えています。

Xilinx Power Estimator ユーザー ガイド 5UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 6: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

XPE スプレッ ドシートには、 Quick Estimate ウ ィザード、 Memory Interface Configuration ウ ィザード、 Memory

Generator ウ ィザード (ブロ ッ ク メモ リおよび分散メモ リ向け)、 および Transceiver Configuration ウ ィザード も含まれ

ています。 これらのウ ィザードは、 ツールの初心者および使用に慣れているユーザーが素早く重要なコンフ ィギュ

レーシ ョ ン パラ メーターを入力するのに役立ちます。 入力後、 それらに関連する行が [I/O]、 [Logic]、 [BRAM]、

[GTH] などのシートに生成され、 消費電力の正確な見積も りに用いられます。

X-Ref Target - Figure 1-1

図 1‐1: Xilinx Power Estimator スプレッ ドシート

Xilinx Power Estimator ユーザー ガイド 6UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 7: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

ビデオ: 『Vivado Design Suite QuickTake ビデオ チュート リ アル: Xilinx Power Estimator の使用』 では、 Xilinx Power

Estimator を使用して、製品設計サイクルの早期段階、 あるいは All Programmable SoC または FPGA 内でロジッ クが設

計される前に、 電力および冷却仕様を決定する手順を解説しています。

XPE の使用

XPE を開く

1. XPE を使用するには、 Microsoft Excel 2003、 Microsoft Excel 2007、 または Microsoft Excel 2010 がインス トールさ

れている必要があ り ます。

今回の XPE リ リースでは、 Microsoft Excel 2013 もサポート されています。

また、 OpenOffice や Google のドキュ メン ト エディ ターは、 今回の XPE リ リースではサポート していません。

2. ターゲッ ト デバイス用の最新スプレッ ドシート をダウンロード して ください。 XPE スプレッ ドシートは、 消費

電力効果のウェブサイ トからダウンロードできます。

注記: 7 シ リーズ/Zynq®-7000 All Programmable (AP) SoC の XPE スプレッ ドシートは、 .xlsm (Excel マクロ有効

ブッ ク ) または .xls (Excel 97-2003 ブッ ク ) 形式で提供されます。

3. Microsoft Excel のマクロが有効になっているこ とを確認してください。 XPE は、 XPE スプレッ ドシートに組み

込まれたマクロを使用します。

° Microsoft Excel 2010/2013 の場合 - 次の手順に従ってください。

a.XPE スプレッ ドシートで [ファ イル] → [オプシ ョ ン] をク リ ッ ク します。

b.[Excel のオプシ ョ ン] ダイアログ ボッ クスで [セキュ リティ センター ] をク リ ッ ク します。

c.[セキュ リ ティ センター ] ダイアログ ボッ クスで [マクロの設定] をク リ ッ ク します。

d.[すべてのマクロを有効にする ] をオンにして [OK] をク リ ッ ク します。

e.XPE スプレッ ドシート を一度閉じてから再度開きます。

° Microsoft Excel 2007 の場合 - 次の手順に従ってください。

a.Microsoft Office ボタンで [Excel のオプシ ョ ン] をク リ ッ ク します。

b.[Excel のオプシ ョ ン] ダイアログ ボッ クスで [セキュ リティ センター ] をク リ ッ ク します。

c.[セキュ リ ティ センターの設定] ダイアログ ボッ クスで [マクロの設定] をク リ ッ ク します。

d.[すべてのマクロを有効にする ] をオンにして [OK] をク リ ッ ク します。

e.XPE スプレッ ドシート を開きます。 既に開いている場合は、 一度閉じてから再度開きます。

重要: Excel 2007 またはそれ以降のバージ ョ ンでスプレッ ドシート を XLSX ファ イル (Excel Workbook) と して保存す

る と、 マクロが無効となり XPE が機能しなくな り ます。 XLSX ファ イルと して保存する場合は、 この点に注意して

ください。

° Microsoft Excel 2003 の場合 - デフォルトではマクロのセキュ リティ レベルが High に設定されているため、

自動的にマクロが無効になっています。 マク ロのセキュ リティ レベルを変更するには、 次の手順に従って

ください (メニュー表示の名称は、 Microsoft Excel の言語設定によって異なる )。

Xilinx Power Estimator ユーザー ガイド 7UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 8: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

a.[ツール] → [マクロ ] → [セキュ リティ ] を選択します。

b.[セキュ リティ ] ダイアログ ボッ クスが表示されたら、 [セキュ リティ レベル] タブをク リ ッ ク します。

c.[中] をオンにして [OK] をク リ ッ ク します。

d.XPE スプレッ ドシート を開きます。 既に開いている場合は、 一度閉じてから再度開きます。

e.マクロを有効にするか、 無効にするかを選択する画面が表示された場合、 [Enable Macros] をク リ ックします。

重要: Windows の言語を英語に設定してください。 [コン ト ロール パネル] → [時計、 言語、 および地域] → [地域と言

語] をク リ ッ ク し、 [形式] を [英語 (米国)] に設定します。

ユーザー入力の要件

FPGA のよ うなプログラマブル デバイスの消費電力概算は、 デザインで使用されるロジッ ク数やロジッ ク コンフ ィ

ギュレーシ ョ ンの影響を受けるため非常に複雑です。 正確な値を算出するには、 リ ソース使用率、 クロ ッ ク レート、

ト グル レート などの値を正確に入力する必要があ り ます。 XPE で最小限の入力によって十分な精度で消費電力を概

算するには、 次の情報が必要です。

• 使用するデバイス /パッケージ/グレードの組み合わせ

• デザインで使用する リ ソースの予想数 (フ リ ップフロ ップ、 LUT、 I/O、 ブロ ッ ク RAM、 DCM または MMCM、

PLL など)

• デザインのクロ ッ ク周波数

• デザインのデータ ト グル レートの予想値

• 外部メモ リ ベースのインターフェイス と ト ランシーバー ベースのインターフェイス、 およびデザインでのそれ

らのデータ レート

• 想定される熱環境

一般的には、 デザインに関する情報をできるだけ多く入力し、 その他の部分はデフォルト設定を使用します。 これ

によって、 デバイスの供給電圧や熱設計の要件を決定できます。

ヒン ト : Excel の数式機能を利用して、 ほかのセルと リ ンク させます。 たとえば、 [Logic] シートの [Clock] セルに

「=CLOCK!E10」 と入力する と、 このクロ ッ ク ド メ インで駆動される リ ソースが表示されます。

XPE の計算と結果

XPE はデザインと環境入力を使用し、 これら情報と各デバイス データ モデルの総合後にターゲッ ト デバイスの消費

電力概算値を計算してレポート します。

XPE では、 複数の電力配分を表示します。

• 供給電源の電力 - 必要な電圧ソースに関して、 この情報はレギュレータなどの供給電源の部品選択に役立ちま

す。 この電力には、 オフチップとオンチップの両方の消費電力が含まれます。

• ユーザー ロジッ ク リ ソースの電力 - デザインのユーザー ロジッ ク タイプに関して、 消費電力概算値をレポート

します。 これによって、 割り当てられた電力バジェッ ト内でアーキテクチャ、 リ ソース、 インプリ メンテー

シ ョ ンの ト レードオフを調整できます。

Xilinx Power Estimator ユーザー ガイド 8UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 9: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

• 熱電力 - ユーザーがデバイス環境設定を入力し、 XPE がそのアプリ ケーシ ョ ン用デバイスの熱特性 (ジャンク

シ ョ ン温度の予測値など) をレポート します。 この情報を使用して、 デザインに対してパッシブまたはアクティ

ブのいずれかの冷却装置の必要性を判断できます。

XPE の [Summary] シートには、 デバイスの総消費電力が表示されます。 その他のシートは、 使用する各リ ソースの

消費電力を示しますが、 当該リ ソースの未使用部分にリーク電力がある場合でも、 その電力量はレポート されませ

ん。

重要: XPE では、 消費電力の数値のセルは小数点以下 3 桁まで (たとえば、 0.000) 表示されるよ うに設定されていま

す。 小数点以下 3 桁への丸めは、 Microsoft Excel の動作に基づいて行われます。 1mW 未満の値は、 0.000W と して表

示されます。 セルをコピーして [User] シートに貼り付ける と、 精度が調整された実際の値が表示されます。

定義/用語

サポート されるデバイス ファ ミ リ

ターゲッ ト アーキテクチャによって異なるスプレッ ドシート を使用します。 これらのスプレッ ドシートは、 新デバ

イスのリ リースや XPE に新機能が追加される とアップデート されます。

• UltraScale+ デバイス

° Kintex® UltraScale+

° Virtex® UltraScale+

° Zynq® UltraScale+

• UltraScale™ デバイス

° Kintex® UltraScale

° Virtex® UltraScale

• 7 シ リーズ デバイスおよび Zynq-7000 AP SoC

° Artix®-7、 Artix-7 オートモーティブ グレード、 Artix-7 防衛グレード

° Kintex-7 および Kintex-7 防衛グレード

° Virtex-7 および Virtex-7 防衛グレード

° Zynq®-7000、 Zynq-7000 オートモーティブ グレード、 Zynq-7000 防衛グレード

• Virtex-6 および Virtex-5 デバイス

° Virtex-6、 Virtex-6 低消費電力、 Virtex-6Q 防衛グレード

° Virtex-5、 Virtex-5Q 防衛グレード、 Virtex-5QV 航空宇宙グレード

• Virtex-4

• Spartan®-6 および Spartan-3A – このスプレッ ドシートには、 Spartan-6 低消費電力、 Spartan-6 オートモーティブ、

Spartan-6Q 防衛グレード、 Spartan-3AN、 Spartan-3A DSP などのすべてのサブファ ミ リが含まれます。

• Spartan-3E

• Spartan-3

Xilinx Power Estimator ユーザー ガイド 9UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 10: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

重要: 利用可能な最新のスプレッ ドシートは、 XPE (Xilinx Power Estimator) のウェブサイ トからダウンロード して く

ださい。

デバイス モデルの精度

ツールに組み込まれている特性データの精度は、XPE の [Summary] シートの [Characterization] に入力されている製品

仕様が反映されます。 ほとんどのデバイスの製品仕様履歴は、 [Release] シートにも表示されます。 製品仕様は、

Advance、 Preliminary、 Production の 3 種類あり ます。

Advance

この仕様で XPE に組み込まれているデータは、 早期の製品デバイスにおける計測および特性評価に基づいていま

す。 広く一般的に使用されているデバイス リ ソースが特性評価に含まれます。 つま り、 特性評価データは、 これら

の一部のブロ ッ クに制限されます。 このデータは通常、 製品リ リースから 1 年以内に入手可能となり ます。 データ

は比較的安定しており、 余裕を持たせた設定ですが、 実際の値は上下する可能性があ り ます。 この仕様のデータは、

Preliminary や Production 仕様のデータほど正確ではあ り ません。

Preliminary

この仕様で XPE に組み込まれているデータは、 ES シ リ コンの特性評価データに基づいています。 この仕様では、 デ

バイス ファブリ ッ ク内にあるほぼすべてのブロ ッ クが特性評価されています。 TEMAC や PCIe® ブロ ッ クなど専用

ブロ ッ ク も特性評価されており、 そのデータは XPE に含まれています。 Advance 仕様と比較する と、 消費電力値の

精度は高くなり ます。

Production

この仕様で XPE に組み込まれているデータは、 特定のデバイス ファ ミ リの十分な量産を経た上で特性評価が行わ

れ、 相当数の生産ロッ ト を対象と した完全な電力相互関係が確立された後にリ リースされます。 デバイス ファブ

リ ッ ク内にあるすべてのブロ ッ クの特性評価データが含まれます。

総消費電力

デバイス全体の消費電力は次のよ うに計算されます。

デバイスの総消費電力 = デバイスのスタティ ッ ク消費電力 + デザインのスタティ ッ ク消費電力 + デザインのダ

イナミ ッ ク消費電力

消費電力概算では、 温度や電圧に対する感度を定義するためにモデル化されます。 また、 システム上の周辺温度や

レギュレート された電圧をそれぞれ適切なセルへ入力するこ と も可能です。

デバイスのスタテ ィ ッ ク消費電力

これは、 リーク電流と も言われています。 デバイスのスタティ ッ ク消費電力は、 デバイスに電源が投入されている

がコンフ ィギュレーシ ョ ンされていない状態にある ト ランジスタの リーク電流を示します。

Xilinx Power Estimator ユーザー ガイド 10UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 11: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

デザインのスタテ ィ ッ ク消費電力

デザインのスタティ ッ ク消費電力は、 デバイスがコンフ ィギュレーシ ョ ンされているがスイ ッチング動作がない状

態で消費される電力を示します。 これには、 I/O の DCI 終端、 ク ロ ッ ク マネージャーなどのスタティ ッ ク消費電力

が含まれます。

XPE は、 デザインのスタティ ッ ク消費電力の概算にあたって、 まず空白のビッ ト ス ト リームを仮定します。 デザイ

ンのスタティ ッ ク消費電力の計算にデザイン エレ メン ト (ロジッ ク、 I/O、 BRAM、 ク ロ ッ ク マネージャーなど) を追

加するには、 デザインに適用可能な XPE リ ソース シートに、 リ ソースの使用率と コンフ ィギュレーシ ョ ンを入力す

る必要があ り ます。 ボード とデザインを一致させるために、 I/O 終端も設定します。 ク ロ ッ ク マネージャーについて

は、 低い値のクロ ッ ク周波数を入力して使用率を示します。 ほかのリ ソースのシートのクロ ッ ク周波数は 0 にして

おきます。

注記:最大プロセスの場合、 デバイスのスタティ ッ ク消費電力はツールにレポート される値を超えてはいけません。

デザインのダイナミ ック消費電力

ダイナミ ッ ク消費電力は、 ユーザー ロジッ ク使用率やスイ ッチング動作による消費電力を示します。

アクテ ィビテ ィ  レート

XPE では、 次のアクティビティ レート を示します。

• ト グル レート

• 信号レート

トグル レート

精度の高い消費電力概算を行うには、 XPE シートのいくつかに正確な ト グル レート を入力する必要があ り ます。 し

かし、 XPE にデータを入力する設計段階では、 この情報がまだ正確にわからない場合があるため、 設計の進行に合

わせて ト グル レート を修正してください。 ト グル レートは、 次のガイ ド ラインに従って入力してください。

• 同期パスの場合、 ト グル レートは、 ある クロ ッ ク入力に対して出力が変化する頻度を 0 ~ 100% の値で表しま

す。 最大のト グル レートは 100% であ り、 すべてのアクティブ ク ロ ッ ク エッジで出力がト グルするこ とを意味

します。 たとえば、 100MHz ク ロ ッ クのフ リーランニング バイナリ カウンターを考えます。 LSB ビッ トはク

ロ ッ クのすべての立ち上がりエッジで ト グルするため、 [Toggle Rate] 列に 100% と入力します。 2 番目のビッ ト

は 1 つ置きのクロ ッ ク エッジで ト グルするため、 50% と入力します。 データが 1 ク ロ ッ ク サイクルあたり 2 回

ト グルされる場合は、 200% と入力します。

• 非周期的またはイベン ト駆動型のデザイン部分については、 ト グル レートの予測は困難です。 特定のデザイン

の平均的な ト グル レート を予測する効果的な方法は、 まず機能または階層ごとにデザインを異なるセクシ ョ ン

に分割し、 各サブブロ ッ クの ト グル レート を予測するこ とです。 そして、 デザイン全体または階層全体の平均

を算出するこ とによって、 平均ト グル レート を求めるこ とができます。 ロジッ ク重視型デザインの大部分は、

平均ト グル レート 12.5% (XPE のデフォルト ト グル レート値) で動作します。

入力にランダム データ パターンを使用するデザインは、 一般的にト グル レートが 10 % ~ 30% の範囲内になる

こ とが確認されています。 しかし、 多くのグ リ ッチ ロジッ クを含むデザインでは、 ト グル レートが 50% を超え

るこ とがあ り ます。 グ リ ッチ ロジッ クは一般的に、 XOR ゲートや、 レジスタを使用しない演算ロジッ ク (すな

わち、 加算器) など、 1 つの入力が変化したと きに出力が変化する可能性が高い組み合わせファンクシ ョ ンと し

て分類されます。 このため、 このよ うなロジッ クを大量に使用する誤り検出/訂正回路などのファンクシ ョ ンは、

高い ト グル レート を示すこ とがあ り ます。 大量の制御パス ロジッ クを含むエンベデッ ド デザインなどのデザイ

Xilinx Power Estimator ユーザー ガイド 11UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 12: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

ンでは、 動作中の特定の時点でロジッ クの大部分が非アクティブになるため、 平均する と ト グル レートは低め

になり ます。

デザインの ト グル レートに大きな影響を与える主な要因には、 次のものがあ り ます。

° 入力データ パターン - ランダム データ パターンか既知のパターンか。

° 制御信号 - リセッ トやクロ ッ ク イネーブルなどの制御信号を使用するか使用しないか。

° デザイン ロジッ ク - グ リ ッチ XOR/CARRY ロジッ クが多いデザイン、 高度にパイプライン化されたデザイ

ンまたはエンベデッ ド デザインは、 ト グル レートに影響を与える。

Ex‐OR (XOR) 回路のトグル レートに関する一般的なガイド ライン

XOR 回路は比較的多くのグ リ ッチを含み、 深くなるほどグ リ ッチが増加しますが、 増加には飽和点があ り ます。 グ

リ ッチのない状況での飽和点は XOR ツ リーの 3 ~ 4 レベルで 50% のト グル レートにな り ます。

XOR のト グル レートは回路トポロジに依存します。 グ リ ッチ数は XOR ツ リーの深さ と幅によって決定します。

XOR ロジッ ク ツ リーの深度が異なる と、 結果も異なり ます。

例:

深さ 10 レベルで幅 1024 の XOR を仮定した場合、 ユーザーの組み合わせロジッ クの最大 XOR ト グル レートは次の

とおりです。

815% - ワース ト入力

254% - ランダム入力

深さ 5 レベルで幅 32 の XOR を仮定した場合、ユーザーの組み合わせロジッ クの最大 XOR ト グル レートは次のとお

りです。

516% - ワース ト入力

114% - ランダム入力

重要: 専用の [Clock Enable] 列がないすべてのシートでは、 このロジッ クをゲート管理する信号のト グル レート を予

測してください。 たとえば、 このレートが 50% にモデル化されている場合でも、 同期するクロ ッ クが 50% でイネー

ブルの場合、 最終的な ト グル レートは 25% (50% x 50%) とな り ます。

重要: ト グル レートが 100% とはどのよ うなこ とかを理解するには、 データ入力が High に接続され、 常に有効な ト

グル フ リ ップフロ ップ (TFF) を使用する場合を考えてみてください。 このフ リ ップフロ ップの T 出力はすべてのク

ロ ッ ク エッジで ト グルします。 平均ト グル レートが 100% のデザインはほとんど存在しません。

注記: [I/O] シートには、 信号の [Data Rate] を指定する列があ り ます。 [Toggle Rate] 列と [Data Rate] 列を正確に調

整する必要があ り ます。 たとえば、 ク ロ ッ クの両エッジで ト グルする入力信号の場合は、 Toggle Rate = 200% と

Data Rate = DDR (デュアル データ レート ) を入力します。

信号レート

信号レートは、 特定のエレ メン トの 1 秒あたりの遷移回数 (Mtr/s) (100 万単位) を定義します。 これは、 一部の XPE

の [Logic] シート、 [I/O] シート、 [DSP] シート、 [BRAM] シート などにある読み出し専用列に表示されます。 一般的

に、 信号レート を求める計算式は次のとおりです。

Xilinx Power Estimator ユーザー ガイド 12UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 13: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

信号レート (Mtr/s) = ク ロ ッ ク周波数 (Mhz) * 効果的な ト グル レート (%)

ファンアウト

XPE で定義されるファンアウ トは、 合成ツールでレポート されるファンアウ ト と類似していますが、 インプリ メン

テーシ ョ ン ツールでレポート されるファンアウ ト とは異なる場合があ り ます。 この 2 つのファンアウ トは、 ロジッ

クの配置とパッキングの相違が原因で生じます。

• XPE の場合、 ファンアウ トは、 使用されるエレ メン トが接続される各ロード、 つま り ロジッ ク エレ メン トの数

を示します (LUT、 FF、 ブロ ッ ク RAM、 I/O FF、 分散 RAM およびシフ ト レジスタ )。

• インプ リ メ ンテーシ ョ ン ツールの場合、 ファンアウ トはネッ トが配線される SLICE 数を示します。 通常、 1 つ

のスライスには複数のロジッ ク エレ メン トが含まれており、 ユーザーは SLICE 内へ別のロジッ ク エレ メン ト

をパッキングするこ とはできません。 XPE アルゴ リ ズムは、 このパッキングを予測してから消費電力を概算し

ます。

Effective  JA (C/W)

この係数は、 ザイ リ ンクス デバイスから周囲環境 (デバイス ジャンクシ ョ ンから外気) へ放散される電力量を示しま

す。 通常、 このオプシ ョ ンは、 [Summary] シートの [Settings] パネルに入力されているさまざまな環境パラ メーター

やその他の条件に基づいて XPE で計算されます。 このフ ィールドに入力する と、 XPE の計算結果がすべて変更され

ます。 熱シ ミ ュレーシ ョ ンでこのパラ メーター値を計算した場合は、 このオプシ ョ ン入力を使用します。 また、 異

なる環境設定をした別のスプレッ ドシート を使用して電力差を解析する際にも、 この機能を使用して環境パラ メー

ターを抽出できます。

SA (C/W)

SA は、 ヒート シンクから外気への熱抵抗を示します。 XPE はデフォルトで、 ユーザーが設定した [Heat Sink] 値

(Low Profile、 Medium Profile、 High Profile) および [Airflow] 値と併せて、 デバイス パッケージと一致したヒート シン

ク データの標準値からこの値を取得します。 XPE で使用される値は、 [Summary] シートの [QSA] 欄に表示されます。

システムの SA 値がわかっている場合は、 指定できます。 [Summary] シートの [Heat Sink] ド ロ ップダウン メニュー

で [Custom] を選択して、 QSA を指定します。

JB (C/W)

JB は、 デバイス ジャンクシ ョ ンからボードへの熱抵抗を示します。 デフォルトでは、 JEDEC 基準 4 層基板使用時

の測定値に基づいて算出された値が表示されます。 システムの熱シ ミ ュレーシ ョ ンを実行済みの場合は、 この値を

指定できます。 [Summary] シートの [Board Selection] ド ロ ップダウン メニューで [Custom] を選択して、 QJB 値を指定

します。

ジャンクシ ョ ン温度 (C)このフ ィールドでは、 デバイスのジャンクシ ョ ン温度の値を指定します。 XPE は、 指定されたジャンクシ ョ ン温度

を超えないよ うに周囲温度を調整します。 このオプシ ョ ンは、 既知の値またはワース ト ケースのジャンクシ ョ ン温

度から逆算して、 この温度を超えないよ うにするための環境を指定する場合に使用します。

ほとんどの最新デバイスには、 XADC (Xilinx Analog-to-Digital Converter) コンポーネン トが含まれています。 ジャン

クシ ョ ン温度は XADC で計測されるため、 ユーザーはデバイスをコンフ ィギュレーシ ョ ンする前および後では、 こ

の値が安定するまで待機する必要があ り ます。

Xilinx Power Estimator ユーザー ガイド 13UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 14: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

XPE ユーザー インターフェイスの使用

XPE には、 次のシートがあ り ます。

• [Summary] シートでは、 すべてのデバイスおよび環境設定の入力と編集が可能です。 また、 電源分配のサマ リ も

表示され、 XPE へデータをインポートするボタンや結果をエクスポートするボタン、 および設定を全体的に調

整するボタンもあ り ます。

• その他のシート ([I/O]、 [BRAM]、 [MGTs] など) では、 ターゲッ ト デバイスで使用可能なさまざまな リ ソース タ

イプに関する使用率および動作の詳細を入力します。 これらのシートには、 リ ソース使用率に基づく消費電力

がレポート されます。 リ ソースのリーク電力は、 [Summary] シートに表示されます。

ヒン ト : XPE のスプレッ ドシートは、 初心者でも簡単に使用できるよ う作成されています。 スプレッ ドシートの各セ

ルのコ メン ト インジケーター (タイ トル セルの右上にある赤色の三角印) にマウスを置く と、 そのセルの使用用途に

関する説明が表示されます (図 1-2 参照)。

XPE のスプレッ ドシートの色分け表示

データ入力とレビューを容易にするため、 XPE のセルは色分け表示されています。 カラー レジェンド ([Legend]) の

説明は、 [Summary] シートの一番下にあ り ます (図 1-3 参照)。

表 1-1 に、 スプレッ ドシートの色分け表示の詳細を示します。

X-Ref Target - Figure 1-2

図 1‐2: コ メン ト  インジケーターとコ メン ト

X-Ref Target - Figure 1-3

図 1‐3: カラー レジェンド  ([Summary] シート )

表 1‐1: XPE のスプレッ ドシートの色分け表示

セルの色 セルの用途 可能なユーザー アクシ ョ ン

白 ユーザーによるデータ入力が可能 編集可能

灰色 算出された値を表示 読み出し専用

緑 概算値を表示 読み出し専用

青通常、 XPE で算出されるセルに対し、 ユーザーが上書

きしたこ とを示す編集可能

Xilinx Power Estimator ユーザー ガイド 14UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 15: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

[Summary] シートの使用

[Summary] シートは、 起動時にデフォルトで表示されるシートであ り、 デバイス情報や環境設定などのすべての情報

を入力できます。 また、 このシートには、 レールやブロッ クごとの消費電力概算がレポート されるため、 デザイン

の熱情報や電源分配情報を一目で確認できます (図 1-4 参照)。

次の場所に、 説明、 デザインの概要、 またはデザインに関する計算値を追加できます。

• [Summary] シートの上部にある [Project] フ ィールドには、 デザインの説明を追加できます。

• UltraScale、 7 シ リーズ/Zynq-7000 AP SoC の XPE スプレッ ドシートでは、 [Summary] シートの右側にあるボッ ク

ス エリ アに、 デザインの説明、 デザインの詳細、 またはデザインに関する計算値を追加できます。 このエリ ア

には、 リ ンク、 データ表、 グラフ ィ ッ ク、 または通常の Excel シートに記入できるその他オブジェク ト などを保

存できます。

• 7 シ リーズ以前のデバイス用のスプレッ ドシートでは、 [Summary] シートの下部にある [Messages] フ ィールド

に、 デザインの説明や概要を追加できます。

• [Summary] シートのボッ クスに収まらないデータがある場合は、 [User] シート を使用します。 そこでは、 リ ンク、

データ表、 グラフィ ッ ク、 または 通常の Excel シートに記入できるその他オブジェク トなどを保存できます。

ヒン ト : Spartan-3、 Spartan-3E、 および Virtex-4 デバイスのスプレッ ドシートは、 この図のレイアウ ト と多少異なり ま

すが、 こ こに記載のユーザー設定やデータについての説明は、 これらのスプレッ ドシートにも同様に適用されます。

オレンジ 警告を示す。 リ ソースが不足しているこ とを示す 編集可能

エラーを示す

エラーの例

• デバイスの最大リ ソース数を超えた

• デバイス仕様の制限を超えた (ジャンクシ ョ ン温度

など)

読み出し専用。 XPE のその他のセル

を変更してエラーを修正可能

表 1‐1: XPE のスプレッ ドシートの色分け表示

Xilinx Power Estimator ユーザー ガイド 15UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 16: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

X-Ref Target - Figure 1-4

図 1‐4: [Summary] シート  ‐ 電力概算の変更およびサマリ表示

Xilinx Power Estimator ユーザー ガイド 16UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 17: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

[Settings] パネルの使用

[Settings] パネルを使用して、 ボード、 冷却機、 および ISE Design Suite または Vivado Design Suite 設定の詳細を指定

します。 このパネルの内容は、 ターゲッ ト デバイスによって多少異なり ます。 図 1-5 に、 Kintex UltraScale デバイス

の例を示します。

一部の設定は、 ほかの設定によって決定されます。 このよ うな場合は変更不可となり背景色が灰色表示されます。

[Settings] パネルの内容は次のとおりです。

• [Device]

システム要件を満たす最小サイズのデバイスを選択します。

重要: デバイス サイズが大き くなるほど、 デバイスのスタティ ッ ク消費電力が高くなり ます。

7 シ リーズ デバイス スプレッ ドシートには、 [Speed Grade] に 「-1」、 [Temp Grade] に [Commercial]、 [Process] に

[Maximum] を指定した Virtex®-7 FPGA デバイスにのみ適用される [Voltage ID Used] の入力があ り ます。 [Voltage

ID Used] に [Yes] を設定した場合、 XPE はこの Voltage ID 電圧で動作するデバイスに基づいて消費電力を計算し

X-Ref Target - Figure 1-5

図 1‐5: [Settings] パネル

Xilinx Power Estimator ユーザー ガイド 17UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 18: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

ます。 Voltage ID (VID) 電圧は、 ザイ リ ンクス デバイスがパフォーマンスの仕様を満たしながら動作可能な、 最

小 VCCINT 電圧です。 この電圧はザイ リ ンクス デバイスの製造時にテス ト されており、 その値はザイ リ ンクス

デバイスの DNA eFuse レジスタにプログラムされています。 VID 機能をデザインで有効にしてザイ リ ンクス デ

バイスをこの VID 電圧で動作させる と、 公称電圧での動作時と比較して消費電力を大幅に削減できます。

• [Environment]

XPE がジャンクシ ョ ン温度の予測値をレポートするには、 どのよ うにデバイス ロジッ クが構成され、 有効にな

るかを理解する必要があ り ます。 また、 デバイス環境の詳細も必要です。 熱が周囲空気 (QSA) または PCB

(QJB) にどのよ うに伝わるかを示す情報は、 デバイスのジャンクシ ョ ン温度に影響します。 これらのパラ メー

ター値がわかる場合は入力してください。 わからない場合は、 ド ロ ップダウン メニューを使用して、 対象とな

るプロジェク トに最も近い環境設定を選択してください。 この設定を行う と、 Effective QJA 値が間接的に算出

されます。

重要: XPE は熱モデルを使用してジャンクシ ョ ン温度を計算します。 XPE の熱モデルは、 熱がデバイスの上部および

下部の 2 つの主な経路を通ってボードへ流れるこ とを想定しています。 この熱モデルは、 実効熱抵抗およびジャン

クシ ョ ン温度の計算で入力した、 周囲温度、 エアフロー、 ヒート シンク、 ボードの選択に関する環境設定を利用し

ます。

XPE によるジャンクシ ョ ン温度の概算はボードのセッ ト アップに基づいて実行されますが、 このセッ ト アップは実

際のボードのものとは異なるこ とがあ り ます。 このため、 XPE は、 ザイ リ ンクス デバイスの近くにあるほかのボー

ド コンポーネン ト など、 実際のボード システム上のほかの熱源の影響を考慮に入れません。 このよ うな違いが原因

で、 XPE による温度の概算と実際のシステムの温度測定の間に差が生じるこ とがあ り ます。

Xilinx Power Estimator の熱パラ メーターの詳細は、 『デバイス パッケージ ユーザー ガイ ド』 (UG112) [参照 23] の

第 3 章 「温度管理、 温度特性評価および評価条件」 を参照してください。

• Vivado Design Suite および ISE Design Suite インプリ メンテーシ ョ ンで利用できるデザイン最適化オプシ ョ ン

このセクシ ョ ンは次のよ うに分類されます。

° [Implementation] (7 シ リーズおよび UltraScale デバイス)

° [PL Implementation] (Zynq-7000 AP SoC)

° [ISE] (以前の FPGA アーキテクチャ )

このセクシ ョ ンの設定を合成およびインプリ メンテーシ ョ ン ツールに適用して、 さまざまな目的に合わせて消

費電力を最小限に抑えるこ とができます。実際に使用する ISE Design Suite または Vivado Design Suite の設定と同

じになるよ うに指定します。 この設定は、 カスタマー デザインのコア ダイナミ ッ ク消費電力に影響します。

Xilinx Power Estimator ユーザー ガイド 18UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 19: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

最適化の設定は次のとおりです。

° [Area Reduction] - スライス使用率を最小化

° [Balanced] - デフォルトの ISE Design Suite オプシ ョ ン

° [Default] - デフォルトの ISE Design Suite または Vivado Design Suite オプシ ョ ン

° [Minimum Runtime]

° [Power Optimization] - コアのダイナミ ッ ク消費電力を最小化

° [Timing Performance]

° [Powered Off] (Zynq-7000 AP SoC のみ)

° [None] (UltraScale/UltraScale+ デバイスのみ) - すべての消費電力最適化機能をオフにする

ヒン ト : UltraScale/UltraScale+ デバイスのスプレッ ドシートでは、 このセクシ ョ ンは [Implementation] となっており、

[Power Optimization]、 [Default]、 および [None] のみ使用できます。 7 シ リーズ のスプレッ ドシートでは、 このセク

シ ョ ンは [Implementation] となっており、 [Default] および [Power Optimization] のみ使用できます。 Zynq-7000 AP SoC

のスプレッ ドシートでは、 このセクシ ョ ンは [PL Implementation] となっており、 [Default] 、 [Power Optimization]、 お

よび [Powered Off] のみ使用できます。

• [Power Mode]

この設定を使用して、 デバイスの異なる動作モードおよびパワーダウン モードでの概算消費電力を検討するこ

とができます。 [Power Mode] は、 一部のデバイス ファ ミ リでのみ使用できます。

電源分配パネルの使用

電源分配パネルは、 ザイ リ ンクス デバイスをシステムに統合する際に評価する 2 つのパネルで構成されます。 通常、

まず最初に設計者は各電圧ソースから供給されるデバイス電流を評価して、 正常に機能するのに十分な電圧が供給

されているこ とを確認します。 次に、 ボード終端ネッ ト ワークなどのオフチップ コンポーネン トへ供給される電圧

とは別に、 デバイス自体で消費される電力量を確認する必要があ り ます。 オンチップで消費される電力 (サーマル電

力) は、 熱を生成します。 この熱は、 ジャンクシ ョ ン温度を通常動作範囲内に保つために、 周辺空気へ放散される必

要があ り ます。図 1-6 に、 ジャンクシ ョ ン温度 ([On-Chip Power] パネル) および合計電力供給 ([Power Supply] パネル)

に影響を与えるオンチップ電力を示します。

Xilinx Power Estimator ユーザー ガイド 19UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 20: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

[On‐Chip Power] パネルの使用

[On-Chip Power] パネルでは、 デバイス内で消費される総電力量を示します。 これには、 デバイスのスタティ ッ ク消

費電力、 およびユーザー デザインのスタティ ッ ク消費電力とダイナミ ッ ク消費電力が含まれます。 消費電力は、 リ

ソースの種類ごとに算出されます。 このパネルは、 デバイスで消費および放散される電力量を判断するのに役立ち

ます。 また、 目標電力バジェッ ト を達成するために、 ト レードオフや電力最適化を適用できるユーザー ロジッ ク部

分を認識する際にも役立ちます。

このパネル内のリ ソース名をク リ ッ クする と、 その リ ソースの詳細シートへ移動できます。

XPE は、 デザインのスタティ ッ ク消費電力の概算にあたって、 まず空白のビッ ト ス ト リームを仮定します。 デザイ

ンのスタティ ッ ク消費電力の計算にデザイン エレ メン ト (ロジッ ク、 I/O、 BRAM、 ク ロ ッ ク マネージャーなど) を追

加するには、 デザインに適用可能な XPE リ ソース シートに、 リ ソースの使用率と コンフ ィギュレーシ ョ ンを入力す

る必要があ り ます。 ボード とデザインを一致させるために、 I/O 終端も設定します。 ク ロ ッ ク マネージャーについて

は、 低い値のクロ ッ ク周波数を入力して使用率を示します。 ほかのリ ソースのシートのクロ ッ ク周波数は 0 にして

おきます。

[Power Supply] パネルの使用

[Power Supply] パネルでは、 異なる電源ソースにおけるデバイスの概算消費電力を示します。 たとえば、 この情報を

使用して、 レギュレータなどの電力供給コンポーネン トのサイズを見直すこ とができます。 このパネルの値は、 内

X-Ref Target - Figure 1-6

図 1‐6:電源分配パネル

Xilinx Power Estimator ユーザー ガイド 20UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 21: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

部ロジッ クで必要なすべての電力、 および外部のボード終端などのザイ リ ンクス デバイス外部で消費される電力が

含まれます。 また、 スタティ ッ ク消費電力とダイナミ ッ ク消費電力の両方が含まれます。

このパネルを使用して個々の電圧をサポート範囲内に調整する と、 XPE が必要な電流量を計算して表示します。

重要: [Device] 表の [Process] で [Maximum] が選択されている と きに、 パワーオン時の電源電流値のいずれかが動作時

の電流要件の概算値を超えた場合、 [Power Supply] パネルには、 最小のパワーオン時電源要件が青色で表示されま

す。 電流値のいずれかが青色で表示された場合、 [Power Supply] パネルに表示される総消費電力と [Summary] パネル

に表示される [Total On-Chip Power] の値は一致しません。

重要: 最大プロセスの場合、 ほかにも さまざまな要因が電流に影響を与えるため、 レールごとの消費電力は [Total

On-Chip Power] の値と一致しません。

最大のパッケージ電流値に関する警告: 電流の予測値が、 選択したパッケージの最大仕様値を超えた場合、 [Power Supply] セクシ ョ ンの Iccint 電流の合計値フ ィールドが赤色になります。 この機能は、 UltraScale+ デバイスにのみ適用されます。

ザイ リ ンクス デバイスには、 複数の電源が必要です。 表 1-2 に、 ザイ リ ンクス デバイスの一般的なロジッ ク リ ソー

ス と、 それらの電源ソースを示します。 この表に記載されている内容はガイ ド ラインと して参照してください。 詳

細は、 デバイス ファ ミ リによって異なる可能性があ り ます。

表 1‐2: FPGA リソースと電源供給

電源 リソース

VCCINT

VCCBRAM(3)

• すべての CLB リ ソース

• すべての配線リ ソース

• ク ロ ッ ク ツ リー全体 (すべてのクロ ッ ク バッファーを含む)

• ブロ ッ ク RAM/FIFO

• DSP スライス

• すべての入力バッファー

• IOB 内のロジッ ク エレ メン ト (ILOGIC/OLOGIC)

• ISERDES/OSERDES

• PowerPC™ プロセッサ(1)

• Tri-Mode Ethernet MAC(1)

• ク ロ ッ ク マネージャー (MMCM、 PLL、 DCM など)(1)

• MGT の PCIe および PCS 部分

VCCO(2) • すべての出力バッファー

• 一部の入力バッファー

• 入力終端

• DCI の基準抵抗

Xilinx Power Estimator ユーザー ガイド 21UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 22: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

VCCAUX

VCCAUX_IO(4)

• ク ロ ッ ク マネージャー (MMCM、 PLL、 DCM など)(1)

• IODELAY/IDELAYCTRL

• すべての出力バッファー

• 差動入力バッファー

• VREF ベースのシングルエンド I/O 規格 (HSTL18_I など)

• Phaser(1)

MGTAVCC

MGTAVTT

MGTVCCAUX

• ト ランシーバーの PMA 回路のアナログ電源電圧

• ト ランシーバー終端回路

• クワ ッ ド PLL

VCCPINT

VCCPAUX

VCCPLL

VCCO_DDR

VCCO_MIO

• Zynq-7000 AP SoC:

° プロセッサ

° メモ リ

° I/O

° ペリ フェラル

° AXI インターフェイス

VCC_PSINTFP

VCC_PSINTLP

VCC_PSAUX

VCCPSINTFP_DDR

VCC_PSPLL

VPS_MGTRAVCC

VPS_MGTRAVTT

VCCO_PSDDR

VCCO_PSDDR_PLL

VCCO_PSIO

VCCINT_VCU

• Zynq-UltraScale+ MPSoC:

° プロセッサ

° メモ リ

° I/O

° ペリ フェラル

注記:1. これらのリ ソースは、 一部のデバイス ファ ミ リでのみ使用可能です。 詳細は、 各デバイスのデータシートおよびユーザー ガ

イ ドを参照してください。

2. バンク 0 の VCCO (VCCO_0 または VCCO_CONFIG) は、 バンク 0 のすべての I/O およびコンフ ィギュレーシ ョ ン回路に電力を供給

します。 詳細は、 各デバイスのユーザー ガイ ドを参照してください。

3. ザイ リ ンクスの 7 シ リーズ FPGA のブロ ッ ク RAM/FIFO のみです。

4. ザイ リ ンクスの 7 シ リーズ FPGA の HP (High Performance) のみです。

表 1‐2: FPGA リソースと電源供給 (続き)

Xilinx Power Estimator ユーザー ガイド 22UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 23: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

[Summary] パネルの使用

[Summary] パネルには、 すべての主要データが簡潔に表示されます。

• [Junction Temperature]

デザイン動作中のジャンクシ ョ ン温度が概算されます。 各デバイスは、 データシートで指定されている温度グ

レードの範囲内で動作します。 この値が動作温度範囲を超えている (タイ ミ ング問題が生じる可能性がある ) 場

合は、 このセルの背景がオレンジ色になり、 絶対最大温度を超えた (デバイス損傷の可能性がある ) 場合は赤色

に変わり ます。 ユーザーが値を設定する と、 セルの背景色は水色に変わり ます。

• [Total On-Chip Power]

すべての電源ソースにおいて、 デバイスで消費される総電力を示します。 これは、 サーマル電力と も呼ばれて

います。 このセルは、 先に説明した [Junction Temperature] セルの色分け表示が適用されます。

• [Thermal Margin]

このデバイス グレードで許容される温度および電力のマージンを示します。 ジャンクシ ョ ン温度予想が最大指

定値を超えた場合、 この熱設計マージンが負の値になり ます。 その場合は、 これらの情報を使用して、 オン

チップで消費される電力を抑えるための最善策を判断します。 熱抵抗の詳細は、 『7 シ リーズ FPGA パッケージ

およびピン配置ガイ ド』 (UG475) [参照 7] および 『Kintex UltraScale および Virtex UltraScale FPGA パッケージおよ

びピン配置ユーザー ガイ ド』 (UG575) [参照 13] を参照してください。

• [Effective QJA]

算出された実効熱抵抗 (Effective QJA) は、 ダイから周囲空気へどれく らい熱が伝導されるかを示します。 この値

は、 [Environment] パネルで入力した値に基づいて計算されます。 熱シ ミ ュレーシ ョ ンを実行済みの場合は、 こ

れを適切な値に変更できます ([Environment] パネルを使用)。

X-Ref Target - Figure 1-7

図 1‐7: [Summary] パネル

Xilinx Power Estimator ユーザー ガイド 23UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 24: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

XPE ツールバーの使用

ツールへのデータ入力を容易にする方法と して、 異なるソースから XPE にデータをインポート して、 全体的に設定

を反映させるこ とができます。 図 1-8 に、 ツールバーを示します。

注記: このツールバーは、 7 シ リーズ/Zynq-7000 AP SoC の XPE スプレッ ドシート用です。 以前のアーキテクチャ用

のスプレッ ドシートでは、 ツールバーのボタン名が異なるこ とがあ り ます。

[Import File]

デバイスの設計段階によって異なり ますが、 このダイアログ ボッ クスを使用してデザイン情報をインポート し、 ス

プレッ ドシートに反映させるこ とができます。 [Import File] をク リ ッ ク して、 ダイアログ ボッ クスの [ファ イルの種

類] からインポートするファ イルの種類 (.xls や .xlsm、 .mrp、 または .xpe) を選択します。

インポート機能の詳細は、 「XPE へデータをインポート 」 を参照してください。

[Export File]

[Export File] をク リ ッ ク して、 現在のスプレッ ドシートから次の情報をエクスポートできます。

• XPE にあるデザインの現在の設定。 これらの設定は、 ISE Design Suite の XPower Analyzer セッシ ョ ンにインポー

トできます。

• テキス ト形式の消費電力レポート。 これによって、 XPE スプレッ ドシートの消費電力情報をテキス ト形式で確

認できます。

エクスポート機能の詳細は、 「XPE 結果をエクスポート 」 を参照してください。

[Quick Estimate]

[Quick Estimate] をク リ ッ クする と、 XPE Quick Estimate ウ ィザードが開きます。 このウ ィザードは、 ツールの初心者

および使用に慣れているユーザーが、 ザイ リ ンクス デバイスにインプリ メン ト されたデザインの正確な消費電力解

析に必要となる重要なパラ メーターを素早く入力するのに役立つ簡単なインターフェイスです。

XPE Quick Estimate ウ ィザードの詳細は、 「Quick Estimate ウ ィザードの使用」 を参照してください。

[Manage IP]

[Manage IP] をク リ ッ クする と、 [XPE IP Manager] ダイアログ ボッ クスが開きます。 このダイアログ ボッ クスでは、

IP Module ウ ィザードを使用して、 各種の外部メモ リ インターフェイス (DDR3、 DDR3L、 LPDDR2、 QDR+、

RLDRAM など)、 ト ランシーバー ベースのインターフェイス (10GBASE-R、 Interlaken、 PCIe、 Aurora、 CPRI など)、

およびブロ ッ ク メモ リ または分散メモ リに関する情報を簡単に入力できます。

IP Module ウ ィザードの詳細は、 「IP Module ウ ィザードの使用」 を参照してください。

X-Ref Target - Figure 1-8

図 1‐8: XPE ツールバー

Xilinx Power Estimator ユーザー ガイド 24UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 25: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

[Snapshot]

[Snapshot] をク リ ッ クする と、 XPE スプレッ ドシート内で現在のデザインの消費電力ステータスのスナップシ ョ ッ ト

を取り込み、 この情報を [Snapshot] シートに追加します。 スナップシ ョ ッ トは、 デバイスの製品番号、 環境に関する

情報、 デザインの消費電力、 デザインで使用される各電源の電流値を取り込みます。

スナップシ ョ ッ トの使用に関する説明は、 「[Snapshot] シートの使用」 を参照して ください。

[Set Default Rates]

このダイアログ ボッ クスでは、 デザイン全体、 あるいは指定シート用のデフォルトの周波数、 ト グル レート、 また

はイネーブル レート を設定します (図 1-9 参照)。

このダイアログ ボッ クスでは、 XPE によって設定されたデフォルト値を角かっこ ([ および ]) で示します。

このダイアログ ボッ クスには、 次の入力フ ィールドがあ り ます。

• [Toggle Rates]

各フ ィールドは、 関連シートのみのアクティ ビティを変更します。 適用範囲: 0 ~ 100%

ト グル レートの詳細は、 「 ト グル レート 」 を参照してください。

• [Enable Rates]

各フ ィールドは、 関連シートのみのアクティ ビティを変更します。 適用範囲: 0 ~ 100%

• [All Clock Nets]

このフ ィールドに入力したクロ ッ ク周波数は、 [Clock、 [Logic]、 [I/O]、 [BRAM]、 および [DSP] シートに適用さ

れます。

• [Output Load]

このボード ト レースにおける配線およびボード ト レースに接続されたコンポーネン ト を出力ド ライブする際の

負荷容量を示します。 この設定は、 入力の消費電力概算には影響しません。

X-Ref Target - Figure 1-9

図 1‐9: [Set Default Activity Rates] ダイアログ ボックス

Xilinx Power Estimator ユーザー ガイド 25UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 26: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

[Reset to Defaults]

[Reset to Defaults] を使用する と、 すべてのユーザー設定がデフォルトに戻り ます。 ただし、 [Summary] シートの

[Device] 情報の値はそのままで、 ブロ ッ ク詳細シート ([Clock]、 [Logic] シート など) に入力した値はすべて削除され

ます。

XPE ウィザードの使用

7 シ リーズ/Zynq-7000 AP SoC または UltraScale デバイスの XPE スプレッ ドシートに含まれる XPE ウ ィザードは、

ツールの初心者および使用に慣れているユーザーが、 ザイ リ ンクス デバイスにインプリ メン ト されたデザインの正

確な消費電力解析に必要となる重要なパラ メーターを素早く入力するのに役立つ簡単なインターフェイスです。

XPE ウ ィザードには、 次の 2 つの種類があ り ます。

• Quick Estimate ウ ィザード - このウ ィザードに入力されたデザイン全体の情報が XPE シートに反映され、 XPE は

デザインの消費電力の見積り値を概算できます。 このウ ィザードへの入力が一般的に、 XPE でデザインを指定

してその電源要件を決定する最初の手段となり ます。

• IP Module ウ ィザード - このウ ィザードは、 次の情報を XPE スプレッ ドシートに簡単に入力できるよ うに XPE

を拡張します。

° さまざまな種類の外部メモリ インターフェイス (DDR3、 DDR3L、 LPDDR2、 QDR+、 および RLDRAM など)

° ト ランシーバー ベースのインターフェイス (10GBASE-R、 Interlaken、 PCIe、 Aurora、 および CPRI など)

° デザインに使用されているブロッ ク メモ リおよび分散メモ リ

Quick Estimate ウィザードの使用

このウ ィザードに入力されたデザイン全体の情報が XPE シートに反映され、 XPE はデザインの消費電力の見積り値

を概算できます。 このウ ィザードへの入力が一般的に、 XPE でデザインを指定してその電源要件を決定する最初の

手段となり ます。

概算値の計算後は、 ウ ィザードが入力したデータの参照、 ウ ィザードが作成したスプレッ ドシートへの入力の変更、

デザインをよ り詳細に記述する独自の入力の追加が可能です。

Quick Estimate ウ ィザードを 2 回実行する と、 1 回目のスプレッ ドシート入力はすべて 2 回目の入力内容で置き換え

られます。

次の資料は、 Quick Estimate ウ ィザードへ情報を入力する際の参考になり ます。

• 『7 シ リーズ FPGA コンフ ィギャラブル ロジッ ク ブロッ ク ユーザー ガイ ド』 (UG474) [参照 5]

• 『7 シ リーズ FPGA メモ リ リ ソース ユーザー ガイ ド』 (UG473) [参照 6]

• 『7 シ リーズ FPGA GTX/GTH ト ランシーバー ユーザー ガイ ド』 (UG476) [参照 8]

• 『7 シ リーズ FPGA GTP ト ランシーバー ユーザー ガイ ド』 (UG482) [参照 9]

• 『Zynq-7000 AP SoC および 7 シ リーズ デバイス メモ リ インターフェイス ソ リ ューシ ョ ン v2.3 ユーザー ガイ ド』

(UG586) [参照 10]

• 『UltraScale アーキテクチャ コンフ ィギャラブル ロジッ ク ブロッ ク ユーザー ガイ ド』 (UG574) [参照 11]

• 『UltraScale アーキテクチャ メモ リ リ ソース ユーザー ガイ ド』 (UG573) [参照 12]

Xilinx Power Estimator ユーザー ガイド 26UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 27: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

• 『UltraScale アーキテクチャ GTH ト ランシーバー Advance 仕様ユーザー ガイ ド』 (UG576) [参照 14]

• 『UltraScale アーキテクチャ GTY ト ランシーバー Advance 仕様ユーザー ガイ ド』 (UG578) [参照 15]

Quick Estimate ウ ィザードは、 [Summary] シートの [Quick Estimate] をク リ ッ ク して起動できます。

1. [Summary] シートの 「[Settings] パネルの使用」 にある [Speed Grade] および [Temp Grade] などでターゲッ ト デバ

イスを指定します。

2. [Summary] シートの [Quick Estimate] をク リ ッ ク します。

3. [XPE Quick Estimate] ダイアログ ボッ クスが表示されるので、 デザインに関する情報を入力します。

ダイアログ ボッ クスに入力できる情報は、 デザインをインプリ メン トするザイ リ ンクス デバイスによって異な

り ます。

4. デザインに関するこれらの値を入力し終えたら [OK] をク リ ッ ク します。

DRC (デザイン ルール チェッ ク ) の実行後、 入力した値に基づいて Xilinx Power Estimator スプレッ ドシートの各

シートに値が反映され、 指定したデザインの消費電力が概算されます。

[XPE Quick Estimate] ダイアログ ボッ クスには、 次の入力フ ィールドがあ り ます。

X-Ref Target - Figure 1-10

図 1‐10: [XPE Quick Estimate] ダイアログ ボックス (Kintex‐7 デバイス)

Xilinx Power Estimator ユーザー ガイド 27UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 28: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

[Conditions]

このフ ィールドでは、 次の項目を選択できます。

• [Typical (標準)] プロセス、 および [Ambient (周辺)] 温度の公称電圧

または

• [Maximum (最大)] プロセス、 および温度グレード制約内でのワース ト ケースの消費電力解析を想定した

[Junction (ジャンクシ ョ ン)] 温度における最大電圧

[Environment]

デバイスが動作するエアフロー環境を選択できます ([Still Air (空気抵抗なし )]、 [250 LFM]、 [250 LFM (w/Heatsink)])。

[Voltage]

デバイスが [Nominal] または [Maximum] のどちらかの電圧で動作している という想定で、 XPE 消費電力の計算を実

行するかを指定できます。

[Design Activity]

• [Clock]

ク ロ ッ ク周波数を MHz 単位で指定します。 [Clock] は、 デバイス ファ ミ リ (Artix-7 オートモーティブを含む

Artix-7、 Kintex-7、 Virtex-7) ごとに異なるデフォルト値が設定されますが、 ユーザーは [Clock] の値を任意に設

定できます。

• [Toggle]

ト グル レート をパーセン ト単位で入力します。 この値は [Logic] または [BRAM] 内のすべてのリ ソースに適用さ

れます。

• [Enable]

イネーブル レート をパーセン ト単位で入力します。 この値 [Enable] は [Logic] 内のスライス ク ロ ッ ク イネーブ

ル、 または [BRAM] のイネーブルに適用されます。

[Design Utilization]

デザインで使用が見込まれる各リ ソース (LUT、 FF、 BRAM、 DSP) の数を入力します。

[%] の列には指定したデバイス内でのリ ソース使用率がパーセン ト単位で表示されます。

表示されるボッ クスに使用数を入力するか、 スピン ボタン (上下矢印) で使用率を 1 ク リ ッ クあた り 5% ずつ増減さ

せます。

デバイス内のリ ソース総数よ り も大きな値を入力する と (たとえば、 9600 LUT しか搭載していないデバイスに対し

て 10,000 LUT と入力した場合)、 表示される値がそのデバイス内のリ ソース総数に変更され (この例では 9600 LUT)、

使用率が 100% になり ます。

Xilinx Power Estimator ユーザー ガイド 28UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 29: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

[Physical Interfaces]

指定したメモ リ インターフェイス ([Memory]) について、 追加するインターフェイスの数 ([Number])、 ビッ ト幅

([Width])、 Mb/s 単位のデータ レート ([Rate]) を入力します。

指定した ト ランシーバー インターフェイス ([GTP]、 [GTX] など) について、 追加するインターフェイスの数

([Number])、 ビッ ト幅 ([Width])、 Gb/s 単位のデータ レート ([Rate]) を入力します。

[LVDS] には、 差動ペア ([In] および [Out]) と Mb/s 単位の I/O データ レート を指定します。

IP Module ウィザードの使用

IP Module ウ ィザードを使用するこ とで、 XPE を拡張し、 各種の外部メモ リ インターフェイス (DDR3、 DDR3L、

LPDDR2、 QDR+、 RLDRAM など)、 ト ランシーバー ベースのインターフェイス (10GBASE-R、 Interlaken、 PCIe、

Aurora、 CPRI など)、 およびブロ ッ ク メモ リ または分散メモ リに関する情報が簡単に入力できます。

IP Module ウ ィザードには次のよ うなものがあ り ます。

• 「Memory Generator ウ ィザード (分散メモ リ用) の使用」

• 「Memory Generator ウ ィザード (ブロ ッ ク メモ リ用) の使用」

• 「Memory Interface Configuration ウ ィザードの使用」

• 「Transceiver Configuration ウ ィザードの使用」

Memory Generator ウィザード  (分散メモリ用) の使用

UltraScale および 7 シ リーズ/Zynq-7000 AP SoC の XPE スプレッ ドシートでは、Memory Generator ウ ィザードによって

分散メモ リの情報を [Logic] シートに入力できます。 Memory Generator ウ ィザードへは、 [Summary] シート または

[IP_Manager] シートの [Manage IP] をク リ ッ クするか、 または [Logic] シートの [Add Memory] をク リ ッ ク してアクセ

スできます。 XPE Memory Generator ウ ィザードを使用する と、 [Logic] シートのメモ リ関連の行に簡単に値を入力で

きます。

7 シ リーズ/Zynq-7000 AP SoC デバイスの分散メモ リの機能、 および XPE に入力する設定値について理解するには、

『7 シ リーズ FPGA コンフ ィギャラブル ロジッ ク ブロッ ク ユーザー ガイ ド』 (UG474) [参照 5] および 『UltraScale™

アーキテクチャ コンフ ィギャラブル ロジッ ク ブロ ッ ク ユーザー ガイ ド』 (UG574) [参照 11] を参照してください。

Xilinx Power Estimator ユーザー ガイド 29UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 30: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

XPE Memory Generator ウ ィザードを使用して 7 シ リーズ/Zynq-7000 AP SoC の [Logic] シート を生成するには、次の手

順に従ってください。

1. 次のいずれかの手順を選択し、 Memory Generator ウ ィザードを開きます。

[Logic] シートの [Add Memory] をク リ ッ ク します。

または

[IP_Manager] シートの [Manage IP] をク リ ッ ク します。

a.[IP Manager] ダイアログ ボッ クスで、 [Create IP] タブをク リ ッ ク します。

b.ダイアログ ボッ クスの [IP Catalog] で、 [Distributed Memory] を選択します。

c.このダイアログ ボッ クスで、 [Create] をク リ ッ ク します。

2. [XPE Memory Generator] ダイアログ ボッ クスが表示されるので、 [Distributed Memory] タブの [Memory Type] にデ

ザイン内の 1 つの分散メモリについての情報を入力します。

[Distributed Memory] タブには、 次の入力フ ィールドがあ り ます。

X-Ref Target - Figure 1-11

図 1‐11: [XPE Memory Generator] ダイアログ ボックスの [Distributed Memory] タブ (Virtex‐7 デバイス)

Xilinx Power Estimator ユーザー ガイド 30UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 31: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

° [Memory Type]

デザインで使用する メモ リのタイプを選択します。

- Single Port RAM

- Simple Dual Port RAM

- Single Port ROM

- Dual Port ROM

これらのメモ リ タイプの詳細は、 『7 シ リーズ FPGA コンフ ィギャラブル ロジッ ク ブロ ッ ク ユーザー ガイ

ド』 (UG474) [参照 5] を参照してください。

° [Clock]

分散メモ リ を動作させるクロ ッ クの周波数を入力します。

デュアル ポート メモ リの場合、 XPE は両ポートのクロ ッ ク周波数が同じである と想定します。

° [Toggle]

データ信号の平均ト グル レート を入力します。 50% のト グル レートは、 各クロ ッ ク サイクルで全データ信

号の半分がト グルするこ とを意味します。

° [Width]

メモ リの各ワードのビッ ト幅を入力します。

° [Depth]

メモ リの深さ (ワード数) を入力します。 [Width] [Depth] がメモ リの総ビッ ト数になり ます。

° [Registered Inputs]

メモ リへの入力をレジスタに格納するか ([Registered Inputs] をオン)、 または格納しないか ([Registered

Inputs] をオフ) を指定します。

入力のレジスタ格納の詳細は、『7 シ リーズ FPGA コンフ ィギャラブル ロジッ ク ブロ ッ ク ユーザー ガイ ド』

(UG474) [参照 5] を参照してください。

° [Registered Outputs]

メモ リからの出力をレジスタに格納するか ([Registered Outputs] をオン)、 または格納しないか ([Registered

Outputs] をオフ) を指定します。

出力のレジスタ格納の詳細は、『7 シ リーズ FPGA コンフ ィギャラブル ロジッ ク ブロ ッ ク ユーザー ガイ ド』

(UG474) [参照 5] を参照してください。

° [Module name]

生成された分散メモ リのコンフ ィギュレーシ ョ ンに名前を割り当てるこ とができます。 XPE シートに複数

のコンフ ィギュレーシ ョ ンが存在する場合、 個々のコンフ ィギュレーシ ョ ンを区別するのに役立ちます。

3. この分散メモ リに関する値を入力し終えたら、 [Create] をク リ ッ ク します。

ダイアログ ボッ クスで入力した情報が [Logic] シートの行に追加されます。

Xilinx Power Estimator ユーザー ガイド 31UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 32: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

4. デザインで使用する分散メモ リのタイプごとにダイアログ ボッ クスから情報を入力し、 [Create] をク リ ッ ク しま

す。

[Create] をク リ ッ クするごとに、 [Logic] シートに行が追加されます。

5. デザイン内のすべての分散メモ リについての設定が完了したら、[Close] をク リ ッ ク して [XPE Memory Generator]

ダイアログ ボッ クスを閉じます。

Memory Generator ウィザード  (ブロック  メモリ用) の使用

UltraScale および 7 シ リーズ/Zynq-7000 AP SoC の XPE スプレッ ドシートでは、Memory Generator ウ ィザードによって

ブロ ッ ク メモ リの情報を入力できます。 Memory Generator ウ ィザードへは、 [Summary] シート または [IP_Manager]

シートの [Manage IP] をク リ ッ クするか、 または [BRAM] シートの [Add Memory] をク リ ッ ク してアクセスできます。

このウ ィザードを使用する と、 [BRAM] シートに情報を簡単に入力できます。

7 シ リーズ デバイスのブロ ッ ク メモ リの機能、 および XPE に入力する設定値について理解するには、 『7 シ リーズ

FPGA コンフ ィギャラブル ロジッ ク ブロ ッ ク ユーザー ガイ ド』 (UG473) [参照 6] および 『UltraScale アーキテクチャ

コンフ ィギャラブル ロジッ ク ブロ ッ ク ユーザー ガイ ド』 (UG573) [参照 12] を参照してください。

XPE Memory Generator ウ ィザードを使用して 7 シ リーズ デバイスの [BRAM] シートに情報を入力するには、 次の手

順に従ってください。

1. 次のいずれかの手順を選択し、 Memory Generator ウ ィザードを開きます。

° [BRAM] シートの [Add Memory] をク リ ッ ク します。

または

° [IP_Manager] シートでは次を実行して ください。

a.[Manage IP] をク リ ッ ク します。

b.[IP Manager] ダイアログ ボッ クスで、 [Create IP] タブをク リ ッ ク します。

c.ダイアログ ボッ クスの [IP Catalog] で、 [Block Memory] を選択します。

d.このダイアログ ボッ クスで、 [Create] をク リ ッ ク します。

2. [XPE Memory Generator] ダイアログ ボッ クスが表示されるので、 [Block Memory] タブの [Memory Type] にデザイ

ン内の 1 つのブロ ッ ク メモ リについて情報を入力します。

Xilinx Power Estimator ユーザー ガイド 32UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 33: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

[Block Memory] タブには、 次の入力フ ィールドがあ り ます。

° [Memory Type]

デザインで使用する メモ リのタイプを選択します。

- Single Port RAM

- Simple Dual Port RAM

- True Dual Port RAM

- Single Port ROM

- Dual Port ROM

これらのメモ リ タイプの詳細は、 『7 シ リーズ FPGA メモ リ リ ソース ユーザー ガイ ド』 (UG473) [参照 6] を

参照してください。

X-Ref Target - Figure 1-12

図 1‐12: [XPE Memory Configuration] ダイアログ ボックスの [Block Memory] タブ (Virtex‐7 デバイス)

Xilinx Power Estimator ユーザー ガイド 33UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 34: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

° [Clock]

ブロ ッ ク RAM を動作させるクロ ッ クの周波数を入力します。

デュアル ポート メモリの場合、 XPE は [Port A] と [Port B] の両方のクロック周波数が同じであると想定します。

° [Algorithm]

ザイ リ ンクスのデザイン ツールがブロ ッ ク RAM プリ ミ ティブのコンフ ィギュレーシ ョ ンと接続に使用す

るアルゴ リズムを次の中から指定します。

- [Minimum Area]

使用するブロ ッ ク RAM プリ ミ ティブの数が最小になるよ うにメモ リ を生成します。

- [Low Power]

読み出しまたは書き込み動作中に有効化されるブロッ ク RAM プリ ミ ティブの数が最小になるよ うにメ

モ リ を生成します。

° [Toggle]

データ信号の平均ト グル レート を入力します。 50% のト グル レートは、 各クロ ッ ク サイクルで全データ信

号の半分がト グルするこ とを意味します。

° [Port A] と [Port B]

[Memory Type] でシングル ポート を選択した場合は、 [Port A] にのみ情報を入力します。 デュアル ポート を

選択した場合は、 [Port A] と [Port B] の両方についての情報を入力します。

- [Width]

ポートの各ワードのビッ ト幅を入力します。

- [Depth]

ポートの深さ (ワード数) を入力します。 [Width] [Depth] がメモ リの総ビッ ト数になり ます。

- [Enable]

ポートが有効化される時間の割合 (%) を入力します。

- [Mode]

ブロ ッ ク RAM の動作モードを、 [READ_FIRST]、 [WRITE_FIRST]、 [NO_CHANGE] の中から選択し

ます。

これらのモードの詳細は、 『7 シ リーズ FPGA メモ リ リ ソース ユーザー ガイ ド』 (UG473) [参照 6] を参

照してください。

° [Module name]

生成されたブロ ッ ク メモ リのコンフ ィギュレーシ ョ ンに名前を割り当てるこ とができます。 XPE ワーク

シートに複数のコンフ ィギュレーシ ョ ンが存在する場合、 個々のコンフ ィギュレーシ ョ ンを区別するのに

役立ちます。

3. このブロ ッ ク メモ リに関する値を入力し終えたら、 [Create] をク リ ッ ク します。

Xilinx Power Estimator ユーザー ガイド 34UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 35: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

ダイアログ ボッ クスで入力した情報が [BRAM] シート と [Logic] シートの行に追加されます。

4. デザインで使用するブロ ッ ク メモ リのタイプごとにダイアログ ボッ クスから情報を入力し、 [Create] をク リ ッ

ク します。

[Create] をク リ ッ クするごとに、 [BRAM] シート と [Logic] シートに行が追加されます。

5. デザイン内のすべてのブロ ッ ク メモ リについての設定が完了したら、 [Close] をク リ ッ ク して [XPE Memory

Generator] ダイアログ ボッ クスを閉じます。

Memory Interface Configuration ウィザードの使用

7 シ リーズおよび UltraScale デバイスの XPE スプレッ ドシートでは、 ザイ リ ンクス デバイス と外部メモ リ をイン

ターフェイスする際に使用する I/O の情報をこのウ ィザードを用いて入力できます。 このウ ィザードを使用する と、

メモ リ インターフェイス I/O に関する情報を XPE スプレッ ドシートへ簡単に入力できます。

ウ ィザードを使用してメモ リ インターフェイスのコンフ ィギュレーシ ョ ンを実行する場合は、 外部メモ リに適用さ

れるザイ リ ンクス デバイスからの各出力ライン ([Data]、 [Address]、 [Clock] など) が行と して [IP_Manager] シート と

[I/O] シートに追加されます。 また、 このウ ィザードは、 [Clock] シートやメモ リ インターフェイスの一部であるク

ロ ッ ク マネージャー (PLL や MMCM など) のシート と [Logic] シートに行を追加します。 物理層、 コン ト ローラー

層、 ユーザー インターフェイス層のインプリ メン トに使用される標準的な リ ソースを示す行が追加されます。

重要: Memory Interface Configuration ウ ィザードはメモ リ インターフェイスのすべての規格、 またはサポート される

規格のインターフェイス パラ メーターすべてに対応しているわけではあ り ません。 このウ ィザードは、 一般的なメ

モ リ インターフェイスの規格の多く をサポート しています。 特定の規格には、 ウ ィザードによるコンフ ィギュレー

シ ョ ンよ り も さ らに多くの関連するピンが存在する場合があ り ます。 これに対応するためにウ ィザードの出力を修

正したり、 [I/O] シートにピンを手動で追加してデータを入力しなければならないこ とがあ り ます。 また、 特定の

フ ィールドに目的の選択肢がない場合は、 手動で入力できます。

7 シ リーズのメモ リ インターフェイス、および XPE に入力する設定について理解するには、 『Zynq-7000 AP SoC およ

び 7 シ リーズ デバイス メモ リ インターフェイス ソ リ ューシ ョ ン v2.3 ユーザー ガイ ド』 (UG586) [参照 10] を参照して

ください。

Xilinx Power Estimator ユーザー ガイド 35UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 36: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

Memory Interface Configuration ウ ィザードを使用して 7 シ リーズまたは UltraScale デバイスの [I/O] シートにメモリ イ

ンターフェイスの I/O を追加するには、 次の手順に従ってください。

1. 次のいずれかの手順を選択し、 Memory Interface Configuration ウ ィザードを開きます。

° [I/O] シートの [Add Memory Interface] をク リ ッ ク します。

または

° [IP_Manager] シートでは次を実行して ください。

a.[Manage IP] をク リ ッ ク します。

b.[IP Manager] ダイアログ ボッ クスで、 [Create IP] タブをク リ ッ ク します。

c.ダイアログ ボッ クスの [IP Catalog] で、 [Memory Interface] を選択します。

d.このダイアログ ボッ クスで、 [Create] をク リ ッ ク します。

2. [XPE Memory Interface Configuration] ダイアログ ボッ クスが表示されるので、 デザインの 1 つのメモ リ インター

フェイスについて情報を入力します。

X-Ref Target - Figure 1-13

図 1‐13: [XPE Memory Interface Configuration] ダイアログ ボックス (Virtex‐7 デバイス)

Xilinx Power Estimator ユーザー ガイド 36UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 37: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

次の図は、 UltraScale デバイスのダイアログ ボッ クスを示しています。

[XPE Memory Interface Configuration] ダイアログ ボッ クスには、 次の入力フ ィールドがあ り ます。

° [Standard]

次の I/O 規格が Memory Interface Configuration ウ ィザードでサポート されています。

- DDR2

- DDR3

- DDR3L

- DDR4

- QDR2+

- RLDRAM2

- RLDRAM3

- LPDDR2

XPE スプレッ ドシートには、 その他任意の規格のメモ リ インターフェイス情報を手動で入力できます。

X-Ref Target - Figure 1-14

図 1‐14: [XPE Memory Interface Configuration] ダイアログ ボックス (UltraScale デバイス)

Xilinx Power Estimator ユーザー ガイド 37UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 38: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

サポート対象の I/O 規格および特定のデバイスにおける制約の一覧は、 該当する次のデータシート を参照し

てください。

- 『Virtex-7 T および XT FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS183) [参照 16]

- 『Kintex-7 FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS182) [参照 17]

- 『Artix-7 FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS181) [参照 18]

- 『Virtex UltraScale アーキテクチャ データシート : DC 特性および AC スイ ッチ特性』 (DS893) [参照 19]

- 『Kintex UltraScale アーキテクチャ データシート : DC 特性および AC スイ ッチ特性』 (DS892) [参照 20]

° [Bank Type]

選択するタイプが存在する場合は、 適切なバンク タイプを選択します。

° [Mem Config] (UltraScale デバイスのみ)

適切なメモ リ コンフ ィギュレーシ ョ ンを選択します。

° [Termination (DQ/S)/Input Termination (DQ/S)]

DQ (データ ) と DQS (データ ス ト ローブ) ピンを指します。HP バンクを使用する メモ リ インターフェイスで

は、 必要に応じて DCI 終端を使用します。 HR バンクについては、 INTERM_40、 INTERM_50、 INTERM_60

または外部終端 (入力なし ) を選択します。

° [Data Rate]

メモ リ デバイスの目標データ レート値を入力します。

° [Address Width]

インターフェイスで使用されるアドレス ラ インの合計数を示します。 この数には、 Row、 Col、 Bank、 そし

て使用されている場合は Rank および CS などのラインが含まれます。

° [Data Width]

メモ リ タイプとデバイスの許容範囲内で、 8 単位で増加させた 8 ~ 144 の値がサポート されます。 アドレ

ス、 データ、 および制御信号は、 上限値が 144 以下となるよ うに同じ I/O カラム内に配置する必要があ り ま

す。 スタ ッ ク ド シ リ コン インターコネク ト (SSI) テク ノ ロジ デバイスでは、 データ幅はこの上限値のため

に最大 72 に制限されます。

° [Read/Write (%)]

メモ リ インターフェイスが外部メモ リの読み出しおよび書き込みに使用される時間のパーセンテージを指

定します。 合計は 100% またはそれ以下に抑える必要があ り、 インターフェイスは、 100% - (Read% +

Write%) の確率でアイ ドル状態になる と想定されます。 この値は、 [Output Enable]、 [Term Disable] および

[IBUF Disable] のパーセンテージに反映されます。

° [Number of Interfaces]

このダイアログ ボッ クスに現在入力している設定を使用する メモ リ インターフェイスの数を入力します。

[I/O] シートに外部メモ リへの出力が入力されている場合、 [Interfaces] で指定した数が Address、 Data、 およ

び Clock などの各信号ラインのピン数に反映されます。

° [Add typical link layer logic] (Ultrascale デバイスのみ)

Xilinx Power Estimator ユーザー ガイド 38UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 39: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

このオプシ ョ ンをオンにする と、 特定メモ リ インターフェイスのリ ンク層ロジッ クのリ ソースが自動的に

入力されます。

° [Module name]

生成されたコンフ ィギュレーシ ョ ンに名前を割り当てるこ とができます。 [I/O] シートに複数のコンフ ィ

ギュレーシ ョ ンが存在する場合、 個々のコンフ ィギュレーシ ョ ンを区別するのに役立ちます。

3. このメモ リ インターフェイスに関する値を入力し終えたら、 [Create] をク リ ッ ク します。

ダイアログ ボッ クスで入力した情報が [I/O] シートの行に追加されます。

4. デザインで使用する メモ リ インターフェイスごとに [XPE Memory Interface Configuration] ダイアログ ボッ クスか

ら情報を入力し、 [Create] をク リ ッ ク します。

[Create] をク リ ッ クするごとに、 [I/O] シートに行が追加されます。 7 シ リーズ デバイスでは、 [Other] シートの

PHASER ブロ ッ クにも行が追加されます。

5. デザイン内のすべてのメモ リ インターフェイスについての設定が完了したら、 [Close] をク リ ッ ク して [XPE

Memory Interface Configuration] ダイアログ ボッ クスを閉じます。

Transceiver Configuration ウィザードの使用

7 シ リーズおよび UltraScale デバイスの XPE スプレッ ドシートでは、 Transceiver Configuration ウ ィザードを使用して

[GP] シート (GTP、 GTH、 GTX、 GTY、 または GTZ) にト ランシーバーの情報を入力できます。

このウ ィザードを使用して ト ランシーバー インターフェイスをコンフ ィギュレーシ ョ ンする と、 [IP_Manager] シー

ト と、 物理ト ランシーバー インターフェイスの一部になっている ト ランシーバーのシートに行が追加されます。 一

部のプロ ト コルについては、 このウ ィザードで、 [Logic] シートおよび [Clock] シートに、 データ インターフェイス

層のインプ リ メン トに使用される標準リ ソースを示す行が追加されます。

Xilinx Power Estimator ユーザー ガイド 39UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 40: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

重要: Transceiver Configuration ウ ィザードはすべての ト ランシーバー プロ ト コル、 またはサポート されるプロ ト コル

の ト ランシーバー パラ メーターすべてに対応しているわけではあ り ません。 ダイアログ ボッ クスのフ ィールドにな

い選択肢は、 手動で入力できます。 CPLL および QPLL の両方を使用する ト ランシーバーがクワ ッ ドに存在する場

合、 選択肢以外の別の送信レートおよび受信レートや電力モードを手動入力する必要があ り ます。 このウ ィザード

は、 一般的なプロ ト コルの多く をサポート していますが、 デザインによってはウ ィザードの出力を修正したり、 ト

ランシーバーのシートにデータを手動入力しなければならないこ とがあ り ます。

7 シ リーズ、 UltraScale、 および UltraScale+ デバイスの ト ランシーバーの機能、 および XPE に入力する設定について

は、 『7 シ リーズ FPGA GTX/GTH ト ランシーバー ユーザー ガイ ド』 (UG476) [参照 8]、 『7 シ リーズ FPGA GTP ト ラン

シーバー ユーザー ガイ ド』 (UG482) [参照 9]、『UltraScale アーキテクチャ GTH ト ランシーバー Advance 仕様ユーザー

ガイ ド』 (UG576) [参照 14]、 および 『UltraScale アーキテクチャ GTY ト ランシーバー ユーザー ガイ ド』 (UG578) [参

照 15] を参照して ください。

XPE Transceiver Configuration ウ ィザードを使用して 7 シ リーズ デバイスの ト ランシーバーのシートに情報を入力す

るには、 次の手順に従ってください。

1. 次のいずれかの手順を選択し、 Transceiver Configuration ウ ィザードを開きます。

° 該当する MGT シートの [Add GT Interface] をク リ ッ ク します。

または

° [IP_Manager] シートでは次を実行して ください。

a.[Manage IP] をク リ ッ ク します。

b.[IP Manager] ダイアログ ボッ クスで、 [Create IP] タブをク リ ッ ク します。

c.ダイアログ ボッ クスの [IP Catalog] で、 [Transceiver Interface] を選択します。

d.このダイアログ ボッ クスで、 [Create] をク リ ッ ク します。

2. [XPE Transceivers Configuration] ダイアログ ボッ クスが表示されるので、 デザインの ト ランシーバー セッ トにつ

いて情報を入力します。

Xilinx Power Estimator ユーザー ガイド 40UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 41: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

[XPE Transceivers Configuration] ダイアログ ボッ クスには、 次のフ ィールドがあ り ます。

° [Protocol]

一覧表示される利用可能なプロ ト コルの中から選択します。 デバイス、 パッケージ、 およびスピード グ

レードの制約によ り、 選択可能なプロ ト コルが限定されるこ とがあ り ます。 [Channels]、 [Data Mode] および

[Clock Source] の値は、デフォルトで [Protocol] で定義された値になり ます。 GTP コンフ ィギュレーシ ョ ンの

場合、 [Power Mode] または [Clock Source] の選択肢があ り ません。 また、 [Data Rate] および [Channels] の数

値が適宜 ([GTX]、 [GTP]、 [GTH]、 または [GTY] シートの) PCIe の情報に反映されます。 ク ロ ッ クまたは

ファブ リ ッ クは、 それぞれのシートに追加されません。

° [Data Rate]

[Protocol] の選択後、 [Data Rate] には [Protocol] で定義された値が固定値と して表示されるか、 またはシステ

ムで使用される特定の [Data Rate] を入力できます。 受信レート と送信レートが異なるなどの例外を除いて、

RX および TX のレートは一致します。

° [Channels]

PCIe などの一部のプロ ト コルには、 チャネルの数に特定の制限があ り ますが、 その他のプロ ト コルではシ

ステムで使用されるチャネルの数を入力できます。

° [Operation Mode]

デフォルトで [Transceiver] が使用されますが、 [Transmitter] または [Receiver] のみの動作も選択できます。

° [Data Path] および [Data Mode]

ポート幅は、 2、 4、 または 8 バイ ト幅から選択できます。 [8b/10b] エンコーディングを使用する場合、 ポー

ト幅は、 16、 32 または 64 ビッ トに設定できます。 [64b/66b] エンコーディングの場合は、 ポート幅は 64

X-Ref Target - Figure 1-15

図 1‐15: [XPE Transceiver Configuration] ダイアログ ボックス (Virtex‐7 デバイス)

Xilinx Power Estimator ユーザー ガイド 41UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 42: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 1 章: 概要

ビッ トに設定する必要があ り ます。 [Raw] モードでは、 ポート幅を 16、 20、 32、 40、 64、 または 80 ビッ ト

にできます。

° [Power Mode]

(ターゲッ ト ト ランシーバーによって定義されているよ うに) 選択肢がある場合、 [Low Power] モード (LPM)

と呼ばれる電力効率の高い、 適応型線形イコライザーまたは [DFE] モード と呼ばれる高性能な適応型 DFE (

判定帰還等化) を選択できます。

これらのモードについては、 『7 シ リーズ FPGA GTX/GTH ト ランシーバー ユーザー ガイ ド』 (UG476) [参

照 8] の 「RX イコライザー (DFE および LPM)」 のセクシ ョ ンを参照して ください。

° [Clock Source]

(ターゲッ ト デバイスおよびデータ レートによって定義されているよ うに) 選択肢がある場合、 LC タンク

([QPLL]) またはリ ング オシレーター ベースの PLL ([CPLL]) を選択できます。

° [User Interface]

[User Interface] フ ィールドは Aurora プロ ト コル ([Aurora] および [Aurora 64b/66b]) についてのみ表示され、

ユーザー インターフェイスのコンフ ィギュレーシ ョ ンを指定します。 選択した [User Interface] に基づいて

リ ソースが追加されます。 Aurora ユーザー インターフェイスの詳細は、 『LogiCORE™ IP Aurora 8B/10B 製

品ガイ ド』 (PG046) [参照 21] (Aurora プロ ト コルの場合) または 「LogiCORE IP Aurora 64B/66B 製品ガイ ド』

(PG074) [参照 22] (Aurora 64b/66b プロ ト コルの場合) を参照してください。

° [Module name]

生成されたコンフ ィギュレーシ ョ ンに名前を割り当てるこ とができます。 XPE ワークシートに複数のコン

フ ィギュレーシ ョ ンが存在する場合、 個々のコンフ ィギュレーシ ョ ンを区別するのに役立ちます。

3. この ト ランシーバー セッ トに関する値を入力し終えたら、 [Create] をク リ ッ ク します。

ダイアログ ボッ クスで入力した情報がト ランシーバーのシートの行に追加されます。

4. デザインで使用する ト ランシーバーのセッ ト ごとにダイアログ ボッ クスから情報を入力し、 [Create] をク リ ッ ク

します。

[Create] をク リ ッ クするごとに、 ト ランシーバーのシートに行が追加されます。

5. デザイン内のすべての ト ランシーバーについての設定が完了したら、 [Close] をク リ ッ ク して [XPE Transceivers

Configuration] ダイアログ ボッ クスを閉じます。

まとめ

デザイン内の消費電力概算は、 効果的なデバイス選択、 ボード設計、 およびシステムの信頼性を確認する上で不可

欠です。 最新の電力モデルと使いやすい機能を備えた Xilinx Power Estimator ツールは、 シンプルな設計でデザイン

の使用率入力をガイ ド して くれます。 設計の初期段階で FPGA および AP SoC の使用率データを収集するこ とは困難

に思われますが、 XPE の機能を活用するこ とで、 正確な概算値を容易に求めるこ とができます。 XPE は、 最も効率

的なデバイス選択を容易にし、 また、 ザイ リ ンクス デバイスのロジッ ク と PCB の並行開発をサポート します。 ま

た、 電圧バジェッ トや熱バジェッ トが制限値を超過した際は、 インプリ メンテーシ ョ ンやリ ソース コンフ ィギュ

レーシ ョ ンの別の可能性を探求できます。

Xilinx Power Estimator ユーザー ガイド 42UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 44: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 2章

クロックの指定と管理

クロックの指定

ダイナミ ッ ク消費電力の重要な要素は、 デザイン内の各ネッ トのスイ ッチング時の動作と負荷容量です。 負荷容量

を決定する要素には、 ファンアウ ト、 ワイヤレングスなどがあ り ます。 高周波数で高ファンアウ トのクロ ッ クの場

合、 そのクロ ッ ク ネッ トの電力は非常に高くなるため、 別のワークシートに詳細がレポート されます (図 2-1 参照)。

[Clock] シートに入力する情報については、 『7 シ リーズ FPGA ク ロ ッキング リ ソース ユーザー ガイ ド』 (UG472) [参

照 24] または『UltraScale アーキテクチャ ク ロ ッキング リ ソース ユーザー ガイ ド』 (UG572) [参照 25] を参照してくだ

さい。

• バッファーの [Type] 列

ザイ リ ンクス デバイスには、 ク ロ ッ ク配線構造を駆動するバッファー タイプがいくつかあ り ます。

• ク ロ ッ クの [Fanout] 列

このクロ ッ クで駆動される同期エレ メン トの数を示します。

UltraScale+ デバイスの [Fanout/Site] 列は、 CLB、 ブロ ッ ク RAM、 または DSP ブロ ッ クなどの物理サイ トへの平

均クロ ッ ク接続数を示します。

X-Ref Target - Figure 2-1

図 2‐1: [Clock] シート  (7 シリーズ デバイス)

Xilinx Power Estimator ユーザー ガイド 44UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 45: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 2 章: クロックの指定と管理

初期段階で消費電力を見積もる場合は、 この値をデフォルトのまま使用するこ とを推奨しています。 インポー

ト した .xpe ファ イルの場合、 この値は Vivado で提供されます。 配置配線結果に基づいて提供されるため、 ク

ロ ッ クの消費電力をよ り正確に見積もるこ とができます。 値の範囲は、 1 (最も効率が低く、 消費電力が高い) ~

16 (最も効率が高く、 消費電力が低い) です。

• [Clock Buffer Enable] 列

ソース側でクロ ッ ク ネッ ト をゲート管理します。 この値は、 クロ ッ ク バッファーがアクティブになる時間を

パーセンテージで表します。 デザインのこの部分が使用されていないと きに、 ソース側でクロ ッ ク ネッ ト を無

効にする場合は、 このパーセンテージを下げます。 これによって消費電力が削減されます。

• [Slice Clock Enable] 列

ロード側でクロ ッ ク ネッ ト をゲート管理します。 スライス レベル ク ロ ッ ク イネーブル信号でクロ ッ ク ロード

の一部を無効にする場合は、 このパーセンテージを下げます。 これによって消費電力が削減されます。

注記:高度なクロ ッ ク ゲーティングなどの一部のソフ ト ウェア アルゴ リズムでは、 この数が最小になるよ うに

パッキングの再割り当てまたは変更が行われます。

クロック  マネージメン ト  リソース シート  (DCM、PMCD、 PLL、 MMCM、 クロック  マネージャー ) の使用

ザイ リ ンクス デバイス ファ ミ リは、 さまざまなクロ ッ ク生成機能およびクロ ッ ク管理機能を備えています。 これら

のシートに情報を入力する前に、 『7 シ リーズ FPGA ク ロ ッキング リ ソース ユーザー ガイ ド』 (UG472) [参照 24] また

は 『UltraScale アーキテクチャ ク ロ ッキング リ ソース ユーザー ガイ ド』 (UG572) [参照 25] を参照して、 XPE でこれ

らのリ ソースのパラ メーター設定方法を理解しておく必要があ り ます。 プロジェク トの開発サイ クルの段階によっ

ては、 デザインのクロ ッキングが詳し くわからない場合があ り ます。 その場合は、 わかっている情報を入力し、 わ

からない部分は予想値を入力します。 詳細がわかり次第、 スプレッ ドシート を開いて随時入力するこ とが可能です。

クロ ッ ク マネージメン ト リ ソース シートは、 XPE スプレッ ドシートでサポート している FPGA および AP SoC アー

キテクチャに応じて、 さまざまな方法で表示されます。

• UltraScale および 7 シ リーズ/Zynq 7000 AP SoC の XPE スプレッ ドシートでは、 2 つのクロ ッ ク マネージャー

(MMCM および PLL) の情報を 1 つのシート ([Clock] シート ) に入力します。 [Clock] シートの [MMCM] または

[PLL] 列で、 入力する情報が MMCM の情報か PLL の情報かを指定できます。

• それ以前のデバイスのスプレッ ドシート (たとえば、Virtex-5/Virtex-6 のスプレッ ドシートや Spartan-3A/Spartan-6

のスプレッ ドシート ) では、 使用するクロ ッ ク マネージャーごとに異なるシートがあ り ます。 たとえば、 [DCM]

シート と [PLL] シートが別々に表示されるこ とがあ り ます。

図 2-3 に、 クロ ッ ク マネージメン ト リ ソース シートの例を示します ([Clock] シート )。

X-Ref Target - Figure 2-2

図 2‐2: UltraScale+ デバイスの [Fanout/Site] 列

Xilinx Power Estimator ユーザー ガイド 45UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 46: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 2 章: クロックの指定と管理

X-Ref Target - Figure 2-3

図 2‐3: [Clock] シート  (7 シリーズ デバイス)

X14484

Xilinx Power Estimator ユーザー ガイド 46UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 47: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3章

Xilinx Power Estimator の各シートの使用

概要

このセクシ ョ ンでは、 各リ ソース シートへのデータ入力方法および結果の解釈方法を説明します。 XPE では、 指定

したザイ リ ンクス デバイス ファ ミ リおよびデバイスで利用可能なシートのみを表示します。 これらのシートは、

ユーザーが入力する中央の表 ( リ ソース使用率、 コンフ ィギュレーシ ョ ン、 使用デバイス リ ソースのアクティビティ

を含む) で構成されます。 表の上には、 リ ソースの総使用率と、 そのリ ソースが総消費電力にどの程度影響を与えて

いるかを電源ごとに示す表があ り ます。

これらのシートは、 使用率に基づいた消費電力を示します。 つま り、 特定リ ソースの使用率と コンフ ィギュレー

シ ョ ンに関連するすべての電力が表示されます。 リーク電流は [Summary] シートに表示されるため、 これらのシー

トには含まれません。

推奨: リ ソースのクロ ッ ク周波数を指定するシートでは、 シート内の 1 行に入力された リ ソース (たとえば、 [Logic]

シートの 1 行に入力されたシフ ト レジスタ 4000 個と FF 3000 個など) はすべて同じクロ ッ ク ド メ イン内にあるもの

と します。 正確な消費電力を見積もるには、 異なるク ロ ッ ク ド メ イン内のリ ソースは、 必ずスプレッ ドシート内の

異なる行に入力します。

[Logic] シートの使用

[Logic] シート (図 3-1 参照) は、 CLB リ ソースで消費される電力の概算に使用されます。 この概算消費電力には、 ロ

ジッ ク コンポーネン ト と配線の両方が含まれます。 次の 2 つの情報を入力する必要があ り ます。

• [Utilization] – ロジッ ク と してコンフ ィギュレーシ ョ ンされる LUT、 シフ ト レジスタ、 LUT ベースの RAM およ

び ROM の数を入力します。 ISE Design Suite または Vivado Design Suite を使用してデザインをインプリ メン ト し

た場合、 または以前のデザインを使用する場合は、 [Summary] シートの [Import File] を使用して、 情報を自動で

インポートできます。 それ以外の場合は、 必要な機能をインプリ メン トする際に使用する リ ソース数を予想し

て入力してください。

• [アクティビティ ] 設定 – このロジッ クが属するクロ ッ ク ド メ インを入力します。 次に、 ロジッ クのスイ ッチン

グ動作を示す [Toggle Rate] と [Average Fanout] を入力します。

ヒン ト : Toggle Rate (12.5%) と Average Fanout (3.00) のデフォルト設定は、 複数のカスタマー デザインから導き出され

た平均値に基づいています。 適切な予測値がわからない場合、 ザイ リ ンクスではデフォルト設定を使用するよ う推

奨しています。

Xilinx Power Estimator ユーザー ガイド 47UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 48: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

注記: [Signal Rate] 列は、 特定エレ メン トにおいて 1 秒間に遷移する数 (百万単位) を示します。 この列は読み出

し専用です。

[Signal Rate] は、 次の数式で計算されます。

信号レート (Mtr/s) = ク ロ ッ ク周波数 (Mhz) * ト グル レート (%)

分散メモ リに関連する情報を [Logic] シートに入力する際は、 XPE Memory Generator ウ ィザードを活用できます。 こ

のウ ィザードは、 [Logic] シートの [Add Memory] ボタンをク リ ッ クする と表示されます。 XPE Memory Generator ウ ィ

ザードを使用するこ とで、 [Logic] シートにメモリ関連の行を簡単に追加できます。 このウ ィザードの使用方法につ

いては、 「Memory Generator ウ ィザード と [Logic] シート (分散メモ リ )」 を参照してください。

Memory Generator ウィザード と  [Logic] シート  (分散メモリ )

7 シ リーズ/Zynq-7000 AP SoC の XPE スプレッ ドシートでは、Memory Generator ウ ィザードを使用して [Logic] シート

に分散メモ リの情報を入力できます。 このウ ィザードを使用する と、 [Logic] シートの [Distributed RAMs] に表示され

るよ うに、 [Logic] シートの行にメモ リ関連の値を簡単に入力できます。

Memory Generator ウ ィザードは、 [Logic] シートの [Add Memory] をク リ ッ ク して起動できます。

このウ ィザードの詳細および [Logic] シートからの起動方法については、 「Memory Generator ウ ィザード (分散メモ リ

用) の使用」 を参照してください。

7 シ リーズ/Zynq-7000 AP SoC デバイスの分散メモ リの機能、 および XPE に入力する設定値について理解するには、

『7 シ リーズ FPGA コンフ ィギャラブル ロジッ ク ブロ ッ ク ユーザー ガイ ド』 (UG474) [参照 5] および 『UltraScale アー

キテクチャ コンフ ィギャラブル ロジッ ク ブロ ッ ク ユーザー ガイ ド』 (UG574) [参照 37] を参照して ください。

X-Ref Target - Figure 3-1

図 3‐1: LUT 構成、 トグル レート、 および平均ファンアウトによる消費電力概算への影響 (7 シリーズ デバイス)

Xilinx Power Estimator ユーザー ガイド 48UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 49: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

UltraScale/UltraScale+ デバイスの [Routing Complexity]

[Routing Complexity] は、 ロジッ ク セルあたりの平均配線リ ソース数 (ファンアウ ト、 配線容量、 ワイヤー長など) を

示します。 標準の複雑レベルは 8 とな り ます。 複雑レベルが高い場合は 10、 非常に高い場合は 12 とな り ます。 配線

が密集する場合は、 よ り高い値を使用してください。

[IP_Manager] シート  (7 シリーズ、 Zynq‐7000 AP SoC、UltraScale デバイス) の使用

[IP_Manager] シートでは、 IP Module ウ ィザードを使用して生成した IP モジュールの作成、 表示、 および削除が可能

です。 また、 (ほかの XPE スプレッ ドシートにインポート される ) IP モジュールをエクスポートするこ とや、 ほかの

XPE スプレッ ドシートから現在アクティブなスプレッ ドシートにモジュールをインポートするこ と も可能です。

[IP_Manager] シートに表示される各 IP モジュールは、 ザイ リ ンクス デバイスに次のいずれかをインプリ メン トする

ために使用したデバイス リ ソースです。

• ブロ ッ ク メモ リ - 「Memory Generator ウ ィザード (ブロ ッ ク メモ リ用) の使用」 を使用して作成

• 分散メモ リ - 「Memory Generator ウ ィザード (分散メモ リ用) の使用」 を使用して作成

• メモ リ インターフェイス - 「Memory Interface Configuration ウ ィザードの使用」 を使用して作成

• ト ランシーバー インターフェイス - 「Transceiver Configuration ウ ィザードの使用」 を使用して作成

X-Ref Target - Figure 3-2

図 3‐2: UltraScale/UltraScale+ デバイスの [Logic] シートの [Routing Complexity]

Xilinx Power Estimator ユーザー ガイド 49UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 50: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

[IP Modules] の表は、 作成した各 IP モジュールの消費電力、 および IP モジュールが入力されている リ ソース シート

に関連する消費電力を示します。図 3-3 の [IP Modules] の表に示すよ うに、 IP モジュールは複数のリ ソース シートに

入力されているこ とがあ り ます。 たとえば、 ブロ ッ ク メモ リ IP モジュールは、 [BRAM] シート ([BRAM] 列) および

[Clock] シート ([Clocking] 列) の両方に存在する場合があ り ます。

状況によっては、 複数のリ ソースが [IP Modules] の表の一列に含まれます。 たとえば、 [Clocking] 列にはクロ ッ ク

ネッ トのほかに、 PLL や MMCM などのクロ ッ ク マネージャーに関する消費電力も含まれるこ とがあ り ます。 また、

[Transceiver] 列には、 マルチギガビッ ト ト ランシーバー (MGT) および PCIe ブロ ッ クに関連する消費電力が表示され

る場合があ り ます。

X-Ref Target - Figure 3-3

図 3‐3: [IP_Manager] シート  (7 シリーズ デバイス)

Xilinx Power Estimator ユーザー ガイド 50UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 51: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

[IP_Manager] シートから  IP モジュールを作成

[IP_Manager] シートから IP モジュールを作成する方法の詳細は、 次を参照してください。

• ブロ ッ ク メモ リ - 「Memory Generator ウ ィザード (ブロ ッ ク メモ リ用) の使用」

• 分散メモ リ - 「Memory Generator ウ ィザード (分散メモ リ用) の使用」

• メモ リ インターフェイス - 「Memory Interface Configuration ウ ィザードの使用」

• ト ランシーバー インターフェイス (7 シ リーズのみ) - 「Transceiver Configuration ウ ィザードの使用」

[IP_Manager] シートから  IP モジュールを削除

[IP_Manager] シートから IP モジュールを削除するには、 次の手順に従ってください。

1. [IP_Manager] シートの上部にある [Manage IP] をク リ ッ ク します。

[XPE IP Manager] ダイアログ ボッ クスが開きます。

X-Ref Target - Figure 3-4

図 3‐4: [XPE IP Manager] ダイアログ ボックス

Xilinx Power Estimator ユーザー ガイド 51UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 52: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

[XPE IP Manager] ダイアログ ボッ クスの [Manage IP] タブで、 削除したい IP モジュールを選択して [Delete] をク

リ ッ ク します。

該当する リ ソース シートにあるすべての行が削除され、 [IP_Manager] シートの [IP Modules] の表から IP モ

ジュールが削除されます。

[IP_Manager] シートから  IP モジュールをエクスポート

ほかの XPE スプレッ ドシートにインポートするために、 現在アクティブな XPE スプレッ ドシートから IP モジュー

ルをエクスポートできます。 IP モジュールは .xpe (XPE Exchange) ファ イルと してエクスポート されます。

[IP_Manager] シートから IP モジュールをエクスポートするには、 次の手順に従ってください。

1. [IP_Manager] シートの上部にある [Manage IP] をク リ ッ ク します。

[XPE IP Manager] ダイアログ ボッ クスが開きます (図 3-4 参照)。

2. [XPE IP Manager] ダイアログ ボッ クスの [Manage IP] タブで、 エクスポート したい IP モジュールを選択して

[Export] をク リ ッ ク します。

3. [Export Xilinx Power Estimator IP Module] ダイアログ ボッ クスで、 選択した IP モジュールを表す .xpe ファ イルの

名前を [File name] に指定します。 [Save] をク リ ッ ク します。

選択した IP モジュールが .xpe (XPE Exchange) ファ イルにエクスポート されます。 このファイルはほかの XPE ス

プレッ ドシートにインポートできます。

[IP_Manager] シートへの IP モジュールのインポート

[IP_Manager] シートに IP モジュールをインポートできます。 IP モジュールは .xpe (XPE Exchange) ファ イルと してイ

ンポート されます。 インポート された .xpe ファ イルは、 ほかの XPE スプレッ ドシートからエクスポート された IP モ

ジュールを表します。

[IP_Manager] シートに IP モジュールをインポートするには、 次の手順に従ってください。

1. [IP_Manager] シートの上部にある [Manage IP] をク リ ッ ク します。

[XPE IP Manager] ダイアログ ボッ クスが開きます (図 3-4 参照)。

2. [XPE IP Manager] ダイアログ ボッ クスの [Manage IP] タブで、 [Import] をク リ ッ ク します。

3. [Import Xilinx Power Estimator IP Module] ダイアログ ボッ クスで、 インポートする .xpe ファ イルの名前を [File

name] に指定します。 [Save] をク リ ッ ク します。

選択した .xpe ファ イルが [IP_Manager] シートにインポート されます。

[I/O] シートの使用

高速スイ ッチング動作で容量負荷が高い場合は、 スイ ッチング I/O の電力が、 ザイ リ ンクス デバイス全体の消費電

力に対して大きな比率を占める可能性があ り ます。 したがって、 すべての I/O 関連のパラ メーターは、 できるだけ正

確に定義する必要があ り ます。 [I/O] シートに基づいて、 XPE がオンチップ電力を算出し、 最終的に I/O インター

フェイスのオフチップ電力を算出します。

Xilinx Power Estimator ユーザー ガイド 52UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 53: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

XPE では、 Memory Interface Configuration ウ ィザードが提供されているため、 デバイスの外部メモ リへのインター

フェイスで使用する I/O の正確な消費電力概算に必要となる重要なパラ メーターを即座に入力できます。 ウ ィザード

を使用して [I/O] シートにメモ リ インターフェイスの情報を入力する具体的な方法は、 「Memory Interface

Configuration ウ ィザード と [I/O] シート 」 を参照してください。

図 3-5 に、 UltraScale スプレッ ドシートの [I/O] シート上部を示します。

図 3-5 および図 3-6 に、 [I/O] シートへの情報入力に必要となる主な 3 つのカテゴ リ を示します([I/O Settings]、

[Activity]、 オプシ ョ ンの [External Termination])。

X-Ref Target - Figure 3-5

図 3‐5: [I/O] シート  ‐ 上部 (UltraScale デバイス)

X-Ref Target - Figure 3-6

図 3‐6: [I/O] シート  ‐ 上部 (7 シリーズ デバイス)

Xilinx Power Estimator ユーザー ガイド 53UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 54: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

次の各項で、 これらの列の入力方法について説明します。

• [I/O Settings]

° [I/O Standard] (I/O 規格)

このインターフェイスで使用する I/O 規格を指定します。 オンチップ終端を使用するコンフ ィギュレーシ ョ

ンでは、 DCI が末尾に付いた規格がこのド ロ ップダウン メニュー内にあ り ます。 また、 差動 I/O 規格には

(pair) が付いています。 XPE で計算される場合、 データシートに記載されている各 I/O 規格の公称値に最も

近い標準 VCCO レベル (例: 3.3V) が想定されます。

注記: Spartan-6 デバイスの場合、 オープン ドレイン規格の I2C と SMBUS では、 2.7 ~ 3.45V の VCCO (公称

3.0V) を使用できます。 XPE は、 これらの規格に対して VCCO を 3.3V と して計算します。

X-Ref Target - Figure 3-7

図 3‐7: [I/O] シート  ‐ 出力イネーブル レートによる入力/出力、 双方向 I/O 消費電力への影響 (7 シリーズ デバイス)

X14482

Xilinx Power Estimator ユーザー ガイド 54UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 55: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

推奨: 出力信号の消費電力を最小限に抑える場合は、 パフォーマンス目標を満たす最低レベルのド ライバー設定を使

用してください (駆動能力とスルー レート を下げる )。

ヒン ト : 7 シ リーズ デバイスでは、 オンチップ終端された規格を使用する と、 レシーバー波形でのシグナル インテグ

リティが改善されます。 ザイ リ ンクス デバイス内には終端が組み込まれているため、 終端電力がデバイスのジャン

クシ ョ ン温度を上げる原因となり ます。 この消費電力を最小限に抑えるには、 できる限り ト ラ イステート可能なオ

ンチップ終端規格 (T DCI が付く規格) を使用してください。

° I/O 方向の列

各 I/O インターフェイスの [Input Pins]、 [Output Pins]、 および [Bidir Pins] (双方向) に信号数を入力します。

推奨: 入力と出力のト グル動作が異なる場合があるため、 各方向の数値を別の行に入力するこ とを推奨します。

ヒン ト : 差動 I/O ペアの場合は、 1 つのピンに入力します。 たとえば、 4 つの差動 DQS ペアがある メモ リの場合は、

[Input Pins] 列に 「4」 と入力してください。

° I/O パフォーマンスの設定

[I/O LOGIC SERDES] や [BITSLICE] などのパフォーマンス設定は、 デバイス ファ ミ リによって異なり ます。

これらの I/O に対して、 適切なコンフ ィギュレーシ ョ ンを入力します。

重要: パフォーマンス設定を使用する と、 通常は消費電力が増加します。 これらの設定は、 I/O インターフェイスに

ど う しても必要な場合にのみ使用するよ うにしてください。

° オンチップ終端

[Input Term] では、 選択した I/O 規格に対応する入力終端を選択します。 オンチップ差動終端を使用する場

合は、 [DIFF_TERM] を選択します。 HP バンク内でオプシ ョ ンのオンチップ終端を使用する場合は、

[UNTUNED_SPLIT_40]、 [UNTUNED_SPLIT_50]、 または [UNTUNED_SPLIT_60] () のインピーダンスを選

択します。

UltraScale デバイスの XPE スプレッ ドシートでは、入力終端と出力終端の両方を指定できます。 [Input Term]

では、 オンチップ差動終端を使用する場合は、 [DIFF_TERM] を選択します。 オンチップ入力終端を使用す

る場合は、 [DCI] またはキャ リブレーシ ョ ンされていない終端インピーダンスを選択します。 [Output Term]

では、 HP バンク内でオンチップ出力終端を使用する場合は、 [RDRV_40_40]、 [RDRV_48_48]、 または

[RDRV_60_60] を選択します。 ト ランス ミ ッ ターのプリエンファシス機能を使用する場合は、 [Pre-Emphasis]

を [Yes] に設定します。

• [Activity]

次の列に、 各 I/O インターフェイスに期待する動作を入力します。

° [Clock (MHz)]

同期信号: これらの信号をキャプチャまたは生成するクロ ッ クの周波数を入力します。

Xilinx Power Estimator ユーザー ガイド 55UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 56: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

非同期信号: 信号の同等周波数を計算します。 たとえば、 信号が毎秒 200 万回ト グル (ステートが変更) する

場合は、 この列に 1 と入力します (信号レート を周波数に変換する場合は、 1 周期を構成するために 2 回遷

移させる必要があ り ます。 つま り、 0 から 1 への遷移と 1 から 0 への遷移)。

° [Toggle Rate]

同期エレ メン ト : この信号がステート遷移するクロ ッ クの ト グル レート を入力します。 たとえば、平均して

8 ク ロ ッ ク サイクルに 1 回データを変更する場合は、 12.5% (1/8 をパーセンテージに変換) と入力します。

非同期エレ メン ト : 上記の [Clock (MHz)] で説明したとおり、 [Clock (MHz)] に相当する周波数を入力し、 こ

の列は 100% と して入力します。

° [Data Rate]

同期エレ メン ト : ク ロ ッ クの立ち上がりおよび立ち下がりの両方のエッジで信号がサンプルされる場合に

は、 「DDR」 と入力します。 ク ロ ッ クの片方のエッジでのみ信号がサンプルされる場合には、 「SDR」 と入力

します。

注記: DDR I/O では、 [Data Rate] を [DDR] に設定し、 [Toggle Rate] を 100% またはそれ以下の値に設定しま

す。 XPE は、 DDR データ レートではト グル レート を 2 倍にし、 その値を消費電力の概算に使用します。

非同期エレ メン トおよびクロ ッ ク : [Async] または [Clock] と入力します。

° [Output Enable]

入力専用信号: この列の値は結果に影響を与えません。

出力および双方向信号: 出力バッファーが値を駆動する時間をパーセンテージ (バッファー駆動が無効また

はト ライステートの時間に対する比率) で示します。

ヒン ト : 図 3-7 (赤枠) の 1 行目と 2 行目に示すよ うに、 [Output Enable] 設定は 100% となっています。 これは、双方

向信号の使用時によ く起こ る間違いであ り、 デフォル ト のままで算出する と消費電力概算の精度が低下してしま

います。

Xilinx Power Estimator ユーザー ガイド 56UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 57: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

° [Term Disable]

ファブ リ ッ ク内でオンチップ終端を使用していない場合は、 [DCI] または [IOB33 OCT] を無効

([DCITERMDISABLE]) に設定します。 DCI または ICT 終端が無効にされる時間のパーセンテージを入力し

ます。

° [IBUF Disable]

ファブ リ ッ ク内で IBUF を使用していない場合は、 [HSTL/SSTL IBUF] を低消費電力アイ ドル

(IBUFDISABLE) に設定します。 IBUF が無効にされる時間のパーセンテージを入力します。

• [Output Load]

ボード と (モジュール内の出力によって駆動される ) ほかの外部容量の消費電力係数を入力します。

• [External Termination]

オンチップ終端を使用していない場合は、 XPE を使用して、 ザイ リ ンクス デバイスが外部ボード終端抵抗ネッ

ト ワークなどのオフチップ コンポーネン トへ供給する電力を計算できます。

複数の終端タイプは、 出力と して設定されている I/O に対してサポート されています。 多くの場合、 消費電力概

算にはド ライバー側の詳細が必要となり ますが、 XPE ではこれらを入力できないため、 外部入力終端には対応

していません。

注記: [Show External Board Termination Settings] をオンにして、 これらの列と、 表の下に図を表示します。 この図

にはサポート される出力終端トポロジが表示されるため、 構築する トポロジに応じて、 どの列に入力するかを

簡単に理解できます。

° 終端の [Type]

ド ロ ップダウン メニューから適切な終端トポロジを選択します。

° [R/RDIFF] および [RS]

一部の終端手法では 2 つの抵抗値が必要ですが、 通常は 1 つのみです。 終端図を参照して、 適切な列に抵

抗値を入力します。 図 3-8現バージ ョ ンでサポート されている I/O 終端トポロジを示します。

Xilinx Power Estimator ユーザー ガイド 57UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 58: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

Memory Interface Configuration ウィザード と  [I/O] シート

XPE スプレッ ドシートでは、 ザイ リ ンクス デバイス と外部メモ リ をインターフェイスする際に使用する I/O の情報

をこのウ ィザードを用いて入力できます。 このウ ィザードを使用する と、 メモ リ インターフェイス I/O に関する情

報を XPE スプレッ ドシートへ簡単に入力できます。

ウ ィザードを使用してメモ リ インターフェイスのコンフ ィギュレーシ ョ ンを実行する場合は、 外部メモ リに適用さ

れるザイ リ ンクス デバイスからの各出力ライン ([Data]、 [Address]、 [Clock] など) が行と して [I/O] シートに追加され

ます。

Memory Interface Configuration ウ ィザードは、 [I/O] シートの [Add Memory Interface] をク リ ッ ク して起動できます。

Memory Interface Configuration ウ ィザードの詳細およびこのウ ィザードの [I/O] シートからの起動方法は、 「Memory

Interface Configuration ウ ィザードの使用」 を参照して ください。

X-Ref Target - Figure 3-8

図 3‐8:外部 I/O 終端トポロジ (Virtex‐6 および 7 シリーズ デバイス)

Xilinx Power Estimator ユーザー ガイド 58UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 59: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

7 シ リーズのメモ リ インターフェイス、および XPE に入力する設定について理解するには、 『Zynq-7000 AP SoC およ

び 7 シ リーズ デバイス メモ リ インターフェイス ソ リ ューシ ョ ン v2.3 ユーザー ガイ ド』 (UG586) [参照 10] を参照して

ください。

[BRAM] シートの使用 

ザイ リ ンクス デバイスには専用のブロ ッ ク RAM リ ソースがあ り ます。XPE で正確なブロ ッ ク RAM パラ メーターを

設定するには、 デバイス リ ソースやコンフ ィギュレーシ ョ ンについて十分に理解している必要があ り ます。 ブロ ッ

ク RAM のインプリ メンテーシ ョ ンの詳細がわかっている場合は、 「BRAM モードの設定による精度の向上」 のガイ

ド ラインに従ってください。 詳細がわからない場合は、 「暫定的な BRAM 予想」 を参照してください。

注記:分散 RAM/ROM および SRL の使用設定は、 「[I/O] シートの使用」 で指定する必要があ り ます。

[BRAM] シートには、 XPE Memory Generator ウ ィザードを用いて情報を入力できます。 このウ ィザードは、 [BRAM]

シートの [Add Memory] をク リ ッ クする と表示されます。 このウ ィザードを使用する と、 [BRAM] シートに簡単に行

を追加できます。 このウ ィザードの使用方法については、 「Memory Generator ウ ィザード と [BRAM] シート (ブロ ッ

ク メモ リ )」 を参照してください。

[BRAM] シートの列の詳細を次に示します。

• [Enable Rate] 列

[Enable Rate] を使用して、 各ブロ ッ ク RAM のポートが読み出しまたは書き込み動作に対して有効になる時間の

比率を指定します。 消費電力を抑えるために、 デザインでブロッ ク RAM が使用されていないクロ ッ ク サイク

ルでは、 RAM イネーブル信号を Low にできます。 BRAM の [Enable Rate] と [Clock] レートは、 電力を最適化す

る際に最も重要なパラ メーターです。

• [Write Rate] 列

[Write Rate] は、 各ブロ ッ ク RAM のポートが書き込み動作を実行する時間の比率を示します。 読み出しレート

(Read Rate) は、 100% から書き込みレート を引いた比率となり ます。

• [Signal Rate] 列

特定 BRAM 出力ポートにおける 1 秒間に遷移する数 (百万単位) を示します。 これは読み出し専用であ り、 ポー

ト イネーブル レートやポート幅の平均値が考慮されています。

図 3-9 に、 ブロ ッ ク RAM コンフ ィギュレーシ ョ ン モードおよびビッ ト幅が消費電力概算に与える影響を示します。

Xilinx Power Estimator ユーザー ガイド 59UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 60: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

暫定的な BRAM 予想

デザインで使用するブロ ッ ク RAM の種類やモードが正確にわからない場合に推奨する方法は、 まず、 何キロバイ ト

のメモ リが必要であるかを判断し、 基本となる 18K の完全なデュアル ポート RAM の適切な数を使用します。 メモ

リ アクセスのデータ幅がわかっている場合は、 各ポートのド ロ ップダウン メニューから選択します。 メモ リのワー

ド数 (Depth) と幅 (Width) は、 最も重要な特性です。

X-Ref Target - Figure 3-9

図 3‐9: [BRAM] シート  ‐ ブロック  RAM コンフ ィギュレーシ ョ ン  モードおよびビッ ト幅による消費電力への影響 (7 シリーズ デバイス)

X14483

Xilinx Power Estimator ユーザー ガイド 60UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 61: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

BRAM モードの設定による精度の向上

デザインのメモ リ使用率の詳細がわかっている場合、 XPE のスプレッ ドシートでは、 ブロ ッ ク RAM の使用モードを

指定できます。 [Mode] 列にはド ロ ップダウン メニューがあ り、 異なるプリ ミ ティブやブロ ッ ク RAM モードを選択

できます。 リ ス ト される内容は、 ターゲッ ト ファ ミ リによって異なり ます。

• BRAM - シンプルなデュアル ポート ブロ ッ ク RAM、 または完全なデュアル ポート ブロ ッ ク RAM

• FIFO - 専用のビルト イン FIFO

• CASC (pair) - (7 シ リーズのみ) カスケード接続されたブロ ッ ク RAM ブロ ッ ク (2 つの RAM ブロ ッ クで構築)

• ECC - ブロッ ク RAM を ECC モードでコンフ ィギュレーシ ョ ンする場合に使用

完全なデュアル ポート (TDP) モードの場合、 次のよ うなデータ書き込みモード オプシ ョ ンがあ り ます。

• WRITE_FIRST – ロケーシ ョ ンにデータを書き込み、 その新し く書き込んだデータを読み出します。

• READ_FIRST – 古いデータが最初に読み出されて、 次に新しいデータが書き込まれます。 このモードの場合、

古いデータの読み出し と新しいデータへの置き換えが各ポートの同一クロ ッ ク サイクルで行われるため、 1 つ

のクロ ッ ク サイ クルで 4 つの動作を実行できます (電力と リ ソースを節約)。 ただし、 READ_FIRST モードで電

力効率が向上するのは、 新しいデータの書き込みと同時にメモ リ内のデータが使用され、 データを取得するた

めに別々の読み出し操作と書き込み操作を必要と しない場合のみであるこ とに注意してください。 それ以外の

場合、 TDP モードでは READ_FIRST モードの電力効率は一般的に NO_CHANGE モード よ り も低くな り ます。

READ_FIRST 機能が不要な場合は、 BRAM を WRITE_FIRST または NO_CHANGE に設定した方が消費電力を削

減できます。

• NO_CHANGE – ブロ ッ ク RAM に書き込みが実行されても、 出力は変化しません。

シンプルなデュアル ポート (SDP) モードの場合、 次のよ うなデータ書き込みモード オプシ ョ ンがあ り ます。

• WRITE_FIRST – ロケーシ ョ ンにデータを書き込み、 その新し く書き込んだデータを読み出します。

• READ_FIRST – 古いデータが最初に読み出されて、 次に新しいデータが書き込まれます。 このモードの場合、

古いデータの読み出し と新しいデータへの置き換えが各ポートの同一クロ ッ ク サイクルで行われるため、 1 つ

のクロ ッ ク サイ クルで 2 つの動作を実行できます (電力と リ ソースを節約)。 ただし、 READ_FIRST モードで電

力効率が向上するのは、 新しいデータの書き込みと同時にメモ リ内のデータが使用され、 データを取得するた

めに別々の読み出し操作と書き込み操作を必要と しない場合のみであるこ とに注意してください。 それ以外の

場合、 SDP モードでは READ_FIRST モードの電力効率は一般的に WRITE_FIRST モード よ り も低くな り ます。

READ_FIRST 機能が不要な場合は、 BRAM を WRITE_FIRST に設定した方が消費電力を削減できます。

• NO_CHANGE – SDP モードでは WRITE_FIRST モードの動作と同じになるため使用できません。

UltraScale デバイスでのカスケード接続された BRAM のサポート

UltraScale デバイスの XPE スプレッ ドシートでは、 [BRAM] シートに [Cascade Group Size] という列が追加されていま

す。 BRAM をカスケード接続する場合、 この列は、 各グループ内でカスケード接続された BRAM の数を表示しま

す。 この値とモジュール内の BRAM の総数を使用して、 特定の時点でのアクティブな BRAM の数を計算します。

たとえば、 モジュール内の BRAM の総数が 20 個で、 [Cascade Group Size] が 4 (各グループでカスケード接続される

BRAM は 4 個) である場合、 XPE ツールは 20/4 = 5 グループを計算します。 BRAM をカスケード接続しない場合は、

この列は空白のままにするか、 0 に設定してください。

Xilinx Power Estimator ユーザー ガイド 61UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 62: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

UltraScale+ デバイスでの UltraRAM のサポート

UltraRAM (URAM) は、 高集積度 FPGA の 288Kb メモ リ構築ブロ ッ クです。 URAM は、 Ultrascale+ デバイス内で

BRAM と共存します。 288Kb ブロ ッ クをカスケード接続するこ とで、 よ り ビッ ト数の多いメモ リ をインプ リ メン ト

できます。 適切にパイプライン化すれば、 URAM はファブ リ ッ ク リ ソースをわずかに使用するか、 まったく使用す

るこ とな く、 かつタイ ミ ングのペナルティが生じるこ とな く インプリ メン トできる場合があ り ます。

2 つのポートは同じ クロ ッ クを共用し、 4K x 72 ビッ トのすべてをアドレス指定できます。 各ポートはそれぞれ独立

してメモ リ アレイへの読み書きを実行できます。 URAM は 2 種類のライ ト イネーブル モードをサポート していま

す。 1 つは、 ブロ ッ ク RAM のバイ ト ライ ト イネーブル モード と同じです。 も う 1 つは、 データ バイ ト とパリティ

バイ トの書き込みを個別にゲーティングできるモードです。 複数の URAM ブロ ッ クをカスケード接続して大容量の

メモ リ アレイを構築するこ と もできます。 URAM カラムには専用の配線があ り、 カラムの高さ全体を連結できま

す。 このため、 URAM は SRAM など外部メモ リの置き換えと して理想的なソ リ ューシ ョ ンとな り ます。

[URAM] シートには、デザインで使用する URAM の数およびコンフ ィギュレーシ ョ ンを入力するカラムがあ り ます。

また、 VCCINT および VCCBRAM 電源レールの消費電力も示します。 [Utilization] は、 ターゲッ ト デバイスで使用さ

れる URAM ブロ ッ ク (URAM288) の数を示します。

デザイン入力に使用されるカラムの説明は次のとおりです。

[Name]: URAM または URAM モジュールを識別するための名前を入力します。

[URAMs]: このモジュール内にある UltraRAM の数を示します。

[Cascade Group Size]:URAM ブロ ッ クは、 大規模なメモ リ アレイを構築するためにカスケード接続が可能で、 一度に

1 つのみの URAM カスケードを有効にするこ とで、 総消費電力を削減します。

例: [Cascade Group Size] が 4 に設定された 20 個の URAM ブロ ッ クの場合、 20/4 とな り、 4 ブロ ッ クずつカスケード

接続された 5 セッ トの URAM とな り ます。 カスケード接続を使用しない場合は、 [Cascade Group Size] の値を 1 に設

定します。

[Latency]: オプシ ョ ンの URAM パイプライン レジスタは、 IREG_PRE (入力) または REG_CAS (カスケード ) です。 デ

フォルト値は、 Cascade Group Size を 3 で割った値となり ます。 URAM をカスケード接続しない場合は、 IREG_PRE

のみを使用できます (レイテンシ 1 に相当)。

[Mode]: URAM288 (ECC なし ) または URAM288_with_ECC のいずれかを選択します。

[Sleep Rate]: URAM SLEEP 入力ピンがアサート される時間のパーセンテージを入力します。 自動ス リープ モードの

[Auto] 値もサポート されています。

[Avg Inactive Cycles]: ス リープ モードの場合における連続する非アクティブ サイ クルの平均値を示します。最小値は

10 よ り大きい値、 または (Cascade Group Size - 2) の値よ り大きい値とな り ます。

X-Ref Target - Figure 3-10

図 3‐10: UltraScale+ デバイスの [URAM] シート

Xilinx Power Estimator ユーザー ガイド 62UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 63: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

[Input Toggle Rate]: A と B 両ポートのデータ入力 (DIN) の平均ト グル レート を示します。

[Output Toggle Rate]: A と B 両ポートのデータ出力 (DOUT) の平均ト グル レート を示します。

[Clock (MHz)]: URAM または URAM モジュールのクロ ッ ク周波数を示します。

URAM ポート A と B の両方に指定される値は、 次のとおりです。

[Data Width]: 最大値の 72 ビッ ト未満の場合は、 正確なデータ幅を指定します。

[Enable Rates]: URAM が有効となる時間のパーセンテージを入力します。

[Write Enable]: 書き込みイネーブル入力がアサート される時間のパーセンテージを入力します ([Enable Rate] とは無関

係)。 書き込みイネーブル ピンは、 URAM RDB_WR_A および RDB_WR_B ピンです。

注記: [Enable Rate] および [Sleep Rate] を指定する場合は、 次の規則が適用されます。 各ポート A およびポート B で

は、 ([Enable Rate]/[Cascade Group Size]) と [Sleep Rate] の合計値が 100% を超えてはいけません。

Memory Generator ウィザード と  [BRAM] シート  (ブロック  メモリ )

UltraScale および 7 シ リーズ/Zynq-7000 AP SoC の XPE スプレッ ドシートでは、Memory Generator ウ ィザードを使用し

て [BRAM] シートにブロ ッ ク メモ リの情報を入力できます。 このウ ィザードを使用する と、 [BRAM] シートの

[Block RAMs] に表示されるよ うに [BRAM] シートの行にブロ ッ ク メモ リ関連の値を簡単に入力できます。

Memory Generator ウ ィザードは、 [BRAM] シートの [Add Memory] をク リ ッ ク して起動できます。

このウ ィザードの詳細および [BRAM] シートからの起動方法については、 「Memory Generator ウ ィザード (ブロ ッ ク

メモ リ用) の使用」 を参照してください。

7 シ リーズ デバイスのブロ ッ ク メモ リの機能、 および XPE に入力する設定について理解するには、 『7 シ リーズ

FPGA メモ リ リ ソース ユーザー ガイ ド』 (UG473) [参照 6] を参照してください。

[DSP] シート  ([MULT]、 [DSP48]) の使用

ザイ リ ンクスのデバイス ファ ミ リには、 それぞれ異なる機能を備えたデジタル信号処理 (DSP) ブロ ッ クがあ り ます。

これらのシートに情報を入力する前に、 『7 シ リーズ FPGA DSP48E1 スライス ユーザー ガイ ド』 (UG479) [参照 27] ま

たは 『UltraScale アーキテクチャ DSP スライス ユーザー ガイ ド』 (UG579) [参照 29] を参照して、 DSP シートのパラ

メーターについて理解しておく必要があ り ます。

ヒン ト : ランダム入力データの場合は、 DSP 動作の適切な [Toggle Rate] は 50% です。

ヒン ト : DSP にはクロ ッ ク イネーブル (CE) ポートがあ り ます。 [Toggle Rate] 列にデータを入力する場合は、データ入

力ト グル レート を DSP スライスのク ロ ッ ク イネーブル レートで乗算してください。 たとえば、 ランダム データ (通

常、 ト グル レート 38% 以下) が DSP スライスへ入力され、このスライスのクロ ッ ク イネーブル レートがわずか 50%

Xilinx Power Estimator ユーザー ガイド 63UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 64: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

しかない場合は、 出力データのト グル レートが CE で削減されて 19% (38% x 50%) とな り ます。 図 3-11 に、 Virtex-7

の例を示します。

ヒン ト : 乗算器 (MREG) 内にレジスタがあるファ ミ リでは、 このパイプライン レジスタを使用するこ とでダイナミ ッ

ク消費電力を抑えるこ とができます。

[GT] シート  (GTP、 GTX、 GTH、 GTY、 GTZ) の使用

ザイ リ ンクスのデバイス ファ ミ リには、 非常に高性能なシ リ アル I/O を使用するマルチギガビッ ト ト ランシーバー

(MGT) が内蔵されているファ ミ リがあ り ます。 通常、 ト ランシーバーは PCS、 PMA、 および終端に対して個別の電

源を使用します。

7 シ リーズ デバイスの GT の機能、 および XPE の設定について理解するには、 『7 シ リーズ FPGA GTX/GTH ト ラン

シーバー ユーザー ガイ ド』 (UG476) [参照 8] および 『7 シ リーズ FPGA GTP ト ランシーバー ユーザー ガイ ド』

(UG482) [参照 9] を参照してください。

UltraScale デバイスの GT の機能、 および XPE の設定について理解するには、 『UltraScale アーキテクチャ GTH ト ラ

ンシーバー Advance 仕様ユーザー ガイ ド』 (UG576) [参照 14] および『UltraScale アーキテクチャ GTY ト ランシーバー

Advance 仕様ユーザー ガイ ド』 (UG578) [参照 15] を参照して ください。

重要: 7 シ リーズ/Zynq 7000 AP SoC の XPE スプレッ ドシートでは、 PCI Express (PCIe) の情報は、 [GTX]、 [GTP]、

[GTH]、 または [GTZ] シートで指定されます。 UltraScale および UltraScale+ デバイスの XPE スプレッ ドシートでは、

すべてのハード IP ブロッ ク (PCIe - GEN1、 GEN2、 GEN3) および 100G イーサネッ ト (CMAC および ILKN) は、

[GTH] または [GTY] シートで指定されます。 それ以前のザイ リ ンクス デバイスのスプレッ ドシートでは、 [PCIe]

シートが別に表示されます。

データ入力をシンプルにするため、 ド ロ ップダウン メニューが提供されています。 これを使用してパラ メーターを

選択、 または必要な値を入力します。 図 3-12 に、 Kintex-7 XC7K325T デザインの例を示します。 シート上部にある

X-Ref Target - Figure 3-11

図 3‐11: [DSP] シート  (7 シリーズ デバイス) ‐ クロック、 トグル レート、 MREG による消費電力概算への影響

Xilinx Power Estimator ユーザー ガイド 64UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 65: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

表には、 デザインの消費電力と電流の値がレポート されます。 各電源のデバイス リーク電流値は、 [Summary] シー

トにレポート されます。

7 シ リーズ デバイスの XPE では Transceiver Configuration ウ ィザードが提供されているため、 ト ランシーバーの正確

な消費電力概算に必要となる重要なパラ メーターを即座に入力できます。 このウ ィザードを使用して ト ランシー

バーのシートに情報を入力する具体的な方法は、 「Transceiver Configuration ウ ィザードの使用」 を参照してください。

XPE は、 すべての関連する回路、 チャネル間で共有される リ ソース、 I/O バッファー、 基準クロ ッ ク回路などを含む

各チャネルの消費電力を計算します。 このため、 使用している ト ランシーバー リ ソースを定義するために、 別の

シート ([Clock] シートや [I/O] シート ) にリ ソース使用率を入力する必要はあ り ません。

XPE は、 アーキテクチャ固有の方法で ト ランシーバー情報を表示します。 GTP/GTX_DUAL の項目に 2 (または 2 の

倍数チャネル) を入力する と、 これらのチャネルは最小数のデュアルを使用する と想定します。 同様に、 GTHE1 と

GTXE2 の 4 チャネルが共通の回路を共有する場合、 XPE は各ラインで最小数のクワ ッ ドを使用する と想定します。

1 つのクワ ッ ドから 2 チャネルを使用し、 別のクワ ッ ドから 2 チャネルを使用する場合、 XPE ではそれらを 2 行で

指定します。

ヒン ト : Spartan-6 デバイスの場合、 GTPA1_DUAL に対してチャネルごとに異なる設定を指定できます。 それには

チャネルごとに入力行を分けて、 同じベース名に _0 および _1 を末尾に付加した名称と します (例: GTP_0 と

GTP_1)。 隣接する 2 行の赤枠で囲まれたセルは、 これら 2 つの GTPA1 が同じ GTPA1_DUAL 内にあるものと推論さ

れているこ とを意味します。

ト ランシーバーのシートの [Power Planes] フ ィールドは、デザインで使用される電源プレーンの数を示します。 MGT

ト ランシーバーは PMA (物理媒体接続部) に複数のアナログ電源を必要と します。 電源プレーンの数はデバイスや

パッケージごとに異なり ます。 利用可能な MGT をすべて使用しない場合は、 未使用の電源プレーンをグランド接続

するこ とでスタティ ッ ク消費電力が削減されます。

UltraScale および 7 シ リーズ/Zynq-7000 AP SoC の XPE スプレッ ドシートでは、 [GTX]、 [GTP]、 [GTH]、 [GTY] シー

トに [OOB Used] 列があ り ます。 OOB 機能は、 動作中に物理的な接続が切断される可能性がある場合に PCIe および

その他のプロ ト コルに対して Out-of Band (OOB) 信号を使用するものです。 OOB は、 入力側で高速振幅検出、 そして

対応する出力側ではスケルチを使用してサポート されます。 [OOB Used] 列に 「Yes」 が表示されている場合、 デザイ

ンでこの機能が使用されているこ とを示します。

ヒン ト : [GTX]、 [GTH]、 および [GTY] のシートで [On] または [Off] に設定できるアイ スキャン機能については、 『7

シ リーズ FPGA GTX/GTH ト ランシーバー ユーザー ガイ ド』 (UG476) [参照 8]、 『UltraScale アーキテクチャ GTH ト ラ

ンシーバー Advance 仕様ユーザー ガイ ド』 (UG576) [参照 14]、 または 『UltraScale アーキテクチャ GTY ト ランシー

バー Advance 仕様ユーザー ガイ ド』 (UG578) [参照 15] を参照してください。

ヒン ト : 7 シ リーズ デバイスの [GTX] シートで、GTX ト ランシーバーの [Power Mode] を [Low Power] または [DFE] に

設定できます。低消費電力モード (LPM) および判定帰還等化 (DFE) モードについては、『7 シ リーズ FPGA GTX/GTH

ト ランシーバー ユーザー ガイ ド』 (UG476) [参照 8] の 「RX イコライザー (DFE および LPM)」 のセクシ ョ ンを参照し

てください。

XPE では、 すべての MGT コンフ ィギュレーシ ョ ンをサポート しているわけではあ り ません。 詳細は、 特定のト ラン

シーバー ユーザー ガイ ドを参照してください。

Xilinx Power Estimator ユーザー ガイド 65UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 66: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

X-Ref Target - Figure 3-12

図 3‐12: [GTX] シート  (Kintex‐7 デバイス) のデータ  レートおよび消費電力概算

X14485

Xilinx Power Estimator ユーザー ガイド 66UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 67: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

ト ランシーバーの動作モード

ト ランシーバーの入力フ ィールドに動作モードを指定するこ とで、 特定モードでの消費電力を見積もるこ とができ

ます。 各ト ランシーバー タイプは、 次の動作モードをサポート しています。

• [Transceiver]: デフォルト設定 - TX と RX チャネルの両方が有効

• [Transmitter]: TX は有効で、 RX はパワーダウン

• [Receiver]: TX はパワーダウンで、 RX は有効

一部のト ランシーバー タイプは、 4 つ目の動作モード と して [Power Down] をサポート しています。 このモードでは、

TX と RX チャネル両方がパワーダウンのと きの消費電力を示します。 この [Power Down] 設定は、 UltraScale の GTH

および GTY ト ランシーバーと 7 シ リーズの GTH ト ランシーバーで利用できます。

クワッ ド  ベース PLL 用のクロック  ソース

UltraScale デバイスには、 ジッ ター パフォーマンス用の 2 つのクワッ ド ベース PLL (QPLL0 および QPLL1) または

チャネル ベースのリ ング オシレーター型 PLL (CPLL) があ り ます。 ユーザーは、 よ り保守的なクロ ッ ク ソース と し

て、 出力分周値が 2 に設定された 16GHz で動作する QPLL0 を選択できます (例: 16.375Gbps/2 = 8.1875Gbps)。 また、

8GHz で動作する QPLL1 の選択も可能です (例: 8.1875)。

UltraScale デバイスでのハード  IP ブロックのサポート

[Hard IP Block] 列の設定によ り、 次の UltraScale デバイスの統合 IP ブロ ッ クに関連する消費電力を計算できます。

• [PCIe] - 統合型 PCI Express コアは、 信頼性、 帯域幅、 拡張性の高いシ リ アル インターコネク トです。 GT と組

み合わせてハード GEN1、 GEN2、 または GEN3 PCIe インターフェイスを使用する場合は、 [PCIe] を選択しま

す。 オプシ ョ ンの 500MHz コア ク ロ ッ ク周波数で動作するハード GEN3 PCIe インターフェイスを使用する場合

は、 [PCIe_500] を選択します。

• [100G Ethernet] - 100Gb/s イーサネッ ト (100G MAC) 用統合ブロッ クは、 高性能、 低レイテンシの 100Gb/s イー

サネッ ト ポート を提供し、 広範囲にわたるユーザー カスタマイゼーシ ョ ンと統計情報の収集を可能にします。

デザインで 100Gb/s イーサネッ ト用統合ブロ ッ クを使用する場合は、 [CMAC] を選択します。 低データ ト グル

レート を使用する場合は、 [CMAC-Low] を選択し、 ワース ト ケース データ ト グル レートには [CMAC-High] を

選択します。 この IP ブロッ クの詳細は、 『LogiCORE IP UltraScale Architecture Integrated Block for 100G Ethernet 製

品ガイ ド』 (PG165) [参照 29] を参照してください。

• [Interlaken] - Interlaken 用統合ブロッ クは、 次の機能を実現するスケーラブルなチップ間インターコネク ト プロ

ト コルです。

° レーン ロジッ ク専用モードによ り、 各シ リ アル ト ランシーバーを使用して、 すべての機能を備えた

Interlaken インターフェイスを構築できます。 48 個のシ リ アル ト ランシーバーを搭載したデバイスでは、 最

大 600Gb/s の合計スループッ ト を維持できます。

° 統合された各 IP コアでサポート されるプロ ト コル ロジッ クは、 最大 150Gb/s まで拡張できます。

デザインで Interlaken 用統合ブロッ クを使用する場合は、 [ILKN] を選択します。低い Tx データ ト グル レート を

使用する場合は、 [ILKN-Low] を選択し、 ワース ト ケース データ ト グル レートには [ILKN-High] を選択しま

す。 この IP ブロッ クの詳細は、 『LogiCORE IP UltraScale Architecture Integrated IP Core for Interlaken 製品ガイ ド』

(PG169) [参照 30] を参照してください。

これらの IP ブロ ッ クは、 GTH または GTY ト ランシーバーと組み合わせて統合型ソ リ ューシ ョ ンをインプリ メン ト

するよ うに設計されています。 Transceiver Configuration ウ ィザードを使用して、 適宜 GTH または GTY ト ランシー

バー コンフ ィギュレーシ ョ ンと統合ハード IP ブロ ッ クを組み合わせるこ とができます。

Xilinx Power Estimator ユーザー ガイド 67UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 68: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

Transceiver Configuration ウ ィザードを開くには、 [GTH] シートの上部にある [Add GTH Interface] をク リ ッ ク します。

あるいは、 [GTY] シートの上部にある [Add GTY Interface] をク リ ッ ク します。

Transceiver Configuration ウ ィザードのエン ト リについては、 「Transceiver Configuration ウ ィザードの使用」 を参照し

てください。

図 3-13 に、 UltraScale デバイスの XPE スプレッ ドシートでのハード IP ブロッ クの設定、ハード IP が使用する ト ラン

シーバーの消費電力、 各タイプのハード IP の使用率 (パーセンテージ) を示します。

ト ランシーバー ウィザード と  GT シート

7 シ リーズ/Zynq-7000 AP SoC、 UltraScale および UltraScale+ デバイスの XPE スプレッ ドシートでは、 Transceiver

Configuration ウ ィザードを使用して GT シート ([GTP]、 [GTH]、 [GTY]、 [GTX]、 または [GTZ]) にト ランシーバーの

情報を入力できます。 このウ ィザードを使用する と、 XPE スプレッ ドシートの GT シートに情報を簡単に入力でき

ます。

Transceiver Configuration ウ ィザードは、 GT シート の [Add GT Interface] をク リ ッ ク して起動できます (例を下図に

示す)。

このウ ィザードの詳細および GT シートからの起動方法については、 「Transceiver Configuration ウ ィザードの使用」

を参照してください。

X-Ref Target - Figure 3-13

図 3‐13: UltraScale デバイスの [Hard IP] の設定

Xilinx Power Estimator ユーザー ガイド 68UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 69: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

7 シ リーズ デバイスの GT の機能、および XPE に入力する設定について理解するには、『7 シ リーズ FPGA GTX/GTH

ト ランシーバー ユーザー ガイ ド』 (UG476) [参照 8] および 『7 シ リーズ FPGA GTP ト ランシーバー ユーザー ガイ ド』

(UG482) [参照 9] を参照してください。

UltraScale デバイスの GT の機能、 および XPE に入力する設定について理解するには、 『UltraScale アーキテクチャ

GTH ト ランシーバー Advance 仕様ユーザー ガイ ド』 (UG576) [参照 14] および 『UltraScale アーキテクチャ GTY ト ラ

ンシーバー Advance 仕様ユーザー ガイ ド』 (UG578) [参照 15] を参照してください。

GT 電源投入/電源切断シーケンス

ザイ リ ンク ス デバイスにデザインをプログラムする際に、 電源投入時に流れる電流を最小にするために推奨され

る GT ト ランシーバーの電源投入シーケンスは、 データシート で指定されています。 該当するデバイスのDC 特性

およびスイ ッチ特性データシート を参照して ください。 電源切断については、 電流が最小となるよ うに逆が適用

されます。

推奨シーケンスに従わない場合、 電源投入および電源切断中に流れる電流が仕様を上回るこ とがあ り ます。 XPE は

推奨電源投入/切断シーケンスがデザインで使用されるこ とを前提と して GT の消費電力を計算し、 これが消費電力

の数値に反映されます。

推奨電源投入/電源切断シーケンスに従わない場合の消費電力の増加分の計算方法については、 ザイ リ ンクス アン

サーを参照してください。

Xilinx Power Estimator ユーザー ガイド 69UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 70: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

[TEMAC] シートの使用

ザイ リ ンクスの FPGA ファ ミ リには、 イーサネッ ト アプリ ケーシ ョ ンで使用される ト ライモード エンベデッ ド イー

サネッ ト メディア アクセス コン ト ローラー (MAC) ブロ ッ クが含まれているデバイスがあ り ます。 イーサネッ ト

MAC は、 TEMAC ブロッ ク内でペアになっており、 共通のホス ト と DCR インターフェイスを共有しますが、 イーサ

ネッ ト システムのコネクティビティ要件を満たすために個別に設定可能です。 ブロ ッ クの機能およびコンフ ィギュ

レーシ ョ ンの詳細は、 該当する EMAC のユーザー ガイ ドを参照してください。

XPE には、 TEMAC の動作クロ ッ ク周波数のみ入力する必要があ り ます (図 3-14 参照)。 通常、 正確なクロ ッ ク周波

数を求めるには、 モード と動作速度が必要です。

[PCIe] シートの使用

ザイ リ ンクスのデバイス ファ ミ リには、 PCI Express デザイン用のエンドポイン ト ブロ ッ クを内蔵しているデバイス

があ り ます。 PCIe の詳細は、 該当する PCIe のユーザー ガイ ドを参照し、 アプリ ケーシ ョ ンに対応する情報を XPE

に入力してください。

注記: 7 シ リーズおよびそれ以降のデバイスの XPE スプレッ ドシートには、 [PCIe] シートがあ り ません。 これらのデ

バイスの PCIe の情報は、 マルチギガビッ ト ト ランシーバー シートで指定します。 詳細は、 「[GT] シート (GTP、

GTX、 GTH、 GTY、 GTZ) の使用」 を参照してください。

X-Ref Target - Figure 3-14

図 3‐14: [TEMAC] シート  (Virtex‐6 デバイス)

Xilinx Power Estimator ユーザー ガイド 70UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 71: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

[PPC440] シートの使用 (PowerPC) 

一部のザイ リ ンクス デバイス ファ ミ リには高性能 PowerPC マイクロプロセッサ エンベデッ ド ブロ ッ クが搭載され

ています。

これらのブロ ッ クの消費電力概算は、 XPE 内の個別シートにレポート されます。 各 PowerPC の設定については、 該

当するデバイスのユーザー ガイ ドを参照してください。 通常は、 プロセッサの主要クロ ッ ク周波数以外に、 プロ

セッサ ローカル バス、 メモ リ、 および DMA コン ト ローラーの詳細などを入力します。 図 3-16 に、 Virtex-5 デバイ

スの例を示します。

X-Ref Target - Figure 3-15

図 3‐15: [PCIe] シート  (Virtex‐6 デバイス)

X-Ref Target - Figure 3-16

図 3‐16: [PPC440] シート  (Virtex‐5 デバイス)

Xilinx Power Estimator ユーザー ガイド 71UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 72: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

[PS] シートの使用 (Zynq‐7000 AP SoC および Zynq UltraScale+ MPSoC)

[PS] シート を使用するこ とで、 プロセッシング システム (PS) の電力を概算できます。 このシートは、 Zynq-7000 AP

SoC および Zynq UltraScale+ MPSoC でのみ利用できます。

[PS] シートの使用 (Zynq‐7000 AP SoC 用)

正確なコンフ ィギュレーシ ョ ンによって異なり ますが、 PS には 2 ~ 5 個の電源電圧があ り ます。 VCCO_DDR 電圧は選

択する メモ リ インターフェイスに依存し、 VCCO_MIO0 および VCCO_MIO1 電圧はそれぞれのバンクで使用される I/O

インターフェイス と規格に依存します。

Zynq-7000 AP SoC の PS については、 『Zynq-7000 All Programmable SoC テクニカル リ ファレンス マニュアル』

(UG585) [参照 31] で説明しています。

• [Processor]

PS で使用されるプロセッサは、デュアル コア Cortex™-A9 プロセッサです。 このコアの使用数 ([A9 Cores]) とそ

れらのクロ ッ ク周波数 ([Clock (MHz)]) は必須情報です。 平均的な使用率の場合、 プロセッサの [Load] が 50% と

示され、 この値はデザインにおけるプロセッサの負荷状況を反映するよ う必要に応じて増減できます。

• [PLLs]

PS には 3 つの PLL ([PLLs]) があ り、 使用する場合は正確な周波数 ([MHz]) に設定する必要があ り ます。 デフォ

ルトでは、プロセッサ PLL ([Processor]) およびメモ リ PLL ([Memory]) はこれらに対応するク ロ ッ ク周波数の 2 倍

の値で動作します。

• [Memory Interface]

DDR2、 DDR3、 DDR3L、 LPDDR2 メモ リ インターフェイス ([Memory Type]) は、 16 ビッ ト または 32 ビッ ト

[Data Width] でサポート されます。 メモ リ インターフェイスがすべて DDR インターフェイスであるため、 ク

ロ ッ ク周波数 ([Clock (MHz)]) はデータ レートの半分です。 [Read Rate] および [Write Rate] は使用率を示し、 これ

らの値は合計して 100% またはそれ以下となるよ うに設定します。

[Data Toggle Rate] は、 50% がランダム データのデータ ラインの平均値です。 [Output Load] はボードのキャパシ

タンスです。 外部終端 ([External Term]) は、 データ ラインに使用される遠端並列終端です。

• [I/O Interface]

PS はさまざまな標準インターフェイス ([I/O Standard])、 そして一部の汎用 I/O をサポート します。 2 つの I/O バ

ンクがあ り、 1 つのバンクのインターフェイスすべてで同じ電圧を使用する必要があ り ます。 利用可能な I/O イ

ンターフェイス ([I/O Interface])、 I/O 規格 ([I/O Standard])、 インターフェイスの数 ([Number of Interfaces])、および

I/O バンクの割り当てが ([I/O Bank]) が XPE ツールで表示されます。

Xilinx Power Estimator ユーザー ガイド 72UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 73: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

• [AXI Interfaces]

AXI インターフェイスの PS 側は、 AXI 3 インターフェイス仕様に基づいています。 各インターフェイスは複数

の AXI チャネルで構成されます。 PS-PL 間のインターフェイス用に 9 個の AXI インターフェイスがあ り ます。

° AXI_ACP - PL 用のキャッシュ コ ヒーレン ト マスター ポート (x1)

° AXI_HP - PL 用の高性能/高帯域幅マスター ポート (x4)

X-Ref Target - Figure 3-17

図 3‐17: [PS] シート  (Zynq‐7000 AP SoC 用)

Xilinx Power Estimator ユーザー ガイド 73UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 74: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

° AXI_GP - 汎用ポート (x4、 マスター ポート x2 と スレーブ ポート x2)

[PS] シートの使用 (Zynq UltraScale+ MPSoC 用)

Zynq® UltraScale+™ MPSoC ファ ミ リは UltraScale™ MPSoC アーキテクチャで構築されています。 この製品ファ ミ リ

は、豊富な機能を備えた 64 ビッ ト クワ ッ ド コア ARM® Cortex™-A53 およびデュアル コア ARM Cortex-R5 をベース

とするプロセッシング システム (PS) とザイ リ ンクスのプログラマブル ロジッ ク (PL) UltraScale アーキテクチャを 1

つのデバイスに組み合わせたものです。

低電力ド メ インとフル電力ド メ イン

[Full Power Dynamic and IO Domain] カラムのとな りにある [Enable]/[Disable] オプシ ョ ンを使用して [Full Power] または

[Low Power] のいずれかのド メ インを選択できます。

• プロセッサと PLL

UltraScale+ MPSoC 用の PS は、 フル電力ド メ イン用の機能豊富な 64 ビッ ト クワ ッ ド コア ARM® Cortex™-A53 と低

電力ド メ イン用のデュアルコア ARM Cortex-R5 ベースのプロセッシング システム (PS) を統合しています。 また、 シ

ングル デバイスにザイ リ ンクスのプログラマブル ロジッ ク (PL) UltraScale アーキテクチャも統合しています。 フル

電力ド メ インでは APU、 DDR、 および Video PLL を利用でき、 低電力ド メ インでは I/O および RPU PLL を利用でき

ます。

• メモ リ インターフェイス と I/O インターフェイス

ARM Cortex-A53 および Cortex-R5 CPU は、 オンチップ メモ リ、 外部メモ リ インターフェイス、 幅広い周辺接続イン

ターフェイスも備えています。

• AXI FIFO (AFI) インターフェイス

低電力ド メ インの AXI FIFO インターフェイスには、 スレーブ インターフェイスが 1 つ、 マスター インターフェイ

スが 1 つあり ます。 一方、 フル電力ド メ インには、 スレーブ インターフェイスが 6 つ、 マスター インターフェイス

が 2 つあり ます。

Xilinx Power Estimator ユーザー ガイド 74UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 75: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

X-Ref Target - Figure 3-18

図 3‐18: Zynq UltraScale+ MPSoC の [PS] シートの [Low Power Dynamic and I/O Domain] ページ

Xilinx Power Estimator ユーザー ガイド 75UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 76: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

X-Ref Target - Figure 3-19

図 3‐19: Zynq UltraScale+ MPSoC の [PS] シートの [Full Power Dynamic and I/O Domain] ページ

Xilinx Power Estimator ユーザー ガイド 76UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 77: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

[Other] シートの使用 (7 シリーズ、 Zynq‐7000 AP SoC、UltraScale、 UltraScale+ デバイス)

[Other] シート を使用するこ とで、 次のデバイス機能に関連する消費電力量を計算できます。

• [XADC] - (7 シ リーズおよび Zynq-7000 AP SoC のみ) XADC (ザイ リ ンクス アナログ-デジタル コンバーター) は、

ザイ リ ンクス 7 シ リーズ デバイスのアジャイル ミ ッ クス ド シグナル機能を実現する基本的な構築ブロ ッ クで

す。 XADC には 12 ビッ ト 、 毎秒 1 メガサンプル (MSPS) の ADC が 2 つとオンチップ センサーが含まれます。

7 シ リーズまたは Zynq-7000 AP SoC では、 XADC が使用されていない場合は XADC への電源を切断して消費電

力を削減できます。 デバイス コンフ ィギュレーシ ョ ン レジスタのパワーダウン ビッ ト をセッ トするこ とによ

り、 または VCCADC 電源を切断するこ とによ り、 XADC への電源を切断する場合は、 [XADC] の表で [Powered

Down] を [Yes] に設定します。 XADC への電源を切断しない場合は、 [Powered Down] を [No] に設定します。

[XADC Clock (MHz)] - XADC がデザインで使用されている場合、 DRP ク ロ ッ クの周波数を指定します。 デザイ

ンで XADC をインスタンシエート しない、 または XADC への電源が切断される場合は、 空白のままにします。

XADC の詳細は、『7 シ リーズ FPGA および Zynq-7000 All Programmable SoC XADC デュアル 12 ビッ ト 1MSPS ア

ナログ-デジタル コンバーター ユーザー ガイ ド』 (UG480) [参照 32] で説明しています。

• [SYSMON] - (UltraScale および UltraScale+ デバイスのみ) システム モニター (SYSMON) は、 オンチップ温度セン

サーおよび電源センサー、 外部アナログ入力、 内蔵アナログ-デジタル コンバーター (ADC) を使用して、

UltraScale デバイスの物理的環境をモニターします。

UltraScale デバイスでは、 SYSMON が使用されていない場は SYSMON への電源を切断して消費電力を削減でき

ます。 デバイス コンフ ィギュレーシ ョ ン レジスタのパワーダウン ビッ ト をセッ トするこ とによ り、 または

VCCADC 電源を切断するこ とによ り、 SYSMON への電源を切断する場合は、 [SYSMON] の表で [Powered Down]

を [Yes] に設定します。 SYSMON への電源を切断しない場合は、 [Powered Down] を [No] に設定します。

[Clock (MHz)] - SYSMON がデザインで使用されている場合、 DRP ク ロ ッ クの周波数を指定します。 デザインで

SYSMON をインスタンシエート しない、 または SYSMON への電源が切断される場合は、 空白のままにします。

SYSMON の詳細は、『UltraScale アーキテクチャ システム モニター ユーザー ガイ ド』 (UG580) [参照 33] で説明し

ています。

Xilinx Power Estimator ユーザー ガイド 77UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 78: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

• [Config] - [Config] (コンフ ィギュレーシ ョ ン) の表では、 次のデバイス コンフ ィギュレーシ ョ ン機能を指定でき

ます。

° [Readback CRC Clock (MHz)] - ザイ リ ンクス 7 シ リーズおよび UltraScale デバイスは、 ユーザー デザインの

バッ クグラウンドでコンフ ィギュレーシ ョ ン データを連続的にリードバッ クする機能を備えています。 こ

の機能は、 コンフ ィギュレーシ ョ ン メモ リのビッ ト をフ リ ップさせる SEU (Single Event Upset) を簡単に検

出できるよ うにするもので、 SEU の修正など高度な処理を実行するための FRAME ECC 機能と組み合わせ

て使用できます。 この機能を XPE の消費電力の概算に組み込むには、 [Config] の表に [Readback CRC Clock

(MHz)] の周波数を入力します。 この機能が使用されていない場合は、 空白のままにします。

リードバッ ク CRC の詳細は、 『7 シ リーズ FPGA コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG470) [参

照 34] または 『UltraScale アーキテクチャ コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG570) [参照 35] で説

明しています。

° [Config Bank Voltage] - デバイスにあるコンフ ィギュレーシ ョ ン関連 I/O バンクの I/O 電圧動作範囲と電圧耐

性を決定する、 コンフ ィギュレーシ ョ ン バンク電圧セレク ト (CFGBVS) を指定します。

コンフ ィギュレーシ ョ ン バンク電圧の詳細は、 『7 シ リーズ FPGA コンフ ィギュレーシ ョ ン ユーザー ガイ

ド』 (UG470) [参照 34] または 『UltraScale アーキテクチャ コンフ ィギュレーシ ョ ン ユーザー ガイ ド』

(UG570) [参照 35] で説明しています。

• [PHASER] - 7 シ リーズ デバイスには、 高速メモ リ デバイス とのインターフェイスを簡略化するための Phaser ブ

ロ ッ クがあ り ます。 これらのブロ ッ クの消費電力概算は、 [Other] シートの表にレポート されます。 Phaser ブ

ロ ッ クの設定の詳細は、 『Zynq-7000 AP SoC および 7 シ リーズ デバイス メモ リ インターフェイス ソ リ ューシ ョ

ン v2.3 ユーザー ガイ ド』 (UG586) [参照 10] を参照してください。

[Phaser] の表の [Phaser INs] 列では、 使用する PHASER_IN および PHASER_IN_PHY ブロ ッ クの数を指定します。

同様に、 [Phaser OUTs] 列は PHASER_OUT と PHASER_OUT_PHY の両ブロ ッ クに使用します。

Xilinx Power Estimator ユーザー ガイド 78UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 79: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

• [VCU] - Zynq UltraScale+ EV デバイスには、 VCU (ビデオ コーデッ ク ユニッ ト ) があ り ます。 VCU は、 High

Efficiency Video Coding (HEVC) 規格 (例: H.265) および Advanced Video Coding (AVC) 規格 (例: H.264) 規格などを

含む複数規格のビデオ エンコードおよびデコード機能を提供します。 この VCU は最大 4Kx2K (60fps) のレート

でビデオ ス ト リームのエンコード とデコードを同時に実行できます。

XPE の [Other] シートには、 VCU ブロ ッ クの消費電力を見積もるための VCU パラ メーターを入力します。 この

VCU エスティ メーターは、 [Summary] シートでいずれかの Zynq UltraScale+ EV デバイスが選択されている場合

に利用できます。 図 3-20 に、 VUC の消費電力見積も り を示します。

エンコーダー /デコーダーの VCU ブロ ッ クのパラ メーター (解像度、 カラー フォーマッ ト など) を自由選択する

こ とで、 あらゆる組み合わせの VCU コンフ ィギュレーシ ョ ンの消費電力を見積もるこ とができます。

• eFUSE - UltraScale および UltraScale+ デバイスには、 eFUSE ビッ ト をプログラムできるセキュ リ ティ機能があ り

ます。 これによって、 動作中に FPGA デバイスが暗号化されたビッ ト ス ト リームのみを使用できるよ うにしま

す。 XPE では、 動作中に eFUSE がプログラムされる と きの消費電力を見積もるこ とが可能です。 ユーザーは、

eFUSE にイ メージをプログラ ミ ングする際に必要な電力も考慮して消費電力を解析する必要があ り ます。

X-Ref Target - Figure 3-20

図 3‐20: [Other] シート  ‐ VUC の消費電力見積もり

Xilinx Power Estimator ユーザー ガイド 79UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 80: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 3 章: Xilinx Power Estimator の各シートの使用

[User] シート

このシートは最初すべて空白となっており、 自由に使用できます。 こ こには、 あらゆる種類のドキュ メン ト (テキス

ト、 画像、 ハイパーリ ンク )、 プロジェク トに関する詳細説明、 仮定条件を入力したり、 ユーザー アプリ ケーシ ョ ン

において重要な結果を収集できます。

X-Ref Target - Figure 3-21

図 3‐21: [Other] シート  (7 シリーズ デバイス)

Xilinx Power Estimator ユーザー ガイド 80UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 81: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 4章

消費電力データの交換

概要

設計中にデバイスの電源要件を判断して放熱量を予測するために、ISE Design Suite の Xilinx Power Estimator (XPE) や

XPower Analyzer (XPA)、 Vivado Design Suite の消費電力解析機能など、 異なる消費電力見積も り ツール間でのデータ

交換が可能です。 この機能の使用法とユーザー フローの詳細は、 『消費電力手法ガイ ド』 (UG786) [参照 3] を参照し

てください。

XPE から  XPower Analyzer へ設定データをエクスポート

一般的な開発プロセスでは、 まず最初に電源ソースのサイズを把握するために XPE で消費電力概算を行い、 放

熱パスを評価して FPGA システム内の異なるブロ ッ クへ電力バジェッ ト を割り当てます。 その後の開発サイク

ルでは、 XPower Analyzer を使用して、 電力と熱の目標値に対してインプ リ メンテーシ ョ ン後の消費電力解析を

実行する必要があ り ます。 このと き、 この環境データを XPA へ手動入力する代わりに、 ファ イルを XPE へエク

スポート して解析用にデータを読み込ませるこ とができます。 このプロセスでは、 すべての環境データ、 熱設

定、 および電圧設定をエクスポートするため、 XPA で現実的な消費電力概算を実行でき、 異なる 2 つのツール

間でのデータ比較も容易になり ます。

エクスポートの詳細手順は、 「XPE 結果をエクスポート 」 を参照してください。

Xilinx Power Estimator ユーザー ガイド 81UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 82: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 4 章: 消費電力データの交換

XPower Analyzer から結果をインポート

このフローは、 次の場合に便利です。

° XPower Analyzer でレポート された消費電力が要件を超えている場合は、 使用している リ ソース、 リ ソース

数、 コンフ ィギュレーシ ョ ンを調整して、 さまざまな条件を評価する必要があ り ます。 また、 コードを変

更せずに、 ロジッ ク ゲーティングやリ ソース時分割などのテクニッ クを用いて電力要件を満たす方法もあ

り ます。

° 以前のデザインで既にインプリ メン ト された IP や取得済みの IP を利用 (または再利用) する場合があ り ま

す。 これらの既存ブロ ッ クを XPE へインポートする と、 これらの リ ソース使用率や概算消費電力を簡単に

得るこ とができます。 したがって、 まだ定義していない新しいロジッ クのデータ入力に集中できます。

° チーム ベース デザイン - プロジェク ト管理者が、 個々のチームで開発されたモジュールを統合し、 リ

ソース使用率や消費電力を概算してデザイン全体の電力を定期的に確認します。

インポートの詳細手順は、 「XPE へデータをインポート 」 を参照してください。

Vivado ツールの消費電力解析機能から結果をインポート

Vivado® ツールの消費電力解析機能から Xilinx Power Estimator (XPE) にデータをインポートするために、 データ交換

機能を利用できます。 このデータ交換機能は、 Kintex UltraScale、 Virtex UltraScale、 Artix-7 (Artix-7 オートモーティブ

を含む)、 Kintex-7、 Virtex-7、 および Zynq-7000 AP SoC デバイス ファ ミ リで利用できます。

このフローは、 次の場合に便利です。

• Vivado Design Suite でレポート された消費電力が要件を超えている場合は、 使用している リ ソース、 リ ソース

数、 コンフ ィギュレーシ ョ ンを調整して、 さまざまな条件を評価する必要があ り ます。 また、 コードを変更せ

ずに、 ロジッ ク ゲーティングやリ ソース時分割などのテクニッ クを用いて電力要件を満たす方法もあ り ます。

• 以前のデザインで既にインプ リ メン ト された IP や取得済みの IP を利用 (または再利用) する場合があ り ます。

これらの既存ブロ ッ クを XPE へインポートする と、 これらの リ ソース使用率や概算消費電力を簡単に得るこ と

ができます。 したがって、 まだ定義していない新しいロジッ クのデータ入力に集中できます。

• チーム ベース デザイン - プロジェク ト管理者が、 個々のチームで開発されたモジュールを統合し、 リ ソース使

用率や消費電力を概算してデザイン全体の電力を定期的に確認します。

インポートの詳細手順は、 「XPE へデータをインポート 」 を参照してください。

データのインポートおよびエクスポート

デバイス開発サイクルの段階に応じて、 XPE はデータ入力を簡略化して出力データを管理するいくつかの方法を提

供します。 これらの機能は、 XPE のデータ インポートおよびデータ エクスポート機能を使用します。

XPE のインポート機能とエクスポート機能は、ISE® Design Suite の XPower Analyzer や Vivado Design Suite の Vivado®

消費電力解析機能との間で消費電力情報を交換する際に便利です ( 「Vivado ツールの消費電力解析機能から結果をイ

ンポート 」 参照) 。

Xilinx Power Estimator ユーザー ガイド 82UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 83: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 4 章: 消費電力データの交換

XPE データのインポート とエクスポートの手順については、 次のセクシ ョ ンを参照して ください。

• 「XPE へデータをインポート 」

• 「XPE 結果をエクスポート 」

XPE へデータをインポート

[Summary] シートで [Import] をク リ ッ クする と、 図 4-1 のよ うなダイアログ ボッ クスが表示されます。 新しいファ ミ

リのスプレッ ドシートではよ り多くのインポート機能が提供されているため、 このダイアログ ボッ クスは、 デバイ

ス アーキテクチャによって表示が多少異なり ます。

このダイアログ ボッ クスでは、 次のよ うなオプシ ョ ンを選択できます。

[Importing the Existing Xilinx Power Estimator spreadsheet (*.xls)]

このオプシ ョ ンは、既存の XPE スプレッ ドシート (.xls または .xlsm ファ イル) をインポートする場合に使用しま

す。 これは、 前回の IP ブロッ クを再利用した新規デザイン、 または最新のスプレッ ドシート バージ ョ ンにデザ

イン情報をアップデートする場合に有用です。 これによって、 現在スプレッ ドシートに入力されているデータ

はすべて削除され、 選択したスプレッ ドシートから新しいデータがインポート されます。

X-Ref Target - Figure 4-1

図 4‐1: [Import] ダイアログ ボックス (7 シリーズ デバイス)

Xilinx Power Estimator ユーザー ガイド 83UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 84: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 4 章: 消費電力データの交換

重要: インポート完了後、 それらのデータが適切であるかを検証する必要があ り ます。 たとえば、 新規アーキテク

チャにデザインを移行する場合には使用率やリ ソース数を示す欄を変更します。

[Importing the Power Estimation Results from ISE or Vivado (*.xpe)]

このオプシ ョ ンは、 完成したデザインや IP ブロッ クをインポート してデザインをさ らに解析する場合に使用し

ます。 インポートする .xpe ファ イルのソースは、 ISE Design Suite でも Vivado Design Suite でもかまいません。

° ISE Design Suite - .xpe ファ イルは、 XPower Analyzer または xpwr コマンドの -xpe オプシ ョ ンによって生成

されます。 この相互運用可能なファイルの生成方法については、 XPower Analyzer のヘルプか、 または 『コ

マンド ライン ツール ユーザー ガイ ド』 (UG628) [参照 4] にある xpwr コマンド ラインの -xpe オプシ ョ ン

の説明を参照してください。

° Vivado Design Suite - .xpe ファ イルは、 消費電力レポート ツールまたは report_power Tcl コマンドの

-xpe オプシ ョ ンによって生成されます。 この相互運用可能なファイルの生成方法については、 『Vivado

Design Suite ユーザー ガイ ド : 消費電力解析および最適化』 (UG907) [参照 2] を参照してください。

設計中にデバイスの電源要件を判断して放熱量を予測するために、 2 種類の消費電力概算ツール、 すなわち

Xilinx Power Estimator (XPE) と (ISE Design Suite に含まれる) XPower Analyzer (XPA) 間でのデータ交換が可能です。

この機能の使用法とユーザー フローの詳細は、 『消費電力手法ガイ ド』 (UG786) [Ref 3] を参照してください。 この

データ交換機能は、 Spartan-6、 Virtex-6、 Artix-7、 Kintex-7、 および Virtex-7 デバイス ファ ミ リで利用できます。

このフローの利点および使用モデルについては、 「Vivado ツールの消費電力解析機能から結果をインポート 」 で

説明しています。

このデータをスプレッ ドシートへインポートする手順は次のとおりです。

a. XPE スプレッ ドシートの [Summary] シートで [Import File] をク リ ッ ク します。

b. [Import] ダイアログ ボッ クスで、 インポートする .xpe ファ イルを参照して選択します。

c. (オプシ ョ ン、 7 シ リーズ デバイスおよび Zynq-7000 AP SoC のみ) ダイアログ ボッ クスの [Design Data] セク

シ ョ ンで、 スプレッ ドシートに以前入力したデータのいずれかにインポート したデータを上書きするか、

または既存の結果に追加するかのいずれかを選択できます。

d. (オプシ ョ ン、7 シ リーズ デバイスおよび Zynq-7000 AP SoC のみ) ダイアログ ボッ クスの [Advanced Options]

セクシ ョ ンでは、 インポートするデータ ([Device Settings]、 [Environment Settings]、 [Voltage Settings]、 [I/O

Data]、 [Activity Rates]) を指定できます。

注記: Vivado Design Suite から XPE ファ イルをインポートする場合、 [Summary] タブの [Implementation] の

[Optimization] の値は、 7 シ リーズ デバイスの場合は常に [Default]、 UltraScale デバイスの場合は常に [None] に設

定されます。 Vivado 消費電力レポート ツールで生成されるデータは、 Vivado Design Suite 設計フローによってす

でに消費電力の最適化が適用されている と見なされるため、 XPE はインポート データにいかなるデフォルトの

最適化も適用しません。

ヒン ト : Vivado Design Suite から .xpe ファ イルをインポートする と、 インポート されたデータは、 [Logic] シート、

[BRAM] シート、 および [DSP] シートに階層的に表示されます。 図 4-2 の [Logic] シートの [Name] 列には、 親モ

ジュールの行に続いて、 (親モジュール内のモジュールを示す) 字下げされた名前の行が表示されます。

Xilinx Power Estimator ユーザー ガイド 84UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 85: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 4 章: 消費電力データの交換

[Importing Implementation Results from ISE Map Report (*.mrp)]

ISE Design Suite でデザインの一部がインプリ メン ト済みの場合には、 [Import File] をク リ ッ ク して、 ファ イルの

種類に Map Report (*.mrp) を選択します。 これによって、 配置後のデザインの Map レポートから正確な リ ソース

数をインポート して、 よ り正確な消費電力概算が可能になり ます。 これによ り、 今後追加予定のロジッ クに関

する詳細情報を入力して合計の電源分配が評価できます。 また、 一部のデザインがインプリ メン ト済みで、 そ

の他の部分のデザインがまだ完成していない場合にも、 このフローを使用します。

注記: このプロセスは、 すべてのリ ソース使用率データを上書きしますが、 環境設定はそのまま保持します。

ヒン ト : インポート後、 使用リ ソースは最小数の行に分類されています。 マップ レポートには、 さまざまなブロ ッ ク

の使用数しか含まれていないため、 XPE の各シートでデザインに応じたビッ ト幅、 データ レート、 ク ロ ッ ク、 モー

ド、 イネーブル、 およびその他のコンフ ィギュレーシ ョ ンを設定する必要があ り ます。

ヒン ト : [I/O] シート と [BRAM] シートの設定は、 各コンフ ィギュレーシ ョ ンに基づきます。 I/O はバスごとにグルー

プ化されており、 同じコンフ ィギュレーシ ョ ンのすべての BRAM は 1 行に表示されます。 ク ロ ッ ク ド メ イン、 モ

ジュール、 その他の機能ごとにグループ分けする場合は、 行を追加して リ ソース数を調整する必要があ り ます。

X-Ref Target - Figure 4-2

図 4‐2: インポート されたデータの階層表示 (7 シリーズ デバイス)

Xilinx Power Estimator ユーザー ガイド 85UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 86: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 4 章: 消費電力データの交換

XPE 結果をエクスポート

[Summary] シートで [Export File] をク リ ッ クする と、 図 4-3 のよ うなダイアログ ボッ クスが表示されます。

このダイアログ ボッ クスの [ファ イルの種類] では、 次のファイル形式を選択できます。

XPA Settings File (*.xpa) ファイルとしてエクスポート

この形式を使用して XPE 設定をエクスポートする と、 XPower Analyzer セッシ ョ ンに反映させるこ とができま

す。 このツールは通常、 配置配線後の消費電力解析を実行できる段階になった、 設計サイ クルの後半で使用さ

れます。 作成された XPA ファ イルには、 すべての環境設定 (温度、 ボード、 電圧プロパティなど) が含まれま

す。 これによって、 XPower Analyzer での解析設定が簡潔になり、 電力データを 2 つのツールで比較できます。

注記: XPower Analyzer で XPE からエクスポート したデータを表示する場合は、 XPower Analyzer でデザインを開

いたと き ([File] → [Open Design]) に表示されるダイアログ ボッ クスで [Settings file] (*.xpa) を選択してくださ

い。

注記: ISE Design Suite 内でデザインの消費電力解析を実行する XPower (XPWR) コマンド ライン ツールで、 XPE

からエクスポート したデータを表示するには、 -x <file_name> スイ ッチを使用してください。

Text Power Report (*.pwr) と してエクスポート

この形式を使用する と、 XPE の [Summary] シート結果がテキス ト形式でエクスポート されます。 XPE は、

[Summary] シートにあるすべての情報を把握しやすいよ うに表形式で保存します。 この機能を使用して、 複数の

X-Ref Target - Figure 4-3

図 4‐3: Export ダイアログ ボックス

Xilinx Power Estimator ユーザー ガイド 86UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 87: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 4 章: 消費電力データの交換

解析結果をアーカイブあるいは比較できます。 また、 ユーザーのデザイン フローでスク リプ ト を使用して構文

を解析する場合や、 XPE の結果を使用する場合にも有用です。

XPE Exchange (*.xpe) ファイルとしてエクスポート

この形式を使用する と、 XPE スプレッ ドシートの内容が小さなサイズのファイルでエクスポート され、 ほかの

スプレッ ドシートにインポート される と きに復元されます。

Xilinx Power Estimator ユーザー ガイド 87UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 88: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 5章

XPE の自動化

概要

データ入力やデータ エクスポートの単純化およびデータ操作のサポート と して、 Microsoft Excel には、 作業効率を

向上させたり、 消費電力概算および解析をスムーズに行う こ とができるよ うにするさまざまな機能があ り ます。 こ

のセクシ ョ ンでは、 参考となる機能や例を挙げ、 Excel の自動機能や最も一般的な外部スク リプ ト言語を使用したイ

ンターフェイスについて説明します。

名前付きセルの使用

Excel には、 セルあるいはセル範囲に名前を付ける機能があるため、 セルの XY 軸を指定せずに、 数式やスク リプ ト

内でそれらの名前を使用できます。 XPE スプレッ ドシートは保護されているため、 保護エリ アでは定義された名前

付きセルは参照できませんが、 未保護エリ ア ([User] シート ) ではセルの名前を定義できます。 次の表および例では、

数式やスク リプ ト記述に役立つ XPE の名前付きセルを示します。

利用可能なリソース数の取得

次の名前付きセルは、 あるデバイス とパッケージで利用可能な最大リ ソース数を示しています。 これらのセルは、

スプレッ ドシートには表示されませんが、 計算時には読み出し専用の値と して使用できます。

表 5‐1: リソース数 ‐ 名前付きセル

リソース 名前付きセル 説明

LUT NUM_LUTS すべての LUT

NUM_LUTRAM シフ ト レジスタおよび分散メモ リ LUT

レジスタ NUM_FFS

DSP ブロ ッ ク NUM_DSPS

ブロ ッ ク RAM NUM_BRAMS

PLL NUM_PLLS

MMCM NUM_MMCMS

DCM NUM_DCMS

Xilinx Power Estimator ユーザー ガイド 88UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 89: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 5 章: XPE の自動化

例:

デバイス、 パッケージ、 または冷却パラ メーターを変更する際にデバイスのリ ソース使用率を素早く設定してその

影響を検証する数式を次に示します。

デバイス動作制限の取得

次の名前付きセルは、 デバイス、 パッケージ、 スピード グレード、 および温度グレードの動作制限を示します。 こ

れらのセルは、 スプレッ ドシートには表示されませんが、 計算時には読み出し専用の値と して使用できます。

例:

異なる温度やデバイス /パッケージの組み合わせを評価する際にデバイスの最大許容ジャンクシ ョ ン温度を適用する

ために、 [Summary] シートのユーザー [Junction Temperature] セルに入力する式を次に示します。

= TJ_MAX

サマリ情報の取得および変更

[Summary] シートのセルやその他のシートの上部にある表のセルの多くには名前が付けられています。 これらの名前

を Excel で見つけるには、 セルを選択し、 そのセルに名前が付いている と数式バーの 「名前ボッ クス」 に表示されま

す。 以降では、 [Summary] シートで最も使用されるセルをいくつか説明しています。

ト ランシーバー NUM_GTPS 最低速ブロッ ク

NUM_GTS 低速ブロッ ク

NUM_GTHS 高速ブロッ ク

NUM_GTZS 最高速ブロッ ク

= INT(NUM_LUTS * 0.75) LUT の総使用率をデバイス容量の 75% に設定 ([Logic] シー

トに入力する場合)

= INT(NUM_DSPS * 0.90) DSP ブロ ッ ク使用率をデバイス容量の 90% に設定 ([DSP]

シートに入力する場合)

表 5‐1: リソース数 ‐ 名前付きセル (続き)

リソース 名前付きセル 説明

表 5‐2:動作制限 ‐ 名前付きセル

リソース 名前付きセル 説明

温度 TJ_MAX 最大の動作ジャンクシ ョ ン温度 (℃)

TJ_MIN 最小の動作ジャンクシ ョ ン温度 (℃)

電圧 VCC_MAX 最大の動作 VCCINT 電圧 (V)

VCC_MIN 最小の動作 VCCINT 電圧 (V)

ト ランシーバー GTP_MAXRATE 最低速ブロッ クの最大データ レート (Gb/s)

GTX_MAXRATE 低速ブロッ クの最大データ レート (Gb/s)

GTH_MAXRATE 高速ブロッ クの最大データ レート (Gb/s)

GTZ_MAXRATE 最高速ブロッ クの最大データ レート (Gb/s)

Xilinx Power Estimator ユーザー ガイド 89UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 90: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 5 章: XPE の自動化

表 5‐3: [Summary] パネル ‐ 名前付きセル (図 1‐7 参照)

名前付きセル 説明

JUNCTION_TEMP 予測または指定されたジャンクシ ョ ン温度 (℃)

THERMAL_MARGIN_C デバイス温度グレードに対する温度マージン (℃)

TJA 予測または指定された Effective QJA (℃/W)

TOTAL_POWER オンチップの総電力 (W)

THERMAL_MARGIN_W 温度グレードに対する電力マージン (W)

OFFCHIP_POWER オフチップ デバイスに供給される総電力 (W)

表 5‐4: [On‐Chip Power] パネル ‐ 名前付きセル (図 1‐6 参照)

名前付きセル 説明

CLOCK_POWER ク ロ ッ ク ツ リーの電力 (W)

LOGIC_POWER CLB ロジッ クの電力 (W)

BRAM_POWER ブロ ッ ク RAM の電力

DSP_POWER DSP ブロ ッ クの電力 (W)

PLL_POWER PLL ブロ ッ クの電力 (W)

MMCM_POWER MMCM ブロ ッ クの電力 (W)

PHASER_POWER PHASER ブロ ッ クの電力 (W)

PCIE_POWER PCIE ブロ ッ クの電力 (W)

IO_POWER SelectIO ブロ ッ クの電力 (W)

GTP_POWER 最低速ト ランシーバー ブロ ッ クの電力 (W)

GTX_POWER 低速ト ランシーバー ブロ ッ クの電力 (W)

GTH_POWER 高速ト ランシーバー ブロ ッ クの電力 (W)

GTZ_POWER 最高速ト ランシーバー ブロ ッ クの電力 (W)

STATIC_POWER デバイスのスタティ ッ ク消費電力 (W)

PS_POWER Zynq-7000 AP SoC プロセッシング システム (PS) の電力 (W)

PS_STATIC Zynq-7000 AP SoC PS デバイスのスタティ ッ ク消費電力 (W)

PL_STATIC Zynq-7000 AP SoC プログラマブル ロジッ ク (PL) デバイスのスタティ ッ ク

消費電力 (W)

表 5‐5: [Power Supply] パネル ‐ 名前付きセル

名前付きセル 説明

VCCINT VCCINT のコア電圧レベル (V)

VCCBRAM VCCBRAM の電圧レベル (V)

VCCAUX VCCAUX の電圧レベル (V)

VCCAUX_IO VCCAUX_IO の電圧レベル (V)

VCCO33 VCCO 3.3V の電圧レベル (V)

Xilinx Power Estimator ユーザー ガイド 90UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 91: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 5 章: XPE の自動化

VCCO25 VCCO 2.5V の電圧レベル (V)

VCCO18 VCCO 1.8V の電圧レベル (V)

VCCO15 VCCO 1.5V の電圧レベル (V)

VCCO135 VCCO 1.35V の電圧レベル (V)

VCCO12 VCCO 1.2V の電圧レベル (V)

表 5‐6: [Environment] の表 ‐ 名前付きセル

名前付きセル 説明

AMBIENT_TEMP 周辺温度 (℃)

BOARD_TEMP ボード温度 (℃)

CUSTOMTSA ユーザー指定の θSA 熱抵抗 (℃/W)

CUSTOMTJB ユーザー指定の θJB 熱抵抗 (℃/W)

表 5‐7: その他の名前付きセル

名前付きセル 説明

PROJECT スプレッ ドシートに関するユーザー説明

VERSION スプレッ ドシートのバージ ョ ン

RELEASE_DATE スプレッ ドシートの リ リース日

表 5‐5: [Power Supply] パネル ‐ 名前付きセル

名前付きセル 説明

Xilinx Power Estimator ユーザー ガイド 91UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 92: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 5 章: XPE の自動化

数式の使用

次の例で説明する Excel の数式を使用する と、 データ入力、 スプレッ ドシートのパラ メーター入力、 カスタマー レ

ポート作成が容易になり ます。

例 1: 付属するすべての同期ロードのクロ ッ ク周波数を 1 箇所で設定します。

通常、 1 つのクロ ッ ク ネッ トはさまざまな種類のリ ソースを通過します。 各シートでクロ ッ ク周波数を入力する代

わりに、 次に示す数式を リ ソース シートで使用する と、 [Clock] シートでクロ ッ ク周波数を一度定義するだけで設定

が完了します。 このクロ ッ ク周波数を変更する と、 すべての関連リ ソースシートへ即座に反映されます。

=CLOCK!E19

例 2: 1 つのクロ ッ クで駆動される異なるロードのファンアウ ト合計数を計算します。

[Clock] シートでは、 次のよ うな数式が役に立つ場合があ り ます。

=SUM(LOGIC!G12:I12,BRAM!E10,DSP!E8)=SUM(IO!I19:K19)

例 3: PCIe インターフェイス スピードに対する GTX データ レートおよびレーン数を選択します。 GTX ライン レー

トに対して次の数式とチャネル数を入力して、 PCIe インターフェイスを ト ラ ッキングします。

• PCIe ブロ ッ クのコンフ ィギュレーシ ョ ンに基づいてチャネル データ レート を設定 ([GTX] シートに入力する場

合)

=IF(PCIE!E8="GEN3",8,IF(PCIE!E8="GEN2",5,2.5))

• PCIe レーン数を反映させるために GTX チャネル数を設定 ([GTX] シートに入力する場合)

=PCIE!G8

例 4: [User] シートで数式を利用して、 スプレッ ドシートへの入力をパラ メーター指定します。 図 5-1 に、 デザイン内

の 1 つのモジュールに対する複製が存在する場合の消費電力評価方法を示しています。 インスタンス数、 ベース ブ

ロ ッ クの リ ソース数、 またはクロ ッ ク周波数を変更するこ とによって、 Excel がほかのシートに入力すべき値を自動

的に再計算します。図 5-1 では、 [User] シートの Number instance (num_inst) の値が [Logic] シートに表示されるセルの

使用率とアクティビティを自動計算します。

Xilinx Power Estimator ユーザー ガイド 92UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 93: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 5 章: XPE の自動化

Visual Basic マクロの利用

次は、 自動化フローをサポートするザイ リ ンクスの 7 シ リーズ FPGA の XPE スプレッ ドシートで定義されている、

Visual Basic ファンクシ ョ ンの例です。 これらは、 Excel シートやその他のプログラムから、 ファ イルのロード、 消費

電力レポートの作成、 およびデバイス /パッケージ/環境の設定変更を実行する際に有効です。

• テキス ト形式の消費電力レポート を作成し、 引数で指定した名前で保存します。

Public Sub GeneratePowerReportFile(FileName As String)

• 設定ファイルを作成し、 引数で指定した名前で保存します。 このファイルは、 後に XPower Analyzer で使用でき

ます。

Public Sub GenerateXPAFile(FileName As String)

• XPE ファ イルを作成し、 引数で指定した名前で保存します。 このファイルは、 後で XPE の現在の設定を復元す

るのに使用できます。

Public Sub GenerateXPEFile(FileName As String)

• 既存の XPE スプレッ ドシート (引数で指定した .xls* パス /ファ イル) をインポート します。

Public Sub ImportXPEFile(path As String)

X-Ref Target - Figure 5-1

図 5‐1: [User] シートで数式を利用してデータ入力をパラメーター指定

Xilinx Power Estimator ユーザー ガイド 93UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 94: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 5 章: XPE の自動化

• 配置配線マップ レポート をインポート します (引数で指定した .mrp パス /ファ イル)

Public Sub ImportMapReportFile(FileName As String)

• インプ リ メンテーシ ョ ン結果を .xpe 形式でインポート します。 インポート ダイアログ ボッ クス オプシ ョ ンを

再考して、 異なる引数の詳細および形式を確認します。

Public Sub ImportXmlFile(FileName As String, Append As Boolean,DevSettings As Boolean, EnvSettings As Boolean, VoltSettings As Boolean, IOSettings As Boolean)

• 現在読み込まれている消費電力情報のスナップシ ョ ッ ト を と るか、 またはほかの XPE スプレッ ドシートのス

ナップシ ョ ッ ト をロード します。

Public Sub TakeSnapshot( FileName As String)

用途: FileName と して空の文字列を渡してアクティブなワークブッ クのスナップシ ョ ッ ト をと るか、 またはス

ナップシ ョ ッ ト と してインポート されるほかのワークブッ クのファイル名を渡します。

例:

TakeSnapshot("")

• デバイス情報を設定し、 デバイスが有効であるかど うか確認します。 有効なデバイスの場合は True を返し、 有

効でない場合は False を返します。

Public Function SetDeviceInfo(Device As String, Package As String, TempGrade As String, SpeedGrade As String) As Boolean

例:

SetDeviceInfo("XC7K325T", "FBG900", "Industrial", "-1")

• ResourceName と して渡される定義済みの名前によって、 特定のリ ソースのリ ソース使用率 (%) を読み出します。

Public Function GetUtilization(ResourceName As String) As Double

ResourceName の値の例: CLOCK_GLOBAL、 CLOCK_REGIONAL、 LOGIC_LUTS、 IO_TOTAL、 IO_HP、BRAM_RAMB18

例:

my $util = $Book->GetUtilization("BRAM_RAMB18");

[BRAM] シートの RAMB18 の使用率の値 (たとえば、 75% の場合は 75) を返します。

• すべての電源電圧のデフォルト値を設定します。 公称電圧の場合は引数を False に設定し、 最大電圧レベルの場

合は True に設定します。

Public Sub SetDefaultVoltages(Maximum As Boolean)

• [Summary] シートの [Device] 欄を設定します。 このと き、 必要に応じて [Family] 欄が自動的に変更されます。

Public Function SetDevice(Device As String) As Boolean

• [Summary] シートの [Package] 欄を設定します。

Public Function SetPackage(Package As String) As Boolean

• [Summary] シートの [Process] 欄を設定します。 標準プロセスの場合は、 引数を False に設定し、 最大プロセスの

場合は True に設定します。

Xilinx Power Estimator ユーザー ガイド 94UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 95: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 5 章: XPE の自動化

Public Sub SetProcess (Maximum As Boolean)

• [Summary] シートの [Temp Grade] 欄を設定します。 選択肢には、 「Commercial」、 「Industrial」、 「Q-Grade」、

「Extended」 などがあ り ます。

Public Function SetTemperatureGrade (Grade as String) as Boolean

• [Summary] シートの [Speed Grade] 欄を設定します。 選択肢には、 「-1」、 「-1L」 などがあ り ます。

Public Function SetSpeedGrade (Grade as String) as Boolean

• [Summary] シートの [Heat Sink] 欄を設定します。 選択肢には、 「Custom」、 「None」、 「Low Profile」 があ り ます。

Public Function SetHeatSink (Sink as String) as Boolean

• [Summary] シートの [Board Selection] 欄を設定します。 選択肢には、 「Custom」、 「JEDEC」、 「Small」、 「Medium」、

「Large」 があ り ます。

Public Function SetBoard (BoardSize as String, BoardLayers as Integer) as Boolean

• [Junction Temperature] を [User Override] にして値を設定します。

Public Function SetJunctionTemperature(Temperature As Double, OverRide As Boolean) As Boolean

• [Effective ΘJA] を [User Override] にして値を設定します。

Public Function SetEffectiveThetaJA(ThetaJA As Double, OverRide As Boolean) As Boolean

XPE へのスクリプ ト記述

前の段落で説明した Microsoft Excel 機能へは、 COM インターフェイスを介してあらゆるフレームワークからアクセ

スできます。 この COM (Component Object Model) はソフ ト ウェア用のバイナリ インターフェイス規格であ り、 さま

ざまなプログラ ミ ング言語 (Visual Basic、 Perl、 Java など) でプロセス間の通信が可能になり ます。 次の例では、 XPE

環境パラ メーターの設定、 計算の実行、 異なる言語での結果の読み出しまたはエクスポート方法を示します。

Visual Basic スクリプ トの例

この例では、 Visual Basic スク リプ ト言語を使用して XPE を開き、 テキス ト形式の消費電力レポートへ結果をエクス

ポート します。

Dim XPE As WorkbookXPEfilename = "C:\\Power\\7_Series_XPE_13_1.xls"

On Error Resume NextSet XPE = Workbooks(XPEfilename) ' Opening XPE On Error GoTo 0 If (XPE Is Nothing) Then Set XPE = Application.Workbooks.Open(XPEfilename, UpdateLinks:=vbFalse, ReadOnly:=vbTrue) If XPE Is Nothing Then ' Open failed MsgBox ("XPE Open Failed:" & XPEfilename & "Err=" & Err) Exit Function

Xilinx Power Estimator ユーザー ガイド 95UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 96: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 5 章: XPE の自動化

End If End If' Set Vccint voltageXPE.Sheets("Summary").Range("VCCINT").Value = myVccintTotalPower = XPE.Sheets("Summary").Range("TOTAL_POWER").Value' Export XPE results into a text power report XPESub = "'" & XPE.Name & "'!"& "ThisWorkBook.GeneratePowerReportFile"Application.Run(XPESub, FileName)

Perl スクリプ トの例

この例では、 Perl スク リプ ト言語を使用して XPE を開き、 テキス ト形式の消費電力レポートへ結果をエクスポート

します。

use Win32::OLE;use Win32::OLE::Const 'Microsoft Excel';

my $myXPEfilename = "C:\\Power\\7_Series_XPE_13_1.xls";

# Opening XPEmy $Excel = Win32::OLE->GetActiveObject('Excel.Application') || Win32::OLE->new('Excel.Application', 'Quit'); my $Book = $Excel->Workbooks->Open($myXPEfilename);# Export XPE results into a text power report$Excel->Run("ThisWorkBook.GeneratePowerReportFile", "$path/${design}.pwr");

Xilinx Power Estimator ユーザー ガイド 96UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 97: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 6章

スナップショ ッ トおよびグラフ シートの使用

[Snapshot] シートの使用

[Snapshot] シートでは、 異なる条件やデザイン サイ クル段階で、 デザインの消費電力ステータスのスナップシ ョ ッ ト

を取るこ とができます。 それぞれのスナップシ ョ ッ トには、 デバイスの製品番号、 環境に関する情報、 デザインの

消費電力、 そしてデザインで使用される各電源の電圧と電流が表示されます。 [Snapshot] シート を使用して、 さまざ

まな条件下の消費電力とデザイン サイクルの異なる段階で計算された消費電力を比較できます。

Xilinx Power Estimator ユーザー ガイド 97UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 98: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 6 章: スナップシ ョ ッ トおよびグラフ  シートの使用

スナップシ ョ ッ トには次の情報が表示されます。

• 当該 XPE スプレッ ドシートの特定時の消費電力情報。 スナップシ ョ ッ トの作成時、 この情報はすべてこのスプ

レッ ドシートの [Summary] シートから [Snapshot] シートへコピーされます。

• 別の XPE スプレッ ドシートの特定時の消費電力情報。 スナップシ ョ ッ トの作成時、 この情報はすべて別のスプ

レッ ドシートの [Summary] シートから [Snapshot] シートへコピーされます。

• ISE ツールでインプリ メン ト されたデザインの特定時点での消費電力情報。 スナップシ ョ ッ トの作成時、 電力情

報は、 ISE の消費電力レポート (Power Report) から [Snapshot] シートへインポート されます。

[Snapshot] シート を使用するこ とで、 デバイスまたはそのデバイス動作が予測される環境の条件を変更し、 その消費

電力への影響を確認できます。 ISE ツールによるデザインのインプリ メン ト時に算出された消費電力のスナップ

シ ョ ッ ト を作成するこ と も可能で、 インプリ メン ト前後に計算されたデザインの消費電力を比較できます。

X-Ref Target - Figure 6-1

図 6‐1: [Snapshot] シート  (7 シリーズ デバイス)

Xilinx Power Estimator ユーザー ガイド 98UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 99: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 6 章: スナップシ ョ ッ トおよびグラフ  シートの使用

スナップシ ョ ッ トによって、 次を確認できます。

• 異なるザイ リ ンクスのアーキテクチャでデザインをインプリ メン ト した場合、 消費電力はどのよ うに変化するか。

Kintex デバイスと Artix デバイスで同じデザインをインプリ メン ト した場合の消費電力の違いはどのく らいか

• デザインの消費電力がジャンクシ ョ ン温度の関数と してどのよ うに変化するか

• デザインの GT の消費電力がデバイス タイプの関数と してどのよ うに変化するか

• 電力最適化を適用するこ とで、 または -2L (低消費電力) や 0.9V デバイスを選択するこ とで、 消費電力がどのく

らい削減できるか

• 標準動作条件と最大動作条件で、 消費電力および温度がどのよ うに変化するか

• 電力、 機能、 およびパフォーマンスの観点でデザイン変更するこ とで、 消費電力がどのよ うに異なるか

• Xilinx Power Estimator (XPE)、 XPower Analyzer (XPA)、 および Vivado 消費電力レポート ツールで、 消費電力の概

算値がどのよ うに変化するか

• ク ロ ッ ク周波数の変更に伴って消費電力がどのよ うに変化するか

• 設計前の概算が、 設計後の結果およびインポート された設計データ とどのよ うに比較されたか

[Snapshot] シートは次の 4 つの表で構成されています。

• [Settings] - 次の情報が表示されます。

° スナップシ ョ ッ トの名前 (表の一番上の行)

° スナップシ ョ ッ ト データを作成したソースおよびそのバージ ョ ン (たとえば、 インポート されたスナップ

シ ョ ッ トでは ISE 13.4)

° スナップシ ョ ッ トが作成された日時

° XPE またはインポート したソースのファイル名

° 電力値の計算対象となった [Part] (デバイス、 パッケージ、 およびスピード グレード )

° スナップシ ョ ッ ト作成時に指定されている、 デバイス動作の想定 [Ambient Temperature] の値

° スナップシ ョ ッ ト作成時に指定されている [Process] ([Typical] または [Maximum])。 [Process] の設定には、 製

造過程における消費電力も考慮されます。

° スナップシ ョ ッ ト作成時に指定されている [Implementation] ([Default] または [Power Optimization])。 この設定

を ISE Design Suite または Vivado Design Suite の合成およびインプリ メンテーシ ョ ン ツールに適用して、 デ

ザインのインプ リ メン ト時にさまざまな目的に合わせて消費電力を最小限に抑えるこ とができます。

• [Summary] - 次の情報が表示されます。

° [Total On-Chip Power] - 各スナップシ ョ ッ トのデバイスで消費される総電力量。 これには、 デバイスのスタ

ティ ッ ク消費電力、 およびデザインのスタティ ッ ク消費電力とダイナミ ッ ク消費電力が含まれます。

° スナップシ ョ ッ ト作成時に指定されている、 デバイス動作の想定 [Junction Temperature] および [Effective QJA]

• [On-Chip Power] - リ ソース タイプ別のデバイスで消費される総電力量。

場合によっては、 1 行に複数のリ ソースが含まれるこ とがあ り ます。 たとえば、 [Clocking] の行にはクロ ッ ク

ネッ トのほかに、 PLL や MMCM などのクロ ッ ク マネージャーに関する消費電力も含まれるこ とがあ り ます。

また、 [Transceiver] の行には、 マルチギガビッ ト ト ランシーバー (MGT) とハード IP ブロッ クに関連する消費電

力が表示される場合があ り ます。

Xilinx Power Estimator ユーザー ガイド 99UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 100: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 6 章: スナップシ ョ ッ トおよびグラフ  シートの使用

• [Supply Summary] - 各種電源の電圧および電流の予測値。 このパネルの値は、 内部ロジッ クで必要なすべての電

力、 および外部のボード終端などのザイ リ ンクス デバイス外部で消費される電力が含まれます。 また、 スタ

ティ ッ ク消費電力とダイナミ ッ ク消費電力の両方が含まれます。

現在のスプレッ ドシートのスナップシ ョ ッ ト を追加

現在の XPE スプレッ ドシートのスナップシ ョ ッ ト を [Snapshot] シートに追加するには、 次の手順に従ってください。

1. [Snapshot] シートの [Snapshot] をク リ ッ ク します。

現在の XPE スプレッ ドシートのスナップシ ョ ッ トがシートの表の一番右側の列に表示されます。

2. 必要に応じて、 表の一番上の行に表示されるスナップシ ョ ッ トの名前を変更します。

スナップシ ョ ッ ト をインポート

別のスプレッ ドシートで計算された消費電力量、あるいは ISE Design Suite または Vivado Design Suite でデザインをイ

ンプ リ メン トする と きに算出された消費電力量が含まれるスナップシ ョ ッ ト をインポートできます。

注記:消費電力情報を [Snapshot] シートにインポートする場合、インポート されたデータに示される FPGA または AP

SoC は、 現在の XPE スプレッ ドシートで指定されているデバイス と一致する必要があ り ません。

スナップシ ョ ッ ト を [Snapshot] シートにインポートするには、 次の手順に従ってください。

1. [Snapshot] シートの上部にある [Import] をク リ ッ ク します。

現在の XPE スプレッ ドシートのスナップシ ョ ッ トがシートの表の一番右側の列に表示されます。

2. [Select XPE File to Import] ダイアログ ボッ クスが表示されるので、 [ファ イルの種類] から次のいずれかを選択し

ます。

° [XPE Workbook (*.xls*)]: 別の XPE スプレッ ドシートからデータをインポートする場合に選択します。

° [Power Report (*.pwr)]: ISE Design Suite または Vivado Design Suite で生成された消費電力レポート (Power

Report) からデータをインポートする場合に選択します。

3. インポートするファ イルを参照し、 [Open] をク リ ッ ク します。

スナップシ ョ ッ トが [Snapshot] シートの表の一番右側の列に表示されます。 必要に応じて、 表の一番上の行に表示さ

れるスナップシ ョ ッ トの名前を変更します。

[Snapshot] シートからスナップシ ョ ッ ト を削除

スナップシ ョ ッ ト 1 つを削除するには、 該当するスナップシ ョ ッ トの上部にある赤色の 「X」 をク リ ッ ク します。

[Snapshot] シートのすべてのスナップシ ョ ッ ト を削除するには、 シートの上部にある [Clear All] をク リ ッ ク します。

Xilinx Power Estimator ユーザー ガイド 100UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 101: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 6 章: スナップシ ョ ッ トおよびグラフ  シートの使用

グラフ  シートの使用

次の消費電力グラフでは、 ユーザー デザインの消費電力概算値を示します。

[On‐Chip Power by Function]

このグラフでは、 機能ブロ ッ クごとのさまざまな消費電力を示します。

[On‐Chip Power over Vccint] 

このグラフでは、 Vccint (コア電圧) に対する消費電力の変化を示します。

X-Ref Target - Figure 6-2

図 6‐2:機能ブロックごとのオンチップ消費電力を示すグラフ

X-Ref Target - Figure 6-3

図 6‐3: Vccint に対するオンチップ消費電力を示すグラフ

Xilinx Power Estimator ユーザー ガイド 101UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 102: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 6 章: スナップシ ョ ッ トおよびグラフ  シートの使用

[Static Current by Supply]

このグラフでは、 電源レールごとのさまざまな電流値を示します。

[On‐Chip Typical vs Maximum Power]

このグラフでは、 PVT (プロセス、 電圧、 温度) の変化による消費電力を示します。

[On‐Chip Power]

このグラフでは、 ジャンクシ ョ ン温度に対する消費電力の詳細 (スタティ ッ ク消費電力と最大消費電力) を示します。

X-Ref Target - Figure 6-4

図 6‐4:電源レールごとのスタテ ィ ック電流を示すグラフ

X-Ref Target - Figure 6-5

図 6‐5: オンチップの標準および最大消費電力を示すグラフ

Xilinx Power Estimator ユーザー ガイド 102UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 103: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

第 6 章: スナップシ ョ ッ トおよびグラフ  シートの使用

X-Ref Target - Figure 6-6

図 6‐6: ジャンクシ ョ ン温度に対するオンチップ消費電力の変化を示すグラフ

Xilinx Power Estimator ユーザー ガイド 103UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 104: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

付録 A

その他のリソースおよび法的通知

ザイリンクス リソース

アンサー、 資料、 ダウンロード、 フォーラムなどのサポート リ ソースは、 ザイ リ ンクス サポート サイ ト を参照して

ください。

ソリューシ ョ ン  センター

デバイス、 ツール、 IP のサポートについては、 ザイ リ ンクス ソ リ ューシ ョ ン センターを参照して ください。 デザイ

ン アシスタン ト 、 デザイン アドバイザリ、 ト ラブルシュートのヒ ン ト などが含まれます。

Xilinx Documentation Navigator とデザイン  ハブ

Xilinx Documentation Navigator では、 ザイ リ ンクスの資料、 ビデオ、 サポート リ ソースへアクセスでき、 特定の情報

を取得するためにフ ィルター機能や検索機能を利用できます。 Xilinx Documentation Navigator (DocNav) を開く方法:

• Vivado IDE から [Help] → [Documentation and Tutorials] を選択します。

• Windows の場合は、 [スタート ] → [すべてのプログラム] → [Xilinx Design Tools] → [DocNav] を選択します。

• Linux の場合は、 コマンド プロンプ トに 「docnav」 と入力します。

ザイ リ ンクスのデザイン ハブでは、 特定のデザイン タスクに関する資料やその他の情報へのリンクが提供されている

ため、 ユーザーは重要な概念を学んだり、 よ くある問題 (FAQ) を参考にできます。 デザイン ハブへのアクセス方法:

• Xilinx Documentation Navigator で [Design Hubs View] タブをク リ ッ ク します。

• ザイ リ ンクスのウェブサイ トで、 デザイン ハブ ページを参照します。

注記: Xilinx Documentation Navigator の詳細は、ザイ リ ンクス ウェブサイ トの Documentation Navigator ページを参照し

てください。

Xilinx Power Estimator ユーザー ガイド 104UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 105: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

付録 A: その他のリソースおよび法的通知

参考資料

注記:日本語版のバージ ョ ンは、 英語版よ り古い場合があ り ます。

1. XPower Analyzer ヘルプ

2. 『Vivado Design Suite ユーザー ガイ ド : 消費電力解析および最適化』 (UG907: 英語版、 日本語版)

3. 『消費電力手法ガイ ド』 (UG786) - ISE ツール用

4. 『コマンド ライン ツール ユーザー ガイ ド』 (UG628) - ISE ツール用

5. 『7 シ リーズ FPGA コンフ ィギャラブル ロジッ ク ブロ ッ ク ユーザー ガイ ド』 (UG474: 英語版、 日本語版)

6. 『7 シ リーズ FPGA メモ リ リ ソース ユーザー ガイ ド』 (UG473: 英語版、 日本語版)

7. 『7 シ リーズ FPGA パッケージおよびピン配置ユーザー ガイ ド』 (UG475: 英語版、 日本語版)

8. 『7 シ リーズ FPGA GTX/GTH ト ランシーバー ユーザー ガイ ド』 (UG476: 英語版、 日本語版)

9. 『7 シ リーズ FPGA GTP ト ランシーバー ユーザー ガイ ド』 (UG482: 英語版、 日本語版)

10. 『Zynq-7000 AP SoC および 7 シ リーズ デバイス メモ リ インターフェイス ソ リ ューシ ョ ン ユーザー ガイ ド』 (UG586: 英語版、 日本語版)

11. 『UltraScale アーキテクチャ コンフ ィギャラブル ロジッ ク ブロ ッ ク ユーザー ガイ ド』 (UG574: 英語版、日本語版)

12. 『UltraScale アーキテクチャ メモ リ リ ソース ユーザー ガイ ド』 (UG573: 英語版、 日本語版)

13. 『UltraScale および UltraScale+ FPGA パッケージおよびピン配置ユーザー ガイ ド』 (UG575: 英語版、 日本語版)

14. 『UltraScale アーキテクチャ GTH ト ランシーバー ユーザー ガイ ド』 (UG576: 英語版、 日本語版)

15. 『UltraScale アーキテクチャ GTY ト ランシーバー Advance 仕様ユーザー ガイ ド』 (UG578: 英語版、 日本語版)

16. 『Virtex-7 T/XT FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS183: 英語版、 日本語版)

17. 『Kintex-7 FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS182: 英語版、 日本語版)

18. 『Artix-7 FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS181: 英語版、 日本語版)

19. 『Virtex UltraScale FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS893: 英語版、 日本語版)

20. 『Kintex UltraScale FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS892: 英語版、 日本語版)

21. 『LogiCORE IP Aurora 8B/10B 製品ガイ ド』 (PG046: 英語版、 日本語版)

22. 『LogiCORE IP Aurora 64B/66B 製品ガイ ド』 (PG074: 英語版、 日本語版)

23. 『デバイス パッケージ ユーザー ガイ ド』 (UG112)

24. 『7 シ リーズ FPGA ク ロ ッキング リ ソース ユーザー ガイ ド』 (UG472: 英語版、 日本語版)

25. 『UltraScale アーキテクチャ ク ロ ッキング リ ソース ユーザー ガイ ド』 (UG572: 英語版、 日本語版)

26. 『7 シ リーズ FPGA SelectIO リ ソース ユーザー ガイ ド』 (UG471: 英語版、 日本語版)

27. 『7 シ リーズ FPGA DSP48E1 スライス ユーザー ガイ ド』 (UG479: 英語版、 日本語版)

28. 『UltraScale アーキテクチャ DSP スライス ユーザー ガイ ド』 (UG579: 英語版、 日本語版)

29. 『LogiCORE IP UltraScale Architecture Integrated Block for 100G Ethernet 製品ガイ ド』 (PG165: 英語版、 日本語版)

30. 『LogiCORE IP UltraScale Architecture Integrated IP Core for Interlaken 製品ガイ ド』 (PG169: 英語版、 日本語版)

31. 『Zynq-7000 All Programmable SoC テクニカル リ ファレンス マニュアル』 (UG585: 英語版、 日本語版)

32. 『7 シ リーズ FPGA および Zynq-7000 All Programmable SoC XADC デュアル 12 ビッ ト 1MSPS アナログ-デジタル コンバーター ユーザー ガイ ド』 (UG480: 英語版、 日本語版)

33. 『UltraScale アーキテクチャ システム モニター ユーザー ガイ ド』 (UG580: 英語版、 日本語版)

34. 『7 シ リーズ FPGA コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG470: 英語版、 日本語版)

35. 『UltraScale アーキテクチャ コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG570: 英語版、 日本語版)

Xilinx Power Estimator ユーザー ガイド 105UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 106: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

付録 A: その他のリソースおよび法的通知

36. FPGA 内で利用可能な リ ソースについては、 ザイ リ ンクス サポート サイ トのシ リ コン デバイスを参照して ください。

37. 『UltraScale アーキテクチャ FPGA メモ リ IP LogiCORE IP 製品ガイ ド』 (PG150: 英語版、 日本語版)

38. Vivado Design Suite の資料

ト レーニング リソース

1. Vivado Design Suite QuickTake ビデオ チュート リ アル: Xilinx Power Estimator の使用

2. Vivado Design Suite QuickTake ビデオ: XPE を使用した UltraScale デバイスの消費電力の概算

Xilinx Power Estimator ユーザー ガイド 106UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

Page 107: Xilinx Power Estimator · Xilinx Power Estimator ユーザー ガイド 5 UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 第1 章 概要 はじめに Xilinx® Power Estimator

付録 A: その他のリソースおよび法的通知

お読みください: 法的通知本通知に基づいて貴殿または貴社 (本通知の被通知者が個人の場合には 「貴殿」、 法人その他の団体の場合には 「貴社」。 以下同じ ) に開示される情報 (以下 「本情報」 といいます) は、 ザイ リ ンクスの製品を選択および使用するこ とのためにのみ提供されます。 適

用される法律が許容する最大限の範囲で、 (1) 本情報は 「現状有姿」、 およびすべて受領者の責任で (with all faults) とい う状態で提供

され、 ザイ リ ンクスは、 本通知をもって、 明示、 黙示、 法定を問わず (商品性、 非侵害、 特定目的適合性の保証を含みますがこれ

らに限られません)、 すべての保証および条件を負わない (否認する ) ものと します。 また、 (2) ザイ リ ンクスは、 本情報 (貴殿または

貴社による本情報の使用を含む) に関係し、 起因し、 関連する、 いかなる種類 ・ 性質の損失または損害についても、 責任を負わな

い (契約上、 不法行為上 (過失の場合を含む)、 その他のいかなる責任の法理によるかを問わない) ものと し、 当該損失または損害に

は、 直接、 間接、 特別、 付随的、 結果的な損失または損害 (第三者が起こした行為の結果被った、 データ、 利益、 業務上の信用の

損失、 その他あらゆる種類の損失や損害を含みます) が含まれるものと し、 それは、 たとえ当該損害や損失が合理的に予見可能で

あったり、 ザイ リ ンクスがそれらの可能性について助言を受けていた場合であったと しても同様です。 ザイ リ ンクスは、 本情報に

含まれるいかなる誤り も訂正する義務を負わず、 本情報または製品仕様のアップデート を貴殿または貴社に知らせる義務も負いま

せん。 事前の書面による同意のない限り、 貴殿または貴社は本情報を再生産、 変更、 頒布、 または公に展示してはなり ません。 一

定の製品は、 ザイ リ ンクスの限定的保証の諸条件に従う こ と となるので、 http://japan.xilinx.com/legal.htm#tos で見られるザイ リ ンク

スの販売条件を参照してください。 IP コアは、 ザイ リ ンクスが貴殿または貴社に付与したライセンスに含まれる保証と補助的条件

に従う こ とにな り ます。 ザイ リ ンクスの製品は、 フェイルセーフと して、 または、 フェイルセーフの動作を要求するアプリ ケー

シ ョ ンに使用するために、 設計されたり意図されたり していません。 そのよ うな重大なアプリ ケーシ ョ ンにザイ リ ンクスの製品を

使用する場合のリ スク と責任は、 貴殿または貴社が単独で負う ものです。 http://japan.xilinx.com/legal.htm#tos で見られるザイ リ ンク

スの販売条件を参照してください。

自動車用のアプリ ケーシ ョ ンの免責条項

オートモーティブ製品 (製品番号に 「XA」 が含まれる ) は、 ISO 26262 自動車用機能安全規格に従った安全コンセプ ト または余剰性

の機能 ( 「セーフティ設計」 ) がない限り、 エアバッグの展開における使用または車両の制御に影響するアプリ ケーシ ョ ン ( 「セー

フティ アプリ ケーシ ョ ン」 ) における使用は保証されていません。 顧客は、 製品を組み込むすべてのシステムについて、 その使用

前または提供前に安全を目的と して十分なテス ト を行う ものと します。 セーフティ設計なしにセーフティ アプリ ケーシ ョ ンで製品

を使用する リ スクはすべて顧客が負い、 製品責任の制限を規定する適用法令および規則にのみ従う ものと します。

© Copyright 2012-2016 Xilinx, Inc. Xilinx、 Xilinx のロゴ、 Artix、 ISE、 Kintex、 Spartan、 Virtex、 Vivado、 Zynq、 およびこの文書に含

まれるその他の指定されたブランドは、 米国およびその他各国のザイ リ ンクス社の商標です。 CPRI は Siemens AG の商標です。 PCI、 PCI Express、 PCIe、 および PCI-X は、 PCI-SIG の商標です。 PowerPC の名称およびロゴは IBM, Corp. の登録商標で、 ライセン

ス許諾を受けて使用されています。 すべてのその他の商標は、 それぞれの保有者に帰属します。

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] まで、 または各ページの

右下にある [フ ィードバッ ク送信] ボタンをク リ ッ クする と表示されるフォームからお知らせください。 フ ィードバッ クは日本語で

入力可能です。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受

け付けており ません。 あらかじめご了承ください。

Xilinx Power Estimator ユーザー ガイド 107UG440 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com