zalotay peter programozhato iranyitasok i

195
Z Z a a l l o o t t a a y y P P é é t t e e r r P P r r o o g g r r a a m m o o z z h h a a t t ó ó i i r r á á n n y y í í t t á á s s o o k k I I . . Elektronikus jegyzet Kandó Kálmán Villamosmérnöki Kar Forrás: http://www.doksi.hu

Upload: rgewrggew-wgregrge

Post on 07-Nov-2015

90 views

Category:

Documents


6 download

DESCRIPTION

dg dgf gfgfd gfd gfd gfdgfd gfd gfd gfd gfd gdf gdf gdf gfd gdf gdf gdf

TRANSCRIPT

  • ZZaalloottaayy PPtteerr

    PPrrooggrraammoozzhhaatt

    iirrnnyyttssookk II..

    Elektronikus jegyzet

    Kand Klmn Villamosmrnki Kar

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    2.oldal

    Tarta lomjegyzk

    Bevezets..................................................................................................................................4 I. R S Z..................................................................................................................................6 Az irnytstechnika rendszertechnikai sszefoglalsa. .............................................................6 1. Alapismeretek ...................................................................................................................7

    1.1. Alapfogalmak............................................................................................................7 1.2. Az irnyt rendszer s feladatai ................................................................................7

    1.2..1. Vezrls.........................................................................................................8 1.2..2. Szablyozs ...................................................................................................9 1.2..3. Adatok gyjtse trolsa. ..........................................................................12

    1.3. Irnytsi rendszerek felptse ................................................................................13 1.3..1. Egyedi irnytsok .......................................................................................13 1.3..2. Csoportos irnytsok...................................................................................14 1.3..3. Strukturlt irnytsok..................................................................................14 1.3..4. Az irnytott rendszer felosztsi mdozatai ..................................................16 1.3..5. Irnytsok megvalstsa ............................................................................17

    II. R S Z ..............................................................................................................................18 Az irnytstechnikban alkalmazott programozhat hardver kszlkek ................................18 2. Programozhat logikai ramkrk (PLD)........................................................................19

    2.1. Egyszer programozhat logikai eszkzk ..............................................................19 2.2. Komplex programozhat logikai eszkzk ..............................................................21 2.3. Felhasznl ltal programozhat kapu mtrix ..........................................................21 2.4. GAL eszkzk.........................................................................................................21

    3. Mikroprocesszoros irnyt berendezsek .......................................................................23 3.1. ltalnos cl mikrogpek s a mikrokontroller ......................................................23 3.2. MCS51 s a PIC mikrokontrollerek felptsnek sszehasonltsa ..........................24 3.3. Digitlis perifrik ..................................................................................................25

    3.3..1. Portok ..........................................................................................................25 3.3..2. Oszcilltor ...................................................................................................28 3.3..3. Idztk-szmllk.......................................................................................28 3.3..4. Analg perifrik .........................................................................................32 3.3..5. Soros kommunikci....................................................................................36

    4. A programozhat logikai vezrlk (PLC) alkalmazsa ....................................................48 4.1. Trtneti ttekints ..................................................................................................48 4.2. Az alkalmazott hrom PLC tpus sszehasonltsa...................................................51

    4.2..1. Hardver kialakts ........................................................................................52 4.2..2. A tpegysg .................................................................................................53 4.2..3. A CPU .........................................................................................................53 4.2..4. Diszkrt I/O illesztk ...................................................................................55 4.2..5. Analg illesztk...........................................................................................56 4.2..6. A memria ...................................................................................................57

    III. R S Z .............................................................................................................................58 Irnytstechnikai programok tervezse, fejlesztse.................................................................58 5. Programfejleszts ............................................................................................................59

    5.1. A programozs clja ................................................................................................59 5.2. A programfejleszts lpsei .....................................................................................59 5.3. A programfejleszts eszkzei...................................................................................60 5.4. Programszerkezetek.................................................................................................61 5.5. A PLD -k programozsa ..........................................................................................70

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    3.oldal

    6. Az I8051 mikrokontroller csald C - nyelv programozsnak alapjai.............................73 6.1. Vltozk s deklarlsuk: ........................................................................................73 6.2. Opertorok: .............................................................................................................74 6.3. Utastsok:...............................................................................................................76

    6.3..1. Ciklusszervez utastsok: ...........................................................................76 6.3..2. Elgazst vezrl utastsok.........................................................................78 6.3..3. Ciklust mdost utastsok..........................................................................81

    6.4. Az lfeldolgoz (preprocesszor) utastsai .............................................................82 6.5. Fggvnyek.............................................................................................................84

    6.5..1. Fggvnyek defincija s deklarcija ........................................................84 6.1. Plda: ......................................................................................................................86 6.2. Programfejleszti krnyezetek.................................................................................89

    6.2..1. A 8051/31 csald programfejleszti krnyezete ...........................................90 6.2..2. CX-Programmer ..........................................................................................94 6.2..3. Schneider Electric Unity Pro XL..................................................................98 6.2..4. Siemens Step 7...........................................................................................102

    6.3. A PLC k programozsi nyelvei ............................................................................106 6.3..1. A PLC tpusonknti programozs...............................................................106 6.3..2. A PLC programozsi nyelvek szabvnyostsa...........................................107 6.3..3. A ltradiagram az LD (Ladder Diagram) nyelv. .........................................108 6.3..4. Az FBD (Function Block Diagram) nyelv. .................................................111 6.3..5. Utasts lists IL (Instruction Logic) nyelv.................................................112 6.3..6. Az SFC (Sequential Function Chart) nyelv.................................................113

    IV. R S Z...........................................................................................................................124 Kombincis s sorrendi vezrlsek programozsa ...............................................................124 7. Vezrlsek programozsa ..............................................................................................125

    7.1. A tervezs lpsei..................................................................................................125 7.2. Kombincis vezrlsek ........................................................................................126

    7.2..1. A feladat vltozinak meghatrozsa .........................................................126 7.2..2. A kiindul adatok, megadsa, felvtele.......................................................126 7.2..3. A legegyszerbb logikai fggvny meghatrozsa ......................................126 7.2..4. A numerikus eljrs bemutatsa .................................................................127 7.2..5. Grafikus egyszersts (ellenrzs!) ...........................................................131

    7.3. Kombincis vezrlsi feladatok programozsnak tervezse ................................132 A lehetsges programozsi algoritmus meghatrozsa...................................................132

    7.3..1. Bit mveletekkel........................................................................................132 7.3..2. Tblzatos mdszer....................................................................................136

    7.4. Sorrendi vezrlsi feladatokat megold program tervezse ....................................146 7.4..1. llapotgrf.................................................................................................150 7.4..2. llapottblzat ...........................................................................................151 7.4..3. A kimeneti-, s vezrl tblzat..................................................................152 7.4..4. A tervezs ..................................................................................................153 7.4..5. A tervezs lpsei: .....................................................................................154 7.4..6. ltalnos cl mikrogpek programozsa ..................................................159 7.4..7. PLC programozsa.....................................................................................167 7.4..8. A programrs ............................................................................................167

    7.5. Pldk sorrendi vezrlsek programozsra ...........................................................168 7.5..1. Forgalomirnyt lmpk vezrlse............................................................168 7.5..2. Folyadktrol tartlyok tltsnek, rtsnek vezrlse...........................178 7.5..3. A sorrendi vezrlsek programozsa adatbzis alapjn...............................159

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    4.oldal

    Beveze ts

    A jegyzet az Automatika szak, Programozhat irnytsok s Jrmelektronika modul

    egyik llamvizsga tantrgy szksges ismeretanyagnak els rszt (Programozhat

    irnytsok I.) tartalmazza. Az rott anyag clja az eladsi tmk sszefoglalsa, valamint

    laboratriumi gyakorlatok eredmnyes elvgzst segteni. A tanv sorn megismertetjk a

    hallgatkat a korszer irnytsi feladatokra alkalmas berendezsek hardvereivel.

    Prhuzamosan jrtassgot kvnunk kialaktani a megismert eszkzk mkdtet

    programjainak fejlesztsben. A mrsek s a pldk jellemz irnytsi feladatok. Az rsos

    anyag ngy f rszre tagolt.

    Az I. rsz ismtlsszeren sszefoglalja az irnytstechnikai alapfogalmakat. Az els

    fejezet az irnytstechnika rendszertechnikai alapjait sszefoglal ismtls.

    A II. rszben foglalkozunk az irnytstechnikban hasznlt hardverek ismertetsvel. A

    msodik fejezetben a teljessg ignye nlkl foglalja ssze a programozhat hardverek

    (PAL, GAL) legfontosabb jellemzit. A harmadik fejezet foglalkozik a 8051 csald mai

    mikrokontrollereiben, ugyanakkor ms mikrovezrlkben is alkalmazott digitlis s analg

    illeszt ramkreivel. ttekintst nyjt az osztott intelligencij rendszerekben

    nlklzhetetlen s legjobban elterjedt soros kommunikcis megoldsokrl. A negyedik

    fejezet bvebben foglalkozik az automatizls mind szlesebb terletein alkalmazott PLC k

    (Programozhat Logikai Vezrlk) mkdsvel, konfigurciival. A II. tanv sorn az

    Automatika II tantrgyban megismert Siemens S7 300 csald mellett az Omron CJ1M,

    valamint a Schneider Electric M340 tpus vezrlivel.

    A III. rsz tartalmazza az irnytsi feladatok programozsi ismereteit. Az tdik fejezet

    szolgl a szisztematikus programfejleszts mdszereivel. sszefoglalst nyjt a prog-

    ramozsokban alkalmazott tipikus programszerkezetekrl. A javasolt eljrsok alkal-

    mazhatk a mrsekben alkalmazott modellek mkdtet programjainak fejlesztsnl. A

    hatodik fejezetben a 8051 mikrokontroller (mikrovezrl) csald C -nyelv programo-

    zsnak alapjait foglalja ssze. Az anyag alapoz a korbbi tanulmnyok sorn megismert C

    nyelvi programozsi ismeretekre. Az assembly s C -nyelv pldk melletti folyamatbrk

    alapjn a PLC programozs is elvgezhet. A fejezetben foglalkozunk programozsokhoz

    hasznlt Programfejleszti Krnyezetkkel. Mindhrom PLC csald tagjainl az IEC1131-3

    szabvnyajnls szerint t programozsi nyelv hasznlhat.

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    5.oldal

    A IV. rsz tmakre a vezrlsek programozsa. A befejez hetedik fejezet a kombincis-,

    s sorrendi vezrlsek programfejlesztsnek mdszereit, eljrsait ismerteti pldk alapjn.

    A pldk mikrogpekre s PLC -kre mutatjk be a programokat.

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    6.oldal

    I. R S Z

    Az irny ts technika rends zertechni kai ss zef og la lsa.

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    7.oldal

    1. Alapismeretek

    Bevezet fejezetknt sszefoglaljuk a technolgiai folyamatok automatikus irnytsval

    kapcsolatos alapismereteket, a klnbz irnytsi megoldsok rendszertechnikai

    felptst.

    1.1. Alapfoga lmak

    Irnyts: Mszaki berendezsek (gpek, gyrt sorok, szllt eszkzk, vegyi-, htechnikai folya-

    matokat elllt rendszerek stb.) meghatrozott feladatok elltsra trtn mkdtetse

    (indts, vltoztats, lellts).

    Irnytsi rendszer: Az irnytott-, (folyamat) s az irnyt rendszer egytt (1. bra).

    1. bra

    Irnyt rendszer: Mindazon szervek, kszlkek sszessge, amelyek egyttmkdse rvn a folyamat

    irnytsa megvalsul.

    1.2. Az i rnyt rendszer s fe ladat ai

    A folyamat jellemzinek a technolgiai elrsoknak megfelel vltoztatst vgzik az

    irnyt rendszerek. Alapveten vezrlst, szablyozst s mrs-adatgyjtst vgeznek.

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    8.oldal

    1.2. .1. Vezrls

    Mszaki folyamatok, technolgik automatikus irnytsnak viszonylag egyszerbb

    vltozata a vezrls. Ennl az irnytsnl az irnytott folyamat jellemzit (paramtereit)

    csak a parancsok, s a felttelek meghatrozott logikai kapcsolata, valamint idbeli

    vltozsa mdostja. A vezrls elemei kztti kapcsolatot az irnyts hatslnca (2. bra)

    szemllteti, amely nyitott. Ez azt mutatja, hogy a vltoztatott jellemz rtke nem mdostja

    a vezrls mkdst.

    Vezrelt szakasz

    Anyag, energia

    Anyag, energia

    xz Zavar jelek

    Vezrl egysg

    Beavatkoz szerv

    xr xb xm

    Rendelkez jel beavatkoz jel

    mdost jel

    Felttel-, s parancsadk

    2. bra A vezrls legfontosabb elemei:

    Parancs-, s feltteladk:

    Azok az elemek

    - kezel szervek (kapcsolk, nyomgombok stb.),

    - jeladk (vglls kapcsolk, szintadk stb.)

    amelyek mkdtetse, vagy llapota indtja el az irnytott jellemz mdostst, llapot-

    vltozst. Ezek szolgltatjk a vezrl bemeneti-, vagy rendelkez jeleit (X r).

    Vezrl egysg:

    Ez az egysg hatrozza meg a vezrls mkdst. A mkds mindig lerhat a be-, s

    kimeneti jelek kztti logikai kapcsolatokkal (logikai fggvnyekkel).

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    9.oldal

    A vezrl egysg teht egy logikai ramkr, amelynek bemeneti jelei a rendelkez jelek s

    kimeneti jelei a beavatkoz jelek (X b).

    Beavatkoz szervek:

    A vezrlben ellltott jelek rendszerint alacsonyabb energij, vagy ms fizikai jelek, mint

    amelyekkel mdostani lehet az irnytott jellemzt. Pl. ahhoz, hogy egy villamos motor

    tengelye forogjon (mozgsi energia), feszltsget (villamos jel) kell kapcsolni a tekercsire.

    A beavatkoz szerv feladata a beavatkoz jelek energijnak vltoztatsa, vagy fizikai

    jeltalakts utn a beavatkoz jellel arnyos - mdost jel ( Xm ) ellltsa.

    Vezrelt szakasz:

    Az irnytott folyamatnak rendszerint csak egy rszt, nhny paramtert kell a vezrls

    segtsgvel vltoztatni, mdostani. Ezt a paramter csoportot nevezik vezrelt szakasz

    nak.

    Pldul: egy lift vezrlse az irnytott folyamat. A kabin emelshez, vagy leeresztshez a

    hajt motort kell mkdtetni. Itt teht a motor a vezrelt szakasz.

    1.2. .2. Szablyozs

    Az irnytsokban a szablyozs feladata, hogy az irnytott folyamat valamelyik, vagy

    tbb jellemzjt az un. alapjel ltal meghatrozott rtken tartsa . A szablyozs

    elemei kztti kapcsolatot az irnyts hatslnca (3. bra) szemllteti.

    3. bra

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    10.oldal

    Ez a hatslnc visszacsatolt (zrt). A visszacsatols rvn hatrozhat meg a mindenkori

    szablyozott jellemz s az alapjel eltrse. Ez az eltrs szabja meg, hogy milyen

    mrtkben, s irnyban kell beavatkozni a folyamatba. Azon egysgek (szervek)

    sszessgt, amelyek a vzolt feladatot egy irnytson bell elltjk, nevezik szablyoz

    nak.

    A szablyoz mkdsnek lnyege leegyszerstve az, hogy a szablyozott jellemz nem

    kvnt vltozst megsznteti. Ezt oly mdon hajtja vgre, hogy a vltozssal ellenttes

    irny beavatkoz jelet llt el, amely hatsra a szablyozott jel eredeti rtke helyre ll.

    Termszetesen a vals krnyezetben nagyon sok olyan zavar hats van, amely vletlen-

    szeren vagy lland jelleggel vltoztathatja az irnytott szakasz jellemzit. Ezrt csak

    elmletileg lehet valamely fizikai, mszaki paramtert elre meghatrozott pontos rtken

    tartani. A szablyozs mindig valamilyen hibval, illetve ksssel kpes a kls zavar jel

    hatst kikszblni. Mind a marad hiba abszolt nagysga mind, pedig a hiba mrtknek

    vltozsa fgg a szablyoz mkdsnek jellegtl, illetve a szablyozott szakasz

    tulajdonsgtl. A mszaki gyakorlatban, egy berendezs automatikus irnytsnl a feladat

    mindig gy fogalmazdik meg, hogy egy mkdsi jellemz zavar jel hatsra

    bekvetkez vltozst lehetleg minl kisebb hibval, minl gyorsabban kell megszn-

    tetni. Termszetesen a szablyozsi hiba cskkentsnek, illetve a szablyozsi sebessg

    nvelsnek mszaki s gazdasgossgi korltai vannak.

    A lertakbl kvetkezik, hogy egy szablyozs helyes tervezshez a szablyozsi kr

    minden elemnek tulajdonsgait ismerni, s figyelembe kell venni. Egy jl mkd

    szablyozs megtervezse, megvalstsa komoly mszaki feladat, s csak a szablyozs-

    elmlet alapos ismeretnek birtokban, illetve mrsi, zembe helyezsi gyakorlattal

    vgezhet el.

    A szablyoz elemei (szervei), s azok feladata:

    Alapjel kpz szerv:

    Az alapjel-ad lltja el az Xa alapjelet, amely meghatrozza, hogy a szablyoznak milyen

    rtkre kell belltania az Xs szablyozott jelet.

    Miutn a szablyoz az alapjelhez viszonytottan avatkozik be a folyamatba, ezrt biztostani

    kell e jel zavarmentessgt.

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    11.oldal

    rzkel:

    Ez az egysg lltja el a szablyozott jellemz mindenkori rtkvel arnyos xe ellenrz

    jelet.

    Az ipari szablyozsi krkben alkalmazott rzkelk legtbbje tulajdonkppen olyan

    egysg, amely az rzkelt fizikai mennyisggel arnyos szabvnyos villamos jelet llt el.

    Ezeket nevezik tvadnak. A tvadk egyik csoportjnl a kimeneti feszltsg, mg msik

    rszknl a kimeneti ram arnyos a mrt jellemz rtkvel. Feszltsg kimenet esetben a

    0 10 V, -10 V 10 V, mg ramkimenetnl a 4 - 20 mA a leggyakrabban hasznlt

    szabvnyos rtk.

    Klnbsgkpz szerv:

    A szablyozs vgrehajtshoz meg kell llaptani azt, hogy milyen mrtkben tr el a

    szablyozni kvnt jellemz a nvleges rtktl. A klnbsgkpz szerv az alapjel (xa ),

    s az ellenrz jel (xe ) eljeles klnbsgt lltja el az xh hibajel -et .

    Kompenzl szerv:

    A szablyozs minsgt, jellegt meghatroz egysg a kompenzl szerv. A megfelel

    jelmdostshoz nem csupn a pillanatnyi hibt, hanem a szablyozott szakasz fizikai

    tulajdonsgait is figyelembe kell venni a mdost jelbe. Ezek egytt hatrozzk meg, hogy

    milyen gyors, pontos, illetve stabilis lesz az irnytott rendszer. A kompenzl szerv ltal

    ellltott xb beavatkoz jel vltozsa fogja meghatrozni a mdosts szksges jellegt, s

    mrtkt.

    Beavatkoz szerv:

    A szablyoz jelei rendszerint alacsonyabb energij, vagy ms fizikai jelek mint

    amelyekkel mdostani lehet az irnytott jellemzt. Pl. ahhoz, hogy egy villamos motor

    tengelynek forgsa a szablyozott jellemz - ( mozgsi energia ) vltozzon, a tekercsein

    foly ramot ( villamos jel ) kell mdostani.

    A beavatkoz szerv feladata a beavatkoz jelek energijnak vltoztatsa, vagy fizikai

    jeltalakts utn a beavatkoz jellel arnyos - mdost jel ( xm ) ellltsa.

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    12.oldal

    Szablyozott szakasz:

    Az irnytott folyamatnak rendszerint csak egy rszt, nhny paramtert kell a szablyoz

    rvn vltoztatni, mdostani. Ezt a paramter csoportot nevezik szablyozott szakasz

    nak.

    Pldul egy daru gmjnek lland sebessg mozgatsa az irnytott folyamat. A teher

    emelshez, vagy leeresztshez a hajt motor fordulatszmt kell a terhels nagysgtl

    fggetlenl lland rtken tartani. Itt teht a motor a szablyozott szakasz.

    1.2. .3. Adatok gyjtse trolsa .

    Mrsadatgyjtsnek azt az automatikus mrssorozatot nevezzk, amely az irnytott

    folyamat kivlasztott jellemzinek rtkt - meghatrozott id-pontokban (mintavtelezs

    idpont) - lemri s azokat egy adatbzisba, rja.

    4. bra

    A mintavtelezs ltalban minden mrt jellemznl azonos. Akkor, ha az egyes jellemzk

    vltozsi sebessge nagysgrendekkel klnbzik a mrsi idpontok gyakorisgt is

    clszer eltrnek vlasztani. A mrsadatgyjt elvi felptst mutatja a 4. bra.

    rzkelk-tvadk:

    Ezek lltjk el a mrt jellemzk mindenkori rtkvel arnyos jeleket. A mrt rtkek egy

    - egy rsze lehet analg, vagy digitlis.

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    13.oldal

    Mrspont vlt:

    Ez az egysg vlasztja ki, hogy melyik a mrt rtk kerl trolsra, tovbbi feldolgozsra.

    A/D talakt:

    Az analg rtkeket alaktja a trolshoz szksges digitlis formjv.

    Illesztk:

    Ezek az egysgek illesztik a tovbbi feldolgozshoz, kijelzshez a mrt adatokat.

    Trolk:

    Az automatikus adatgyjts eredmnyt, az adatbzist troljk. A trolsi feladattl fggen

    hasznlnak klnbz adathordozkat.

    Az osztott intelligencij irnytsi rendszerekben a mrsadatgyjts szolgltatja az

    alapadatokat

    - az irnytsi stratgia meghatrozshoz,

    - az irnyts vgrehajtshoz,

    - a naplzshoz,

    - az ellenrzshez,

    - a hibadiagnzishoz, stb.

    1.3. Irnytsi rendszerek fe lp tse

    A fejezetben tmren trgyaljuk az irnytsi rendszerek felptsnek lehetsges

    megoldsait. A csoportosts egyik szempontja, hogy a rendszer elemei milyen mdon

    kapcsoldnak egymshoz. Termszetesen a trgyalt megoldsok kevert vltozatai is

    megtallhatk a mszaki gyakorlatban. A lertak elssorban a rendszer-szemllet alaktst

    szolgljk.

    1.3. .1. Egyedi irnytsok (5. bra)

    A gyrtsorba szervezett gpek mindegyikt nll egysg irnytja. Az egyes gpek,

    gpcsoportok kztt nincs adatkapcsolat. Ugyanakkor laza kommunikcis kapcsolat

    segtheti a gyrtsor mkdsnek sszehangolst.

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    14.oldal

    5. bra 1.3. .2. Csoportos irnytsok (6. bra).

    A gyrtsorba szervezett gpek irnytsnak fejlettebb vltozata. A gpcsoport egyes

    egyedi irnyt egysgek egy kzponti csoportirnyt - val tartanak kapcsolatot. A

    csoportirnyt felgyeli az zemelst, s szksg esetn tartalk egysgknt is mkdhet.

    6. bra 1.3. .3. Strukturlt irnytsok

    A strukturltsg az nll egysgekbl ptett rendszert jelli.

    sszetett irnyt rendszerek

    Az automatizls trbeli s funkcionlis komplexitsnak nvekedse csak rendszerszem-

    llet tervezssel s kialaktssal elgthet ki. Egy sszetett irnytsi rendszer hierar-

    chikus szintekre (7. bra) bonthat. A folyamatirnyt szmtgpekkel valstottk meg

    az ilyen rendszereket.

    1. szint: Az irnytand folyamat s a technolgihoz kzvetlenl csatlakoz mr,

    jeltviv s beavatkoz szervek. (Process).

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    15.oldal

    2. szint: A jelek kondicionlst, erstst s talaktst (pl. A/D s D/A) vgz

    szervek, az energiaellt egysgek, s a klnleges biztonsgi elemek.

    3. szint: A hagyomnyos irnyts funkcionlis alrendszerei (kzi irnyts, vezrls,

    szablyozs, felgyel - jelz - naplz egysgek).

    4. szint: Rendszeroptimalizls, kzponti beavatkozsok s jelzrendszer kezelse

    (Szmtgp).

    Osztott intelligencij irnyt rendszerek

    A mikroprocesszoros kis-, s mikroszmtgpek megjelense s gyors fejldse ered-

    mnye a feladatok megosztsa. A hierarchikus szint felpts feladatai mdosulnak.

    1. szint: Vltozatlanul a folyamat s tartozkai (Process).

    2. szint: A folyamat egyes rszeinl nll intelligens egysgek (mikrogpek) ltjk el

    a helyi irnytst, mrsadatgyjtst, naplzst, megjelentst (gpkzeli

    szint).

    3. szint: Biztostja a helyi llomsok kztti kommunikcis kapcsolatot (adattviteli

    alrendszer), s csoportszint irnytst vgez (koordincis szint).

    4. szint: A kzponti beavatkoz rendszer a felgyelethez, az optimalizcihoz s az

    opertor llomsok kiszolglshoz (felgyeleti szint).

    7. bra

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    16.oldal

    1.3. .4. Az irnytott rendszer felosztsi mdozatai

    Az irnytott rendszer egysgei klnbz formban oszthatk el. Az egyes vltozatok az

    albbiak lehetnek.

    Hely szerinti csoportosts (8.bra).

    Az als szinten elhelyezked kszlkek hatskre csak egy folyamat-egysgre vonatkozik,

    de ott minden feladatot elltnak.

    8. bra

    Funkci szerinti csoportosts (9. bra).

    az als szinten elhelyezked kszlkek hatskre a folyamat egszre kiterjed, de a hrom

    f funkci (szablyozs, vezrls, felgyelet ) kzl csak az egyiket ltjk el.

    9. bra

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    17.oldal

    Komplex (sszetett) csoportosts (10. bra).

    A trbeli s a funkcionlis eloszts ltalban sszetetten valsul meg.

    10. bra

    1.3. .5. Irnytsok megvalstsa

    Fajti:

    Folytonos rendszerek

    amelyeknl a jelfeldolgozs idejt csak az alkalmazott ramkrk ksleltetse hatrozza

    meg. A hagyomnyos elektronikus (digitlis) s rels vezrlsek, valamint az analg

    szablyozsok.

    Mintavteles rendszerek

    amelyeknl a jelek frisstse, feldolgozsa diszkrt idkznknt trtnik. A

    mikroprocesszoros irnytsok (PLC -k, DDC -k stb.) mindegyike ilyen mkds.

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    18.oldal

    II. R S Z

    Az irny ts technikban alka lmaz ot t progr amozhat har dver

    ksz lkek

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    19.oldal

    2. Programozhat logikai ramkrk (PLD)

    Viszonylag j technolginak szmtanak, amelybl szmtalan tpus jelent meg rvid id

    alatt. Mr a rendelkezsre ll architektrk (architektra alatt rtve az eszkzk azon

    tulajdonsgait, amelyek a logikai felptst - ki-s bemenetek szma, programozhat

    tmbk mrete stb.) szma is szzas nagysgrend, ha pedig a klnfle technolgiai

    megoldsokat (ramkr-technikai megoldsok, sebessg, jrarhatsg, tokozs stb.) is

    figyelembe vve szeretnnk csoportostani, akkor a vlasztk mr tbb ezres.

    Megjegyezend, hogy nem csak PLD-k segtsgvel kszthetnk logikai hlzatokat,

    hanem memria ramkrkkel (PROM, EPROM stb.) is. Ilyenkor a memriban eltroljuk

    a megvalstand hlzat igazsgtblzatt gy, hogy az egyes bemeneti kombinciknak

    megfelel cmeken hivatkozva a memria kimenetn a logikai hlzat adott bemenetre

    adott vlaszt kapjuk. A memria ramkrk hasznlatnak tbb htrnya van: ltalban

    nem tl hely- s eszkztakarkos megolds, klnsen sokvltozs fggvnyek esetn,

    valamint sebessgben is elmaradnak a PLD -ktl.

    A memriktl eltren a PLD -k nem az igazsgtblzatot, hanem magt a logikai

    egyenletet troljk programozhat mtrixaikban, mivel megfelelen nagy S illetve

    VAGY mtrixokkal (lteznek PLD -k, amelyek SNEM / SNEM esetleg VAGYNEM /

    VAGYNEM mtrixokat hasznlnak) brmely logikai fggvny megvalsthat.

    2.1. Egyszer programozhat log ika i e szkzk

    (SPLD - Simple Programmable Logic Device)

    Ezek az eszkzk ltalban ktszint logikai hlzatot tartalmaznak, kevesebb, mint 1000

    kapuval. Az SPLD -k (s a programozhat logikk) els kpviselje a PLA (Programmable

    Logical Array - Programozhat Logikai Tmb) volt, sematikus rajza a 11. brn lthat.

    Az S illetve a VAGY kapuk egy-egy mtrixon keresztl kapcsolhatak ssze. Lteznek

    PLA -k, melyek a kimeneteknl beptett flip-flop - kat tartalmaznak, ezltal felhaszn-

    lhatak sorrendi hlzatok megvalstshoz is.

    A PLA eszkzk f htrnya, hogy a kt programozhat mtrix beptse egyrszt

    megdrgtja a gyrtst, msrszt az eszkz sebessgt is cskkenti, hiszen a jeleknek kt

    mtrixon kell vgighaladnia.

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    20.oldal

    11. bra

    Ezen problmk kikszblsre szlettek meg a PAL-ok (Programmable Array Logic -

    Programozhat Tmblogikk), melyekben csupn az S mtrix programozhat, a VAGY

    mtrix nem, emiatt ellltsuk olcsbb, programozsukhoz egyszerbb hardver szksges,

    s sebessgk nagyobb. Ezen tulajdonsgaiknak ksznheten, br kevsb rugalmasan

    hasznlhatk, jval elterjedtebb vltak a PLA logikknl. A PAL-ok sematikus rajza az

    12. brn lthat.

    12. bra

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    21.oldal

    2.2. Komplex programozhat log ikai e szkzk

    (CPLD - Complex Programmable Logic Device)

    Ezek az eszkzk gyakorlatilag tbb, egy tokba integrlt, SPLD -nek felelnek meg. Ez a

    fejlesztsi irny meglehetsen j, s szmos klnfle, nehezen csoportosthat

    architektra ltezik. A Lattice ltal gyrtott ispLSI eszkzknl pldul az SPLD -nek

    megfelel elemeket ltalnos logikai tmbnek (GLB - Generic Logic Block) nevezik,

    melyek mega-tmbknek nevezett csoportokat alkotnak. Ezen megatmbk mkdst a

    Globlis Vezrl ramkr (GRP - Global Routing Pool) hangolja ssze.

    2.3. Felhaszn l lt a l programozhat kapu mt rix

    (FPGA - Field Programmable Gate Array)

    Az FPGA -k az eddig emltett eszkzknl is rugalmasabban programozhatak. Itt ugyanis

    (pl. a Xilinx cg FPGA -inl) gynevezett Programozhat Logikai Blokkok (CLB -

    Configurable Logic Block) alkotnak mtrixot, amelyen bell teljesen szabadon kthetek

    ssze egymssal programozhat kapcsolk segtsgvel. A CLB -k elrendezse tpustl

    fggen tbbfle is lehet, a hierarchikus FPGA -knl pldul az elbb emltett

    megatmbk -hz hasonlan SCLB -kbe (Super Configurable Logic Block) csoportostjk

    a logikai tmbket.

    2.4. GAL eszkzk

    A GAL eszkzket (GAL - Generic Array Logic; ltalnos Tmb Logika) a Lattice

    Semiconductor fejlesztette ki 1985-ben. Felptsben a PAL -ok tovbbfejlesztsnek

    tekinthetek, ugyanis a kimeneteken programozhat kimeneti ramkrket, ms nven

    kimeneti logikai makrocellkat (OLMC) helyeztek el, (13. bra) amelynek a VAGY kapuk

    is rszei. Ezek segtsgvel egyrszt emullhatak az egyes PAL tpusok klnfle

    kimeneti regiszterei, msrszt az eszkz jval sokoldalbban programozhatv vlik.

    Ennek eredmnyekppen szmos PAL tpus kivlthatv vlik az azonos lbszm GAL

    IC-k felhasznlsval (a gyrt szerint 5 standard GAL tpus; a 16V8, 20V8, 22V10,

    20RA10 s a 20XV10 kpes helyettesteni a kereskedelemben kaphat bipolris PAL-ok

    98%-t), a hozzjuk kszlt JEDEC biztostk trkp ugyanis talakthat a GAL eszkz

    formtumra. Bizonyos programoz hardverek automatikusan kpesek arra, hogy a

    meglev PAL JEDEC fjlbl kzvetlenl felprogramozzk az adott eszkzzel fellrl

    kompatbilis GAL -t.

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    22.oldal

    13. bra Egy makrocella ltalnos felptse lthat a 14. brn.

    14. bra

    A GAL eszkzket fleg TTL logikai ramkrk helyett hasznljk, amelyekbl akr 5-10

    darabot is kivlthat egyszerre. Tipikus felhasznlsi terletei lehetnek pldul a busz

    interfsz ramkrk, memriavezrlk, szmllk stb.

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    23.oldal

    A tovbbiakban ugyan emltem az egyes tpusok makrocellinak kialaktst, zemmdjait,

    vezrl biteket, ezek azonban csak az eszkzk mkdsi elvnek megismershez

    szksgesek; ha az ispDesignEXPERT fejlesztprogrammal dolgozunk, akkor ezeknek a

    belltst a program nllan elvgzi.

    Megjegyezend, hogy br a GAL eszkzket a Lattice fejlesztette ki, nhny ms cg,

    pldul a National Semiconductor vagy az Intel is kszt GAL-okat illetve azokkal

    kompatbilis logikkat. A tovbbiakban kizrlag a Lattice tpusairl esik sz.

    3. Mikroprocess zoros irnyt berendezsek

    Az ipari folyamatok szmtgppel trtn megvalstsa, mr az 1960-as vekben

    kezddtt. Ekkor fejlesztettk, ki az un. folyamatirnyt szmtgpeket. A nagy

    szmtgpek nem tudtk megoldani az automatizls korszer kvetelmnyeit. A

    krnyezeti hatsok kikszblse, az irnyts sebessge nem valsthat meg ilyen mdon.

    Az vtized vgn jelent meg az ipari krnyezetben jl alkalmazhat irnyt berendezs a

    PLC (Programabble Logic Controller, azaz a programozhat logikai vezrl). Az els

    vltozatot a MODICON cg fejlesztette ki (Modicon 084). Rvid idn bell szmos cg

    ksztett PLC -t.

    A mikroprocesszor megjelense gyorstotta fel az ipari szmtgpek, PLC k, DDC k

    (mikrogpek) fejlesztst, s alkalmazst. A mikrogpek segtsgvel lehetett megva-

    lstani a korbban mr elmletileg trgyalt, s javasolt osztott intelligencij irnytsi

    rendszereket.

    3.1. ltalnos cl mikrogpek s a mikrokont ro l le r

    Az ltalnos cl mikrogpek csoportjt alkotjk azok a mikroprocesszoros digitlis

    szmtgpek, amelyek mind szmtstechnikai, mind irnytsi, vagy egyb feladatok

    szoftvertl fgg megvalstsra alkalmasak.

    Az integrlsi technolgia gyors fejldse lehetv tette, hogy egyetlen lapkn (chip) egyre

    tbb funkcionlis elemet lehessen megvalstani. A mikroprocesszorok megjelenst

    kveten cskkentett konfigurcij mikrogpet ms nven mikrokontroller

    (mikrovezrl) - is gyrtottak.

    1977 -79 kztt mind az Intel, mind a Motorola elkszti a sajt mikrokontroller csaldjt.

    Az Intel ltal kifejlesztett 8031/51 mikrokontroller csalddal kompatibilis mikro-

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    24.oldal

    kontrollereket mindmig sok gyrt kszt. Az alapokat a Digitlis technika tantrgyban

    tanultk.

    Az 1990-es vtizedben jelentek meg a piacon a MicroChip mikrovezrl csaldja a PIC. A

    gyrtmnyaiban alkalmaztk elszr a Flash memrit, amely akkor lpselnyt jelentett a

    tbbi kontrollerrel szemben.

    A jegyzetben elsdlegesen a 8031/51 csald legjabb fejlesztsi eredmnyekrl adunk

    ttekintst.

    A kvetkez fejezetben sszehasonltjuk a kt kontroller csald jellemzit

    A tovbbiakban sszefoglaljuk a chipbe integrlt digitlis s analg perifrik mkdst, s

    az alkalmazsi lehetsgeket. Ugyanakkor trgyaljuk a perifrik programozsnak

    mdozatait is.

    3.2. MCS51 s a P IC mikrokont rol l e rek fe lp t snek sszeha-sonl t sa

    A processzorok felptse alapveten klnbzik. A MCS51-es processzor mag Neumann

    felpts, ami azt jelenti, hogy mind az adat- mind a program memria kzs buszra

    csatlakozik, s ezen keresztl rhetk el. A PIC klnll program- s adatmemrival

    rendelkezik. (Harvard felpts.)

    1. Mindkt tpus adatmemrija 8 bites. Az MCS51-es programmemrija szintn 8 bites,

    mg a PIC- 12-14-16 bit szles, a csaldtl fggen.

    2. Az utastsok hossza az MCS51-es rendszernl egy s hrom bjt kztt vltozik. Az

    els bjt a mveleti kd, a msodik bjt az operandus, a harmadik bjt a 16 bites cm

    operandus msodik bjtja. A PIC -nl minden utasts egyszavas, kivve a 18-as

    csaldot, ahol megjelenik ngy ktszavas utasts.

    Cmzsi mdok:

    Kzvetlen (direkt) cmzs: mindkt csald tmogatja ezt, ahol egy 8 bites cm jelli ki

    az operandus - t. Az MCS51-es rendszerben csak a RAM als 128 bjtja s az SFR

    regiszterek cmezhetk ilyen mdon, mg a PIC -eknl a teljes adatmemria elrhet

    ilyen mdon.

    Kzvetett (indirekt) cmzs: mindkt csald tmogatja ezt a mdot, ahol egy regiszter

    tartalma hatrozza meg annak a regiszternek a cmt amivel a mveletet el kell vgezni.

    Az SFR memriaterlet az MCS51-nl gy nem rhet el, de klnben mind a kls s

    mind a bels memriaterlet elrhet. Hrom mutat segtsgvel trtnik ez a fajta

    cmzs: R0, R1, DPTR.A PIC eszkzk mutatknt egy vagy tbb (3) File Select

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    25.oldal

    Regisztert hasznljk erre a clra. (A PIC18-as csaldnl mutat s elemmvelet

    egyttes vgrehajtsa is lehetsges.

    Regiszteres utastsok: csak az MCS51-es rendszerben: R0-R7 regiszterek hasznlata,

    rvidebb utastsok a lokalits elvnek felhasznlsval.

    Regiszter-specifikus utastsok: Az MCS51-es rendszerben szmos utastst csak az

    akkumultorban lv adaton hajthatunk vgre (pl. CPL A). A PIC -eknl az utastsok

    legtbbje brmelyik regisztert hasznlhatja.

    lland mint operandus (immadiate): mindkett tmogatja

    Indexelt cmzs: MCS51: csak a program memria kezelhet gy. A PIC- eknl a

    program memrit cmz SFR regiszterekkel trtnik (PCL, PCLATH). A PIC18-as

    csaldnl a TBLRD utastssal a programmemria olvashat, illetve a TBLWR

    utastssal rhat.

    Bit cmzs: az MCS51-es rendszerben maximum 256 a memriban meghatrozott bit

    cmezhet (128 felhasznli, 128 SFR regiszterekben). A PIC -eknl minden bit cmezhet.

    A klasszikus MCS51-es rendszerben csak 384 regiszter lehetett a bels RAM - terleten, de

    ezt a tovbbfejlesztsekben megnveltk. Jelenleg a PIC18-as csaldnl a legnagyobb

    adatmemria 4 Kbjt lehet.

    3.3. Dig i t l i s peri frik

    A fejezetben megismerkednk - csaldtl fggetlen trgyalsban - a kontrollerek- ben

    megtallhat digitlis funkcikat, megvalst perifrikat. Els nagy csoportjuk a Port-

    ok, amelyek a microchip s a klvilg be-, s kimeneti jeleit illesztik. A msodik nagy

    csoport a szmllk s ezekhez csatlakoz funkcik (capture, compare, PWM konverzi

    stb.) A mkds megismerse mellett az alkalmazsi lehetsgekrl is foglalkozunk.

    3.3. .1. Portok

    A mikrokontrollerek s a klvilg kztt a Port-okon keresztl trtnik az adatforgalom. A

    fejlesztsek sorn klnbz illeszt ramkrket alaktottak ki. Legfontosabb kzs

    jellemz, hogy brmelyik port ki-, vagy bementknt is hasznlhat legyen.

    A 8031/51 mikrokontroller csald els vltozataiban a 15. bra szerinti megoldst talljuk.

    Minden port-bithez egy D trol tartozik. A Port rsakor a trol Cp ber jele aktivldik.

    Olvasskor viszont a lbhoz csatlakoz tri-state ramkr(k) nyitst vezrli az utasts.

    Ennek eredmnyeknt a bels adatbuszra a kls jel kerl. Betartand felttel, hogy a

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    26.oldal

    bemenetknt hasznlt bit troljban 1 rtk kell legyen. Ugyanez a felttel a port

    msodlagos funkcijnak hasznlatakor is.

    15. bra A 16. bra a kzelmltban fejlesztett kontroller illeszt ramkrt mutatja. A kls terhels

    jellegtl fggen md van Open collector, Push-pull s Weak-pullup (lgy vagy cskkentett

    impedancij) kimenet belltsra.

    16. bra

    A gyrtstechnolgia gyors fejldse egyre nvekv elemsrsg chip- ek ksztst tette

    lehetv. A nagy elemsrsg lehetsge, hogy sok funkcionlis egysg kerl egyetlen

    tokba. Egy-egy alkalmazsnl nem szksges mindegyik egysget hasznlni. gy felesleges

    Cp

    P3.x

    Ucc

    Msodlagos kimenet

    T D

    &

    Msodlagos bemenet

    Bels adat busz

    Port rs

    Port olvass

    Read modify olvass

    &

    Weak- Pullup

    1

    1

    VDD

    VDD

    DGND

    Push-Pull

    Port-kimenet

    Eng. Port-

    kimenet

    Analg vlaszt

    Analg bemenet

    Port-bemenet

    Port bit

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    27.oldal

    minden egysghez fix lbkiosztst rendelni. A nagyon kltsges kivezetsek (lbak)

    cskkentsre mutat pldt a 17. bra szerinti megolds. A Digital Crossbar egy kapcsol

    mtrix. A kapcsolatok programozsval oldhat meg, hogy lehetleg optimlisan hasz-

    nlhassuk a ki-, bemeneti port-biteket.

    17. bra

    A 18. bra a PIC csald port kialaktst mutatja. A hrom trol kzl a Data Latch trolja

    kiviend bitet. A TRIG Latch vezrli az rs, vagy olvass pillanatt. A beolvasott rtk

    pedig a legals trolba kerl. A port vezrlsekor kln kell megadni az irnyt

    18. bra

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    28.oldal

    3.3. .2. Oszci lltor

    A korszer kontrollerek mkdsnek szinkronozsa bels, vagy kls oszcilltorral

    trtnhet. A 19. brn bemutatott ramkrben a rendszer az rajelet (SYSCLK) vagy a tokba

    19. bra

    ptett oszcilltorbl, vagy a bemenet illeszt-vel csatolt kls klnbz rezgkrtl

    kapja. A bels oszcilltor frekvencija s ki-, bekapcsolsa OSC-ICN jel SFR regiszter

    bitjeivel vezrelhet. A kls oszcilltor lehet rezontor, kristly, kondenztor, vagy RC

    hlzat, amelyet az XTAL1/XTAL2 pontokhoz kell csatlakoztatni. A kls CMOS

    ragenertor alkalmazsakor az XTAL1 lb a bemenet.

    A reset jel utn a bels oszcilltor adja rendszer rajelt. Az RST lb alacsony szintjnl

    mindkt oszcilltor tiltott. Kls jelgenertorra vezrlssel lehet tvltani.

    3.3. .3. Idztk-szmllk

    A digitlisan megoldhat feladatok legnagyobb rszben alkalmazhat az idzts, vagy

    esemnyek szmllsa. A mikrokontrollerek mindegyikben megtallhatk a programozhat

    idzt/szmll egysgek. A kvetkezkben tmren ttekintjk a klnbz megol-

    dsokat.

    ltalnos felpts

    A 20.brn tekinthetjk meg a szmll egysgek kzs elemeit. A szmlls rtkt az SFR

    memria egy szavban (CT_L CT_H) tolja. A szmlland jel tbb forrsbl egy

    multiplexer (MX) vlasztja ki. Programbl vezrelhet a szmlls engedlyezse s a

    jelforrs. A vzolt felpts (az zemmd) esetenknt ugyancsak programbl vltoztathat.

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    29.oldal

    20. bra

    Bers-ismtls (Download)

    A leggyakoribb felhasznls egyike a frekvencia-oszts. A binris szmlls csak a 2 egsz

    szm hatvnynak megfelel rtkkel oszthat. A modulus vltoztatsnak egyik megoldsa,

    amikor a kezd szmot Ck- programozssal vltoztatatjuk. A szmlls tlcsordulsakor

    errl a szmrl kezddik jra szmlls. Amennyiben lland frekvencij jel alkal-

    mazsval llthatunk el klnbz frekvencij jelgenertort.

    A 21. bra szerinti felptsben az MT_L s MT_H regiszterekbe rjuk be a kvnt kezd

    szmot, amely a tlcsordulskor - CT =1 nl trdik a szmll regiszterbe.

    21. bra

    Cy

    MX

    CT_L CT_H &

    Szmlland jelforrsok

    Engedlyezs

    Szmll regiszter(ek) Tlcsorduls

    Jelforrs kivlaszts

    MT_L MT_H Modulus regiszter(ek)

    Kezd rtk

    Cy

    MX

    CT_L CT_H & Engedlyezs

    Szmll regiszter(ek)

    Tlcsorduls

    Jelforrs kivlaszts

    Szmlland jelforrsok

    Kezd rtk

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    30.oldal

    Mintavtelezs (Capture)

    A megoldand feladatok kztt gyakran kell mrni az esemnyek kztt eltelt id, vagy egy

    harmadik esemny szmt. Frekvencia, kitltsi tnyez meghatrozsa is elfordul a

    technolgiai folyamatok irnytsban. A Capture (mintavtelezs) funkci alkalmazsval

    22. bra megoldhat a feladat. A 22. bra szemllteti a mintavtelez ramkr egy lehetsges

    vltozatt. Az alapszmll (CT_L, CT_H) regisztereinek tartalma a tri-state csatolkapukon

    trhat a mintavtelez regiszterekbe (CP_L, CP_H).Programmal vlaszthat ki a

    szmlls, a mintavtelez jel forrsa, valamint engedlyezhet a mkds.

    sszehasonlts (Compare)

    Vltoztathat frekvencij, kitltsi tnyezj s fzis ngyszgjelek llthatk el az

    sszehasonlt funkci alkalmazsval. A 23. brn lthat blokkvzlaton a szmll

    regiszterek (CT_L, CT_H) tartalmt egy kompartor hasonltja ssze a CR_L, CR_H

    regiszterek tartalmval.

    23. bra

    Mintavtelez jelek

    Cy

    MX

    CT_L CT_H &

    Szmlland jelforrsok

    Engedlyezs

    Szmll regiszter(ek)

    Tlcsorduls

    Jelforrs kivlaszts

    &

    MX

    CP_L CP_H

    Mintavtelez (capture) regiszter(ek)

    Jel kivlaszts

    MX

    Jf Kompartor

    Cy CT_L CT_H &

    Szmlland jelforrsok Szmll regiszter(ek)

    Tlcsorduls

    Jelforrs kivlaszts

    CR_L CR_H sszehasonlt (compare) regiszter(ek)

    Megszakts kr bit

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    31.oldal

    Amikor a kt szmtartalom egyforma, egy jelz flag (Jf) 1-be rdik. A flag lekrdezhet,

    vagy megszaktst krhet. A compare regiszterek tartalma programbl rhat. Az egyezsg

    jelzsekor programbl vltoztathatk a kimenetknt hasznlt port bitek 1-be, vagy 0-ba. A

    jelsorozatok ellltshoz az aktulis megszakts rutinban kell berni a compare

    regiszterekbe a kvetkez jelvltshoz szksges rtket.

    Gyrtanak olyan kontrollereket is, amelyeknl az sszehasonlt funkci kzvetlen vezrli a

    hozz rendelt port biteket. Ilyen a PCB80C552 tpus mikrovezrl. ( A Fggelkben

    rszletesen olvashat a mkds s hasznlata.)

    Beptett ellenrz ramkr a Watch dog

    A kontrollerrel vezrelt irnytsok zavartalan mkdst biztostani kell a kls-, illetve

    bels zajok hatsnak jelzsvel, vagy kikszblsvel. A zaj hatsra ltrejtt prog-

    ramtveszts, vagy zrt programhurok megszntetsnek egyik megoldsa az un. Watch-dog

    szmll alkalmazsa. Ilyen beptett egysg mr a legtbb mikro vezrlben van.

    A 24. brn a 80C552 tpus mikrokontroller Watch dog ramkr blokkvzlata lthat. Az

    rendszer rajelet (foszc) a nagy kapacits idzt (az brn az eloszt + timer) szmllja. Ha

    a szmll tlcsordul, akkor a csatlakoz tranzisztor a kontrollert reset- eli. Ez a program

    jbli indulst vezrli. A program inicializl rszben program dntheti el, hogy hibbl

    trtnt-e az j indts, vagy nem. Hiba esetn jelzst lehet generlni.

    24. bra Az irnyt program, amely mindig ciklikus norml mkdsekor egy programponthoz adott

    idtartamon bell mindig visszatr. Ezen a helyen mindig jra kell rni az idzt

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    32.oldal

    regisztereket, s ezzel elkerlhet a tlcsorduls, az automatikus reset. A mkdst kls

    lbrl lehet engedlyezni. A regiszterek jratltst a PCON SFR regiszter vezrli.

    3.3. .4. Analg perifrik

    A krnyezet jeleinek tbbsgt mr eszkzk analg jeleket szolgltatnak. Ezek nagy

    hnyada feszltsg, vagy ram. Az irnyts, e jeleket hasznlja a mkds, vagy a

    beavatkozs hatsnak ellenrzsre. Az ipari szablyozsok jelents hnyadnl is az

    ellenrz jel analg.

    A korbbiakban egyedi eszkzk, majd integrlt ramkrk szolgltattk a mrt analg

    jelekkel arnyos digitlis informcit. Egyre tbb mikrokontrollerbe integrlnak klnbz

    sebessg, felbonts Analg-Digitl ( A/D) illetve Digitl-Analg ( D/A ) talaktkat,

    illetve analg kompartorokat.

    A fejezetben rviden ttekintjk a megoldsok nhny vltozatt.

    Analg-Digitl (A/D) talaktk

    A mikrokontrollerekbe tbbcsatorns, nagysgrendi kzelts (successive-approximation)

    elven mkd A/D talaktkat integrlnak. A 25. brn egy korszer 12 bites - A/D

    talakt felptst mutatjuk be.

    A 8 kls analg jel (AIN0 AIN7), valamint a chip hmrsklett mr rzkel (TEMP

    SENZOR) jele kapcsoldik a multiplexerre (ANMUX). Az zemmd, s a csatornavlaszt

    jelek megfelel kombincija vagy egy, vagy a kls jelek kzl egy sszetartoz pr-t

    vlaszt ki. A kivlasztott jel(ek) a programozhat erst (PGA) bemeneteire jutnak. Az

    ramkr ezzel a kialaktssal egyetlen analg rtk, vagy kt jel rtkeinek klnbsgt

    digitalizlja,

    25. bra

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    33.oldal

    Az A/D talakts az albbi mdokon indthat.

    1. Szoftverbl a vezrl regiszter egy bitjnek (AD0BUSY) 1-be rsval,

    2. Folytonos mrsi sorozat vezrelhet a Timer2, vagy a Timer3 bels idzt/szmll

    tlcsordulsval.

    3. A CNVSTR lbra adott kls jellel.

    Az talakts vgt az AD0BUSY=0 rtke jelzi. E bit rtknek figyelse trtnhet

    lekrdezssel (polling), megszakts elfogadsnak engedlyezsvel. A konverzi

    befejezse utn olvashatk ki a digitlis eredmny fels-, s als bjtjai.

    A legjabb fejleszts A/D talaktknl lehetsg van zemmd belltssal az

    eredmny jobbra, vagy balra igaztsra is. Van olyan megolds is, ahol az

    rtktartomnyon bell un. ablak jellhet ki, amelyen bell, vagy csak azon kvl trtnik

    megszaktskrs. Ez a megolds lehetsget nyjt egy adott rtktartomnyba es jel

    figyelsre, feldolgozsra.

    Digitl-Analg talaktk

    Az automatizlsi feladatok kztt egyre gyakoribb feladat a klnbz idfggvny szerint

    vltoz analg jelek ellltsa. Ilyen feladat, pl. a preczis mozgsvezrls, vagy

    jelkompenzls stb.

    A 26. brn szemlltetett, nagy sebessg Digitl-Analg (DAC) jeltalaktval viszonylag

    egyszeren hozhatunk ltre klnbz lefolys analg feszltsget.

    26. bra

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    34.oldal

    A jelelllts alapja, hogy egy tmbbe foglaljuk, a felbontsnak megfelel szm digitlis

    rtket, amelyek az ellltani kvnt analg jel - egyes idpontokhoz tartoz rtkei. Az

    adattmbbl, az egyms utni rtkeket trjuk a D/A talakt adatregisztereibe (DACH,

    DACL). Az rst az LSB bjttal kell kezdeni. A bert rtket az temez jel rja t az

    tmeneti trba (Latch). E regiszterek tartalmt alaktja analg jell a DAC ramkr,

    amelynek kimenethez egy admittancia-illeszt kvet erst csatlakozik.

    Az ellltott jel analg rtkt a digitlis jel, mg az idbeli vltozst az temez jel

    frekvencija hatrozza meg.

    Az bra szerinti ramkrben ngy klnbz temez jel alkalmazhat. Hrom idzt

    (Timer 1, 2, 3) valamelyiknek tlcsordulsa, vagy az MSB bjt bersa a DACH jel

    adatregiszterbe. Az idztkkel vals idej jeltalakts, mg a berssal szoftver-vezrelt

    jelvltozst valstunk meg.

    Az ismertetett DAC ramkr 8, vagy 12 bites digitlis informcibl llt el az AV+ -

    AGND feszltsgtartomnyba es analg rtket. A mkds tiltsakor a kimenet nagy

    impedancij lesz.

    Kompartorok

    Az irnytstechnikban sokszor alkalmazott kt-, vagy hromllsos szablyozsoknl a

    hibajel adott rtknl kell a beavatkoz elemet ki-, vagy bekapcsolni. Az tkapcsols

    hiszterzis -es kell, legyen.

    A 27. brn szemlltetett kompartor alkalmas a feladat elltsra. Az ramkr

    bemeneteinek (IN+ , IN- ) feszltsge hatrozza meg a kimenet llapott. A kt D trol

    biztostja a mkds szinkronjt.

    27. bra

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    35.oldal

    Programozssal llthat be kvnt hiszterzis. A 28. brn lthat a kimeneti jelalak.

    28. bra

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    36.oldal

    3.3. .5. Soros kommunikci

    A soros informcicsere a telefonnal kezddik, amelynl kt vezetken keresztl a hanggal

    arnyos analg feszltsgtvitel trtnik kt pont kztt. A telefontechnika fejldsnek

    eredmnye, hogy a 20. szzad kzepn mr kdolt (digitlis) szveg tvitelt is megvalst a

    TELEX. Ekkor szletik meg a V24 jel szabvny, amelynek rsze a mg ma is hasznlt

    RS232 szabvny szerint megvalstott soros vonali kommunikci.

    Az integrlsi technolgia fejldsnek eredmnye, hogy egyetlen chip -ben, egy

    mikrokontrollerben megvalstjk az nll soros kommunikcis hardvert is (I8051/31

    csald). Ekkor mg csak az RS232 szabvnynak megfelel a kialakts UART (Unit

    Asyinchron Recevie Transmit). A fejlesztsek eredmnye, hogy tovbbi kommunikcis

    protokollok szerint mkd soros vonali illesztket alaktanak ki. Ilyenek az I2C (System

    Management Bus SMB), vagy az SPI (Serial Peripheral Interface) busz.

    Ma mr tbb olyan mikrokontrollert is gyrtanak, amelyekben a felsorolt kommunikcis

    illesztk kzl tbbet, vagy mindegyiket kialaktottk. A felhasznl dnti el, hogy a

    fejlesztett rendszerben mely kommunikcikat hasznlja.

    A tovbbiakban a megemltett hrom vltozat ismertetsre kerl sor.

    UART (Unit Asyinchron Recevie Transmit)

    A klasszikus RS232 szabvnynak megfelel mkds UART portokkal kt adat-vezetken

    keresztl Tx (Transmit ad), Rx (Recevie vev) full-duplex (teljes ktirny)

    kommunikcit valsthat meg.

    Megjegyzs: termszetesen egy kzs null-vezett is kell hasznlni.

    Az UART - soros vonali illeszt blokkvzlata lthat a 29. brn.

    Az illeszt ramkr kt, mkdsben egymstl fggetlen egysgbl, az ad-, s a vev

    ramkrkbl ll. (A mkdst, temez jelet szolgltat Baud-Rate genertor nem rsze az

    UART -nak.) Az SCON sfr regiszterben vannak a soros illeszt egysg zemmd bellt-,

    vezrl-, s sttusz-bitjei.

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    37.oldal

    29. bra Elszr az ads mkdst elemezzk. Az indts eltt a Tx kimenet H szinten van. Az

    adst az SBUF jel lptetregiszterbe trtn rs kezdemnyezi. A 8 bites informci

    mellett a TQ jel trolba 1 a Stop-bit rdik .

    Az adatbers egyttal a Tx Control (adst-vezrl) Start bemenetn keresztl elindtja az

    albbi lpsek vezrlst.

    a Tx Clock bemenetre rkez els temez impulzus (rajel) ideje alatt a Send =1, a Data=0,

    ezrt a Tx kimenet L szint lesz. Ez az un. Start-bit, amely jelzi egy bjt adsnak kezdett.

    A kvetkez rajeltl kezdve a Data kimenet is 1-be vlt, s ezzel engedlyezi a bert adat

    LSB bitjnek kilpst a Tx vonalra.

    A kvetkez 8 temben az SBUF tartalmt a kimenetre lp, s a TQ trolba 0-t r s ez ltal

    a regiszter utols (9.) bitje lesz csak 1.

    A 9. lptets utn kirl a regiszter, s a Zero Detector egysg lelltja a vezrlst. Ekkor

    vlt 1-be a TI jel (Transmit Interrupt) bit. A bit rtke megszaktst is kezdemnyezhet, ha

    az engedlyezett, vagy lekrdezhet (polling).

    Vev

    Ad

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    38.oldal

    Az adatkzls teht bjtonknt automatikusan hajtdik vgre. Az adattvitelt kezel szoftver

    feladata csak a kvetkez bjt rsa, amikor a TI bit=1.

    Az alapmkdst szemllteti a 30. bra

    Az RS232 szabvny kommunikci idztse az M1 zemmdban

    30. bra Msodszor elemezzk a vev egysg mkdst

    Az Rx vonalon rkez adatsorozat a bemeneti informci.

    A vev egysg Start bemenetre az ldetektor ad indt jelet akkor, ha a vonalon 1-0

    tmenet (adat kezdet) van.

    Ettl kezdve, az rajel (Rx Clock) a jelsorozatot - a kerethiba jelz (Frame Error Detector)

    ramkrn keresztl a 9 bites bemeneti regiszterbe (Input Shift Regiszter) lpteti.

    A kilencedik lptets utn - ha nincs hiba a vett adat trdik az SBUF vev regiszterbe, s

    RI (Recevie Interrupt) bit 1 rtkre vlt. Ekkor lehet kiolvasni s feldolgozni a berkezett

    informcit.

    Az un. pont pont kapcsolatot, - vagyis amelynl csak kt lloms kommunikl -

    szemllteti a 31. bra.

    31. bra Az elzekben emltett hibafigyel ramkr hiba bitekkel ad jelzst a hibs mkdsrl,

    vagy vezrlsrl. A teljessg ignye nlkl kerethiba lehet pldul, ha a jelkezdettl bit

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    39.oldal

    id mlva a jel jbl 1 szint (zaj indtotta vtelt), vagy ha a 9. bit utn sem rkezik Stop-bit

    ( pl. vonalhiba is okozhatja) stb.

    Az UART zemmdjai: A mikrokontrollerek UART egysgei rendszerint tbb

    zemmdban is mkdhetnek.

    a. A 8 bites, az elzekben lert ads-vtel a leggyakrabban hasznlt zemmd.

    b. Tbb- (multi-) processzoros kommunikci a 9 bites adattvitellel alakthat ki.

    A 32. brn szemlltetett megoldssal tbb lloms kztt is kialakthat kommunikci. A

    kommunikci 9 bites szavakkal trtnik. A 9. bit hatrozza meg, hogy az eltte lv

    nyolc bit cm (1), vagy adat (0).

    A rsztvevk kzl mindig egyik a master, a tbbiek a slave -k.

    32. bra Az adattvitelt mindig a master ramkr kezdemnyezi. A slave egysgek is fogadjk az els

    adatot. A kezd bjt annak az egysgnek (slave -nek) a cme, amelyhez informcit kvn

    kldeni. Amelyik egysgnl MATCH Detect (lsd. 25.bra) azonostja a cmet, az

    engedlyezi a tovbbi kommunikciban val rszvtelt. A tbbi ramkr lekapcsoldik a

    buszrl. A tovbbi bjtok adatok, amelyeknl a 9. bit 0. Amennyiben vlasz is kell, akkor

    megcserldnek a szerepek s a vlaszad lesz a master.

    c. A szinkron zemmd

    A 33. brn lthat, hogy az egyik vonalon (Rx) rkezik az adat s msikon (Tx) az temez

    rajel.

    a.

    Az ads-vtel idztsei az zemmdban

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    40.oldal

    b.

    33. bra

    Tovbbi vltozatot jelent, hogy lehet az ads-vtel fix-, illetve vltoztathat temezs. Az

    rajelet rendszerint a kontroller valamelyik idzt/szmllja szolgltatja.

    I2C (System Management Bus SMB) busz

    Az I2C, Inter IC azaz IC-k kztti busz. Az I2C busz nagybonyolultsg integrlt ramkrk

    kztti soros informcicsert biztost megvalst snrendszer. Az tviteli half-duplex

    mdon trtnik, sebessge kb. 100-400 kb/s rtkig nvelhet.

    A kommunikci ktirny adatvonalon (SDA = Serial Data) keresztl trtnik, s egy

    kln rajel (SCL = Serial Clock) szinkronizlja az adatvezetken az adatokat. Mindkt

    buszvonalhoz csatlakoz hardver elemek nyitott (open) kollektoros kialaktsak. A busz

    elvi felptse a 34. brn lthat.

    34. bra

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    41.oldal

    Alaphelyzetben nincs adattvitel, a vonalak magas llapotban vannak (minden csatlakoz

    elem kimeneti tranzisztora zrt). Ha brmelyik tranzisztor kinyit, akkor az a vezetket

    alacsony szintre kapcsolja. Ezt a megoldst az elektronikban huzalozott vagy kapcsolatnak

    hvjk.

    A buszon az informciramls irnya alapjn megklnbztetnk Ad ill. Vev

    egysgeket. Az tvitel vezrlst a Master eszkz vgzi, irnytva a Slave egysgeket

    A funkcik: TRX = Transmitter (ad) egysg, kldi az adatot a buszra.

    RCV = Recivier (vev) egysg, adatot fogad a buszrl.

    A szerepek: MST = Master (mester) egysg, kezdemnyezi az tvitelt, generlja az

    rajelet, s lelltja az tvitelt.

    SLV = Slave (szolga): A mester ltal megcmzett egysg.

    A mikrokontroller I2C egysge mindegyik szerepre s funkcira kpes. Termszetesen, ha ez

    az egysg vezrli a perifrikat (s a gyakorlatban ez a leggyakoribb eset), akkor szerepe:

    mester s a perifrik a szolgk.

    A busz multi-master kialakts. Ez azt jelenti, hogy buszra kapcsold eszkzk kzl

    nem csak egy, hanem tbb is tveheti az tvitel vezrlst. Ezzel kapcsolatos fontos

    tulajdonsg az arbitration, vagy dnts. Ez egy eljrs, ami biztostja, ha egynl tbb

    mester akarja a buszt vezrelni, akkor ezt csak egyetlen egy tudja megtenni, gy adatveszts

    nem lphet fel.

    A 35. brn lthat az ramkr elvi felptse.

    Az Interface mkdst az 5 SFR regiszteren az

    SMBCN vezrl,

    SMBCR rajel bellt,

    SMBADR cm,

    SMBDAT adat,

    SMBSTA llapot (sttusz)

    keresztl mkdtethet

    Az informci tvitel bjtos szervezs. Minden bjt befejezse megszaktst kezdem-

    nyezhet.

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    42.oldal

    35. bra Az adatkzls felptst a 36. bra alapjn kvethetjk. Az tviteli szekvencia a kvetkez:

    Alaphelyzet a busz kt vonala magas szint.

    Az adattvitelt a master az un. START felttellel kezdi azzal, hogy az SCL vonalon

    kiadott impulzus magas szintjnl az SDA vonalon egy H-L tmenet hoz ltre.

    Ezutn vlnak aktvv a vonalak.

    36. bra A kvetkez lpsben a Slave cme kerl az SDA vonalra. A cm 7 bites, s a

    nyolcadik bit hatrozza meg az adattvitel irnyt. rs (W) 0 szint, olvass (R) 1

    szint.

    A kvetkez, 9. rajel alatt az ad egysg elengedi az SDA vonalat, s - a cmzett

    vev azt 0 szintre hzza, ami az adat elfogadst acknowledge (ACK) jelzi.

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    43.oldal

    A kvetkezkben trtnik az adatok kldse, amelyek szma nincs korltozva.

    Minden bjt vtele utn kvetkezik az ACK jelzs.

    Az adattvitel befejezst a mester az un. STOP felttellel zrja le azzal, hogy az

    SCL magas llapotban az SDA vonalon egy L-H tmenetet llt el. Ezt kveten

    vlnak a vonalak inaktvv.

    Ha a vev nem kpes adatot venni, akkor az ACK bit kldse helyett az SCL vonalat hzza

    le 0 szintre. Ezt nevezzk vrakoz llapotnak.

    A "minden bjt nyugtzsa" szably all kt kivtel van:

    Amikor a master a vev (MST/RCV). Ilyenkor az adnak valahogy jelezni kell az a-

    dssorozat vgt.. Az ACK jelhez kapcsold rajelet a mester termszetesen gene-

    rlja, de az SDA vonalat nem hzza le L szintre. Ez a negatv nyugtzs (NACK).

    A msik kivtel: a szolga akkor nem kld ACK jelet, ha nem kpes jabb adatbjtokat

    elfogadni. Ez akkor lp fel, ha olyan tvitelt kezdemnyeznk, amit nem kpes

    fogadni.

    A buszra kapcsold eszkzk cmei kt csoportba sorolhatk:

    Az egyikben a cm programozhat, ezek ltalban a mikrokontrollerek.

    A msik csoport a klnfle funkcikat megvalst perifria ramkrk. Ezeknl az

    eszkzknl a cm kt rszbl ll: a 4 bites tpuscmbl, s a bites hardver cmbl. A

    tpus cm az azonos funkcij tokoknl mindig megegyezik. A hardver cmet az adott

    tok megfelel lbaira kttt logikai szintekkel llthat be.

    Amennyiben a master tbb szolgval vgez egymst kveten - adatcsert, akkor a minden

    tvitelt lezr STOP, majd az jabb START llapot sokat lasst az tvitelen. Az tvitel azzal

    gyorsthat, hogy a STOP helyett ismtelt START llapot, majd az jabb slave cm

    kvetkezik. Ezt nevezzk ismtelt START llapotnak.

    Az informcitvitel mindig kt rsztvev az aktulis master s slave egysg kztt

    trtnik. Ennek megfelelen ngy vltozat lehetsges. A 37. a,b,c,d brkon szemlltetjk a

    vltozatok idbeli lefolyst. Az brkon hasznlt jellsek az albbi jelentsek:

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    44.oldal

    a. master ads

    b. master vtel

    c. slave ads

    d. slave vtel

    37. bra

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    45.oldal

    SPI (Serial Peripheral Interface) busz

    Az SPI soros vonali kommunikcis egysg ngy vezetken keresztl valst meg full-duplex

    adattvitelt. A mkdsi md master-slave jelleg, ahol a kapcsold egysgek brmelyike

    lehet a master ramkr. A mkds egyszer, amely a 38. brn bemutatott, pont-pont

    kapcsolaton kvethet.

    38. bra

    A ngy csatlakozs funkcija:

    A MOSI (Master Out, Slave In) pontokat sszekt vezetken kldi a master az

    adatokat a slave nek.

    A MISO (Master In, Slave Out) pontokat sszekt vezetken kldi a slave az ada-

    tokat a master nek.

    Az NSS (Slave Select) csatlakoz pontra kapcsol H szint, vlasztja ki a slave -t. A

    bemutatott brn a master mikrovezrl egyik Port-bitje (Px.y) adja a

    kivlasztst.

    Az SCK (Serial Clock) vezetkre a master adja a kommunikci sebessgt

    meghatroz rajelet. Maximlis rtke a rendszer-rajel (SYSCLK) tizede.

    Az SPI kommunikcis ramkr elvi blokk-vzlatt szemllteti 39. bra. A lertak szerinti

    mkds

    zemmdjt az SPICFG,

    vezrlst a SPICN,

    az rajelet a SPICKR, s

    az adatot SPIDAT

    SFR regiszterek felhasznlsval programozhat.

    A mkdtet szoftvernl a megszakts (SPI IRQ) is felhasznlhat!

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    46.oldal

    39. bra

    Az SPI ramkrkkel is megvalsthat a tbb llomsos kommunikcis hlzat. Az

    llomsok kzl az ppen kijellt master szolgltatja az temez jelet. A slave kivlaszts a

    mikrovezrl valamelyik port -jn keresztl, esetleg kls ramkrrel kiegsztve trtnhet.

    Az elvi felptst mutatja a 40. bra.

    40. bra

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    47.oldal

    Az SPCKR regiszter bitjeivel klnbz fzishelyzet szinkronozs valsthat meg. A 41.

    brn lthatk a varicik.

    41. bra

    Az ismertetett kommunikcis interface -k elvi mkdst trgyaltuk. Konkrt alkalmazsuk

    az adott mikrokontroller katalgusi adatai alapjn trtnhet.

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    48.oldal

    4. A programozhat logikai vezrlk (PLC) alkalmazsa

    A hallgatk a korbbi tanulmnyaik sorn az irnytstechnikban - megismerkedtek a

    PLC -k alkalmazsnak alapjaival. Laboratriumban gyakorlatot szereztek a Siemens S7

    tpus PLC programozsban. A fejezetben rviden ttekintjk a ma mr nlklzhetetlen

    irnytsi eszkzk, a PLC -k fejldst. Rszletesebben foglalkozunk a haznkban taln

    legjobban elterjedt hrom gyrt az OMRON, a SCNEIDER s a SIEMENS egy-egy

    kszlknek alapvet hardver jellemzivel. Trgyaljuk ezen eszkzk programozst,

    alkalmazsi lehetsgeit. Megismertetjk a fejleszti krnyezetek hasznlatt.

    4.1. Trtnet i tt ekints

    Nehz lenne megmondani, hogy mit tekinthetnk az automatizlsi rendszerek kezdetnek,

    mi is volt az els lpcs. Mindenesetre az ipari folyamatirnytst s a PLC -k fejldst

    tbb fontos dolog is befolysolta, mint pldul a mikroprocesszorok a flvezetk, s fknt a

    termels hatkonysgnak fokozsa. Az ipari vezrlberendezsek teljesen talaktottk, a

    termelsi folyamatot, cskkentve az l munkaer-ignyt, nveltk a termelkenysget, s

    lland minsget tudtak produklni. Az els ipari kivitel vezrlberendezsek a 1960-as

    vekben jelentek meg, a GM amerikai vllalat fejlesztsben. Akkoriban mg Programabble

    Controller -nek neveztk. A General Motors megbzsbl a Bedford Associates hozott ltre,

    egy j vllalatot olyan cllal, hogy kiszolglja, fejlessze s eladja az j termket a Modicon -

    t amit, a Modular Digital Control utn neveztek el gy. Majd 1969-ben eladtk az els

    mkd PLC t a 084-es tpusszmt, amely 1 Kb memrival s 128 I/O -val rendelkezett.

    Az els PLC tervezsben jelents szerepe volt Richard E. Morley (42. bra) akit mig, a

    PLC szlatyjnak tartanak.

    Az els genercij vezrlk, programozsa mg nem

    volt egysges, nem voltak univerzlis programnyelvek.

    Szmos PLC-t, hasonlan a kor kvetelmnyeinek

    megfelel szmtgphez, lyukkrtys mdszerrel

    programoztak. A lyukkrtys mdszeren kvl nagyon

    fontos volt Assembly-nyelv, valamint a gpi kd

    programozs. A szmtgpek s a mikroprocesszorok

    fejldsvel prhuzamosan fejldtek a PLC -k is.

    42. bra

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    49.oldal

    Az 1970-es vekben jelent meg, az ramt-terv -bl kialaktott un. ltra-diagram nev

    programozsi nyelv, amelyet napjainkig is tbb-kevesebb mdosts utn - hasznlunk.

    A Kand Klmn Villamosipari Mszaki Fiskola Hajtsszablyozs Tanszkn 1980-ban

    kezddtt a PLC technika oktatsa.

    Az els - MODICON 484 tpus (43. bra) PLC -t OMFB tmogatssal vette meg a

    Tanszk. Ezt a kszlket 2002-2003 tanvig hasznltuk az automatika szakos gazati

    kpzsnek laboratriumi gyakorlataiban, valamint a szak-zemmrnki, s egyb tan-

    folyami kpzsekben.

    43. bra Modicon 484

    A kszlket programozni - egy I 8080 tpus mikroprocesszorral megptett - kpernys

    programoz kszlkkel grafikusan lehetett. Programozsi nyelve a ksbbiekben LL98

    elnevezs programnyelv els vltozata volt. Ez tulajdonkppen a ltra-diagram s a

    funkci-blokk egyttes alkalmazsa. A fejleszti programot CMOS RAM trolta, s innen is

    futtatta a PROM-ba getett monitor program. On-line programozs trtnt.

    A kvetkezkben csak (44. 47. brk) felsorolssal mutatjuk be az elmlt 2 vtizedben

    nlunk hasznlt PLC -ket. A bemutatott kszlkek programozsa mr PC-n fut fejleszti

    krnyezetben trtnt.

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    50.oldal

    44. bra Klckner-Mller PS3

    45. bra Omron CQM

    46. bra Modicon Micro 612

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    51.oldal

    47. bra Siemens S5

    A kvetkez tpus (48. bra) mr az 1993-ban ksztett IEC szabvnyajnls mindegyik PLC

    nyelvn programozhat a CONCEPT fejleszti krnyezetben. Ugyanakkor Ethernet

    csatlakoztatssal hlzatban is hasznlhat.

    48. bra Modicon Momentum

    A sorra kvetkez rszekben bemutatjuk a haznkban legelterjedtebb PLC gyrt,

    forgalmaz az OMRON, a SCHNEIDER ELECTRIC s a SIEMENS egy-egy PLC -jt.

    A laboratriumi gyakorlatokon ezekkel a kszlkekkel tallkoznak, s irnytsi feladatokat

    oldanak meg.

    4.2. Az a lka lmazott hrom PLC t pus sszehason l t sa

    Az ismertetsre kerl hrom kszlk kzl a CJ1M, s az M340 tpusok teljesen

    modulris, mg az S7-313C tpus kompakt, amely bvthet tovbbi modulokkal. A mrsi

    gyakorlatokon e hrom tpus programozst ismerik meg a hallgatk.

    A kvetkezkben tmren sszefoglaljuk a hrom PLC legfontosabb tulajdonsgt.

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    52.oldal

    4.2. .1. Hardver kialakts

    A CJ1M tpus modulris PLC az OMRON CJ1 termkcsald legkisebb tagja (49.

    bra). Az utastskszlet, a kommunikcis parancsok s a memriaszervezs

    szempontjbl kompatibilis a CJ1G/H s a CS1 sorozattal.

    CJ1M (OMRON)

    49. bra

    A Schneider Electric legutbbi fejlesztseinek egyike a Modicon 340 elnevezs

    modulris PLC csald (50. bra). A PLC norml s nagy teljestmny processzorai

    az egy htlapos programozhat logikai vezrlt irnytjk. A konfigurciban

    legfeljebb 11 krtyahely lehet:

    M340 (SCHNEIDER E.)

    50. bra

    Tpegysg

    CPU Analg I/O Diszkrt bemenetek

    Diszkrt kimenetek

    Tpegysg

    CPU Analg I/O Diszkrt bemenetek

    Diszkrt kimenetek

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    53.oldal

    A Siemens S7 PLC szles vlasztk csald tagja az S7 313C tpus kompakt kszlk. Az egysg tovbb bvthet Klnbz I/O modulokkal (51. bra).

    S7-313 (SIEMENS)

    51. bra 4.2. .2. A tpegysg

    Mindhrom kszlkcsaldban nll tpegysg modulok vannak. A 52. brn az egyes

    csaldokhoz tartoz egysgek fnykpei lthatk.

    A tpegysgek: 24 Vdc, 48 Vdc vagy 100...240 Vac bemen tpfeszltsgek lehetnek.

    PA205C CPS 2000 PS 307

    52. bra 4.2. .3. A CPU

    Az egyes PLC csaldok kzpont egysgeinek sszehasonltsa sok szempont szerint tr-

    tnhet. Felhasznli szempontbl lnyeges adatok:

    mkdsi sebessg, utasts/ms.

    memriakapacits,

    Tpegysg

    USB illeszt

    S7 313C kompakt PLC Diszkrt bemenetek

    Diszkrt kimenetek

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    54.oldal

    maximlis bvt modulok szma,

    kommunikcis csatornk szma, tpusai.

    A felsoroltak szerint tekintjk a trgyalt hrom PLC tpus jellemzit.

    a. Az Omron PLC vlasztkban a CJ sorozat tagja az itt trgyalt CJ1M tpus (53.

    bra).

    Mkdsi sebessg: 4200 6400 utasts/ms

    Memriakapacits:

    Programmemria: 2,048 . 4,096 MB

    Adatmemria: 128 .. 256 KB

    Maximlis bvts: Diszkrt I/O 512 . 1024

    Analg I/O 66 2056

    Soros kommunikci: 53. bra

    CJ1M processzora

    b. Az M340 PLC ngyfle processzorral (BMX P340 1000, 2010, 2020, 2030) llthat

    ssze (54. bra). A felsoroltak kzl az 1000-es a legkisebb s a 2030-as a legnagyobb

    teljestmny.. (Az adatok az 1000 tpusra vonatkoznak)

    Mkdsi sebessg: ~7000 utasts/ms Memriakapacits:

    Programmemria: 4 MB

    Adatmemria: 256 KB

    Maximlis bvts: Diszkrt I/O 512

    Analg I/O 66

    Soros kommunikci: MODBUSZ Ethernet TCP/IP

    USB ---- Can Open

    54. bra P340 1000 CPU

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    55.oldal

    c. Az S7 300 PLC csald egyik kzepes teljestmny kompakt egysge a 313C

    kszlk.

    Az 55. brn lthat kompakt kszlknek a processzor mellett diszkrt s analg illeszt,

    valamint szmll egysgei is vannak.

    Az alapkonfigurci:

    24 diszkrt (digitlis) bemenet

    16 diszkrt (digitlis) kimenet, valamint

    4 analg be-, s

    2 analg kimenet, amely ram / feszltsg fogadsra, s mg egy bemenet hmrsk-

    let rzkel jelnek fogadsra.

    Az egysghez tovbbi modulok csatlakoztathatk.

    Mkdsi sebessg: ~ 10000 utasts/ms

    Memriakapacits:

    Programmemria: 64 KB

    Adatmemria: 256 KB

    Maximlis bvts:

    Diszkrt I/O 1024

    Analg I/O 256

    Soros kommunikci: Profibusz

    55. bra S7-313C kompakt kszlk

    4.2. .4. Diszkrt I/O i llesztk

    A klnbz cgek ltal gyrtott diszkrt be-, s kimeneti illeszt egysgeinek elvi ramkri

    kialaktsa kztt kevs az eltrs.

    Tipikus feszltsg 24 Vdc.

    Mind a bemeneti, mind a kimeneti oldalon a pozitv szintet alkalmazzk IGEN szintnek. A

    bemeneti (56.a.bra) s a kimeneti (b. bra) illeszt egysgek jellegzetes ramkri kialakts

    kapcsolsi vzlatai:

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    56.oldal

    a. b.

    56. bra Mindegyik gyrt klnbz csatlakozsi szm illesztket kszt. A 8, 16 s 32 a tipikus

    be-, kimeneti csatornaszm. Ugyancsak megtallhatk az olyan egysgek, amelyekben mind

    be-, mind kimeneti illesztk is vannak. Az utbbiak elssorban a kevs ki-bemeneti szm

    feladatok elltshoz elnysek.

    A 57. brn mindhrom cg egy - egy diszktt illesztegysgt mutatjuk be

    a. b. c.

    Omron Schneider Electric Siemens

    57. bra

    4.2. .5. Analg i llesztk

    Az ipari irnytsok tbbsgben kell szablyozsi s mrsi (adatgyjtsi) feladatokat

    vgezni. A fizikai, technikai folyamatok jeleibl fleg analg villamos jeleket lltanak el a

    klnbz jeladk, tvadk. Az PLC vel trtn automatizlsnl ezrt elengedhetetlenek a

    klnbz analg be-, s kimeneti illesztegysgek alkalmazsa. A szabvnyos villamos

    jelek kztt leggyakoribb a 4 - 20 mA -es ram, illetve a 10 V - + 10 V tartomnyba es

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    57.oldal

    feszltsg. A PLC csaldok elemvlasztkban tbb vltozatt is megtalljuk az analg

    illesztknek. Ezek kztt is vannak olyan modulok, amelyekben be- , s kimenetek illesztk

    is vannak.

    Az emltett klnbz szabvnyos jelek fogadsra, adsra is alkalmas a legtbb modul.

    Alkalmazsuknl szoftveresen kell belltani, hogy az egysgnl milyen jeleket alkalma-

    zunk.

    A 58. brn lthat modulok rnzsre kevsb klnbznek a diszkrt illesztegysgektl.

    A kialaktsban is egybl lthat, hogy melyik gyrt csaldjba tartozik.

    Omron Schneider Electric Siemens

    58. bra 4.2. .6. A memria

    A jegyzetben rszletesebben lert PLC -ben a hasznlt memriaterletek egyik rsze a

    kszlkben lv (flash)RAM, msik rsze a flash krtya. Az M340 tpus kszlk mem-

    riaterletnek kiosztsa lthat az 59.brn. A tbbi tpusnl is hasonl az elvi kialakts,

    ezrt itt nem mutatjuk meg.

    59. bra

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    58.oldal

    III. R S Z

    Irny ts technikai progr amok ter vezse , fe j lesz ts e

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    59.oldal

    5. Programfejles zts

    A fejezetben foglaljuk ssze az irnytsi feladatokat vgz programok fejlesztsnek a

    korbbi tanulmnyokban mr trgyalt -legfontosabb alapismereteit. Kln is hangslyozzuk,

    hogy a trgyalt fogalmak, eljrsok mind az ltalnos cl mikrogpek, mind a

    clhardver PLC k programfejlesztseinl alkalmazhatk.

    5.1. A programozs c lja

    A mikrogp mkdst meghatroz programot az un. code-, vagy programmemriba

    kell trolni az alkalmazott mikroprocesszor, vagy mikrokontroller - a tovbbiakban

    processzor - tpustl fgg gpi kdok sorozatval. A program vgrehajtsakor a

    processzor innen olvassa ki az utastsokat, s paramtereket.

    A programozs clja teht.

    egy olyan kdsorozat ltrehozsa, amelyet

    egy mikrogp (mikroprocesszor bzis szmtgp) programmemrijba

    tltve,

    meghatrozza a mikrogp feladat szerinti mkdst.

    5.2. A programfej leszt s lpsei

    A programfejleszts az albbiakban tmren ismertetett lpsekbl ll.

    a. A feladat egyrtelm lersa alapjn az alkalmazhat algoritmusok meghatrozsa. b. A program felptsnek megtervezse. Ezt segti a folyamatbra

    megrajzolsa.

    c. A programban hasznlt vltozk, konstansok, perifria-cmek meghatrozsa.

    d. A forrsprogram (ok) megrsa - valamilyen szvegszerkeszt (editor) segtsgvel - a vlasztott programozsi-nyelv "helyesrsi " (szintaktikai), s tartalmi (szeman-

    tikai) szablyai szerint. Ez lesz a program forrsnyelvi formja. A szmt-

    gpek, mikrogpek alkalmazsnak szleskr elterjedse a klnbz program-

    nyelv vlasztkot is bvtette. A programnyelveket gpkzeli - (assembly), s a

    magas szint nyelvek csoportjba sorolhatjuk.

    - Gpkzeli az a programozs, amelynl minden programlps

    programsor - az alkalmazott processzor egy-egy utastsa . Mivel minden

    processzornak sajt utastskszlete van, ezrt a programrs is

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    60.oldal

    processzorfgg. Az ilyen programrst nevezzk assembly vagy ms

    kzeltsben utasts szerkezet programrsnak.

    - A magas szint programozsi nyelvek (Pascal, C, a PLC nyelvek stb.)

    rendszerint a nemzetkzileg elfogadott matematikai , logikai mveletek, s

    klnbz ltalnos, a programszerkezet et meghatroz utast-

    sok segtsgvel rjk le a feladatot. Ezrt e nyelveken a programozs fg-

    getlen attl a gptl, amelyen a programot futatni akarjuk. A magas szint

    nyelven trtn programrst mvelet - kzpont programozsnak is

    nevezhetjk.

    e. A forrsnyelvi programbl a klnbz fordt programok (assembler, compiller) lltjk el a program trgykd (object) alakjt, illetve a programlistt,

    amelyek mr a processzor utastskdjait is tartalmazzk. (A lertakbl kvetkezik,

    hogy a magas szint nyelveknl is a fordtprogram mr processzortl fgg.)

    f. A trgykd programbl, vagy programokbl (tbb modul esetben) a szerkeszt (linker) program lltja el a futtathat programvltozatot.

    g. Az gy ellltott programot kell berni (letlteni) a programmemriba. A program-memria lehet fix (ROM, EPROM), illetve irhat-olvashat (RAM) kialakts. A

    begets, vagy letlts trtnhet teljes kd (binris), vagy tmrtett (pl. INTEL

    HEX) alak llomnybl. Ennek megfelelen a programozs utols lpse a kvnt

    formtum-konverzi elvgzse.

    5.3. A programfej leszt s e szkze i A programfejleszts leglnyegesebb eszkze az ember, mivel a feladatot megvalst

    program algoritmusait, felptst, vltozk, konstansok meghatrozst stb. csak alapos

    tervez, elemz munkval lehet, s kell elvgezni

    A programfejleszts trgyi eszkzei ma kizrlag ltalnos cl szmtgpek PC-k, s az

    ezeken fut fejleszt-programok segtsgvel trtnik. Termszetesen e programok csak a

    technikai httrt adjk. A teljessg ignye nlkl az albbi szoftverek elengedhetetlenl

    szksgesek:

    a rajzol programok (folyamatbra rajzols),

    a szvegszerkesztk, editorok (forrsnyelv llomny rshoz),

    az assemblerek, compillerek (a szveges llomny fordtshoz),

    a linkerek (az abszolt trgykd llomny szerkesztshez),

    az obj-hex, obj-bin talaktk (formtum-konverzihoz),

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    61.oldal

    a szimultorok (a program-szimulcihoz),

    emultorok, terminl-monitor programok (a vals idej tesztelshez)

    A programfejleszts mdszerei a sokszor az adottsgoktl fggen klnbzek. A PC-k

    elterjedsnek kezdetn a fejlesztsi lpsek egyedi vgrehajtsa, esetleg un. parancs-fjlok

    (batch) segtsgvel segtettk a hatkonysgot. A szmtgpek, a szoftvergyrts rohamos

    fejldse hozta, az un. integrlt fejleszti krnyezetek kialaktst, amelyek a felsorolt

    lpsek mindegyikt automatikusan, vagy kzi vezrlssel hajtjk vgre.

    A nagy szoftvergyrt cgek klnfle krnyezeteket (DOS, majd Windows opercis

    rendszerekben) fejlesztettek. Ezek elssorban az elterjedt magas szint nyelvekhez, gymint

    a Pascal, C++ stb. kszltek. A klnbz multitask -os opercis rendszerekben egyms

    utn jelentek meg az automatizlt programfejleszt programcsomagok, pl. DELFI,

    BILDER stb.

    A 8031/51 mikrokontroller csald programfejlesztshez a Keil Electronic cg msfl

    vtizede kszt fejleszt programokat (a51.exe, c51.exe, l51.exe, lib51.exe, ohs51.exe),

    illetve integrl fejleszt krnyezetet (UV4).

    A PLC -k programozshoz is a gyrt cgek ltal kifejlesztett integrlt fejleszti

    krnyezetek segtik a mkdtet programok rst, ellenrzst. Ilyenek fejleszti

    programcsomagok a CX-Programmer (Omron), Unity Pro XL (Schneider Electric), Simatic

    Step7 (Siemens)

    A modul mrsi feladatainak megoldsnl a felsorolt fejleszti krnyezetet alkalmazzuk.

    5.4. Programszerke zet ek A mikroprocesszoros berendezsek (mikrogpek, PLC -k) programjainak legkisebb egysgei

    az utasts-kdok, s paramtereik. A programkdokat a fordtk, compillerek lltjk el.

    A program forrs-llomnyt (forrs-fjl) nagyobb egysgek, un. program-blokkok

    csoportjaibl kell felpteni, amelyek egy adott jelleg mvelet vgrehajtshoz

    szksgesek.

    A kvetezekben sszefoglaljuk az elemi programblokkokat, valamint ezek segtsgvel

    kialakthat tipikus programszerkezeteket. A lertakat folyamatbrval szemlltetjk.

    A feladatot megvalst program tervezsnl clszer folyamatbrt hasznlni.

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    62.oldal

    OP1 s OP2 sszeadsa ; assembly nyelven

    . MOV A,OP1 ADDC A,OP2 MOV ERED,A

    . /* C nyelven */

    . ered = op1 + op2 ;

    .

    ugrs a folytatsra ; assembly nyelven

    . MOV ERED,A LJMP FOLYT

    . FOLYT:

    MOV DPTR,#NGS .

    Elemi program-blokkok

    A program szerkezeti elemei az elemi-blokkok.

    Mveleti blokk,

    egy logikailag sszetartoz (mate-

    matikai, logikai, stb.) utastscso-

    port.

    Felttel nlkli programelgazs

    A kvetkez utasts beol-

    vassa a memria tvolabbi

    cmrl trtnik. A c -

    nyelvben nem hasznlnak

    direkt ugrst.

    Feltteles programelgazs

    Egy vltoz (bjtos, bites)

    aktulis rtktl fgg,

    hogy az j utasts beol-

    vassa a programmemria

    kvetkez, vagy tvolabbi

    cmrl trtnik.

    ha az A=0 ugrs a folytatsra ; assembly nyelven

    . ADDC A,OP2 JZ FOLYT MOV ERED,A . FOLYT: MOV DPTR,#NGS

    . /* C nyelven */

    . if(ACC==0) { ered = ACC } . //folyt

    .

    Mvelet

    n. utasts

    n+1. utasts

    n. mvelet

    n+1. mvelet

    felttel igaz? nem

    igen

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    63.oldal

    Tbbirny programelgazs

    A alkalmazsokban gyakori feladat, hogy egy vltoz rtktl fggen klnbz

    mveleteket kell vgrehajtani. Ilyen esetben, a programban tbbirny elgazst, prog-

    ramugrst kell berni.

    A V1 aktulis rtktl fgg ugrs

    assembly nyelven MOV A,V1

    CJNE A,#E1,FO1 JMP MUV1

    FO1: CJNE A,#E2,FO2 JMP MUV2

    FO2: CJNE A,#E3,FO3 JMP MUV3

    . FOn: CJNE A,#En,NV JMP MUVn

    MUV1: . . ; 1. mvelet JMP NV

    MUV2: . . ; 2. mvelet JMP NV

    MUVn: . . ;n. mvelet NV: . ; folytats

    Nincs vlto-zs folytats

    A = vltoz

    A =1.rt?

    Ige

    1. mveletre

    A =2.rt? Nem

    2. mveletre

    A =3.rt? Nem

    3. mveletre

    A =n.rt?

    Ige

    Nem

    n. mveletre

    Ige

    Ige

    Nem

    Forrs: http://www.doksi.hu

  • Zalotay Pter: Programozhat irnytsok I Elmleti tananyag 1. flv

    64.oldal

    C nyelven

    switch (V1) { case E1 : /*1.mvelet*/ ; break; case E2 : /*2