~ 3 vhdl opis digitalnih sistema · u « 2019/20 1 ... realizacija je prikazana unutar dela begin...

15
Pokazna vežba 3 VHDL opis digitalnih sistema na nivou logičkih kola 2019/20 1 POKAZNA VEŽBA 3 VHDL opis digitalnih sistema na nivou logičkih kola Potrebno predznanje Urađene pokazne vežbe 1 i 2 Digitalna logička kola Šta će biti naučeno tokom izrade vežbe? Nakon urađene vežbe, bidete u mogudnosti da: Opišete proizvoljnu kombinacionu mrežu u VHDL-u koristedi opis na nivou logičkih kola Opišete VHDL Test Bench za proveru rada projektovanog digitalnog sistema Simulirate Test Bench u Intel Quartus alatu Sintetišete i implementirate vaš sistem za FPGA integrisano kolo Apstrakt i motivacija Jedna od najjednostavnijih matematičkih operacija je sabiranje. Implementacija digitalnog sistema koji vrši sabiranje dva broja može biti veoma mukotrpna. Posmatrajmo sabiranje dva 4-bitna broja, tj. dva broja koja vrednosti uzimaju u opsegu od 0 do 15. Rezultat ovog sabiranja je najviše 5-bitni broj, što znači da digitalni sistem koji vrši sabiranje dva 4-bitna broja računa 5 Bulovih funkcija od 8 promenljivih. Tradicionalni način implementacije ovog sistema bi zahtevao puno vremena istinitosna tablica bi imala 256 redova. A sve ovo zarad sabiranja brojeva od 0 do 15! Zamislite kolika je istinitosna tablica za sabiranje dva 64-bitna broja, što je standard u današnjim računarima... U ovoj vežbi naučidemo da opišemo digitalni sistem na mnogo, mnogo jednostavniji način koristedi VHDL jezik i njegove konstrukcije, a alatu demo pustiti da automatski formira istinitosne tablice i Bulove funkcije za naš sistem. Krajnji proizvod de biti isti sistem, opisan u vremenu koji je za više redova veličine manji od vremena potrebnog za tradicionalno projektovanje. Intel Quartus alat de umesto vas da otkrije Bulove funkcije koje vaš sistem treba da računa i implementira ih, omogudavajudi vama da se koncentrišete na projektovanje logike sistema, a ne na surov fizički posao pisanja istinitosne tablice i crtanja logičke šeme.

Upload: others

Post on 29-Jan-2020

1 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: ~ 3 VHDL opis digitalnih sistema · u « 2019/20 1 ... realizacija je prikazana unutar dela begin -end koji pripadaju arhitekturi sistema. u « 2019/20 5

Pokazna vežba 3 – VHDL opis digitalnih sistema na nivou logičkih kola 2019/20

1

POKAZNA VEŽBA 3

VHDL opis digitalnih sistema na nivou logičkih kola

Potrebno predznanje

Urađene pokazne vežbe 1 i 2

Digitalna logička kola

Šta će biti naučeno tokom izrade vežbe?

Nakon urađene vežbe, bidete u mogudnosti da:

Opišete proizvoljnu kombinacionu mrežu u VHDL-u koristedi opis na nivou logičkih kola

Opišete VHDL Test Bench za proveru rada projektovanog digitalnog sistema

Simulirate Test Bench u Intel Quartus alatu

Sintetišete i implementirate vaš sistem za FPGA integrisano kolo

Apstrakt i motivacija

Jedna od najjednostavnijih matematičkih operacija je sabiranje. Implementacija digitalnog sistema koji vrši

sabiranje dva broja može biti veoma mukotrpna. Posmatrajmo sabiranje dva 4-bitna broja, tj. dva broja koja

vrednosti uzimaju u opsegu od 0 do 15. Rezultat ovog sabiranja je najviše 5-bitni broj, što znači da digitalni sistem

koji vrši sabiranje dva 4-bitna broja računa 5 Bulovih funkcija od 8 promenljivih. Tradicionalni način

implementacije ovog sistema bi zahtevao puno vremena – istinitosna tablica bi imala 256 redova. A sve ovo zarad

sabiranja brojeva od 0 do 15! Zamislite kolika je istinitosna tablica za sabiranje dva 64-bitna broja, što je standard

u današnjim računarima... U ovoj vežbi naučidemo da opišemo digitalni sistem na mnogo, mnogo jednostavniji

način koristedi VHDL jezik i njegove konstrukcije, a alatu demo pustiti da automatski formira istinitosne tablice i

Bulove funkcije za naš sistem. Krajnji proizvod de biti isti sistem, opisan u vremenu koji je za više redova veličine

manji od vremena potrebnog za tradicionalno projektovanje. Intel Quartus alat de umesto vas da otkrije Bulove

funkcije koje vaš sistem treba da računa i implementira ih, omogudavajudi vama da se koncentrišete na

projektovanje logike sistema, a ne na surov fizički posao pisanja istinitosne tablice i crtanja logičke šeme.

Page 2: ~ 3 VHDL opis digitalnih sistema · u « 2019/20 1 ... realizacija je prikazana unutar dela begin -end koji pripadaju arhitekturi sistema. u « 2019/20 5

Pokazna vežba 3 – VHDL opis digitalnih sistema na nivou logičkih kola 2019/20

2

TEORIJSKE OSNOVE

1. VHDL opis sistema sa digitalnim logičkim kolima

Projektovanje digitalnih sistema pomodu logičke šeme postaje mukotrpni posao kada su u pitanju složeni

digitalni sistemi. Tokom rada na ovim vežbama, uskoro dete primetiti da čak i nešto što se smatra jednostavnim

komponentama digitalnih sistema (multiplekser ili sabirač, kao primer) zahteva implementaciju pomodu prilično

složenih kombinacija logičkih kola, tj. funkcije koju ove komponente računaju su prilično složene Bulove funkcije.

Ovo i nije začuđujude, s obzirom da funkcije rade na prostoru od samo 2 vrednosti – ukoliko je skup vrednosti

jednostavan, potrebna složenost se oslikava u funkcijama. Projektovanje čak i ovih jednostavnih komponenti na

nivou logičkih kola postaje veoma mukotrpno i bilo bi ljudski nemogude na ovom nivou projektovati složene

digitalne sisteme kao što je procesor.

Kako bi se olakšalo i time ubrzalo projektovanje veoma složenih digitalnih sistema, osmišljeni su jezici za opis

digitalnih sistema (HDL – Hardware Description Language). Ovi jezici liče na programske jezike, kako bi njihovo

usvajanje bilo lakše, no njihova namena je drugačija – oni služe da bi se lakše, brže i krade opisala arhitektura

digitalnog sistema. Opis digitalnog sistema u nekom od HDL jezika je ekvivalentan logičkoj šemi i predstavlja samo

drugi način predstave istog digitalnog sistema.

U ovom predmetu koristidemo VHDL, jezik za opis fizičke arhitekture. VHDL je HDL namenjen za opis

digitalnih sistema visoke integracije, iz čega je dobio i svoj naziv (VHDL – Very high scale integration circuit

Hardware Description Language). Ostali često korišteni jezici za opis fizičke arhitekture su Verilog, SystemVerilog i

SystemC, ali njih nedemo koristiti u ovom predmetu.

U prethodnim vežbama smo za opis digitalnog sistema koristili logičku šemu u *.bdf datoteci. Kako bi opisali

novi digitalni sistem pomodu VHDL jezika, potrebno je napraviti novu datoteku tipa *.vhd.

Izborom opcije File->New u glavnom meniju, otvara se prozor za kreiranje nove datoteke (Slika 1-1) i potrebno je

obeležiti VHDL File i pritisnuti dugme OK. Nakon toga, otvara se nova datoteka kojoj je potrebno dati ime i

sačuvati je u okviru projekta izborom File->Save As u glavnom meniju.

Slika 1-1. Kreiranje nove VHDL datoteke

Page 3: ~ 3 VHDL opis digitalnih sistema · u « 2019/20 1 ... realizacija je prikazana unutar dela begin -end koji pripadaju arhitekturi sistema. u « 2019/20 5

Pokazna vežba 3 – VHDL opis digitalnih sistema na nivou logičkih kola 2019/20

3

VHDL datoteka se sastoji iz tri osnovna dela:

use – nabrajanje biblioteka koje se koriste,

entity – deklaracija entiteta onako kako se on vidi spolja, tj. naziv i spisak ulaza i izlaza entiteta

digitalnog sistema,

architecture – definicija arhitekture digitalnog sistema, tj. komponenata sistema i Bulovih

funkcija koje sistem računa.

Intel Quartus alat ne generiše automatski nijedan deo opisa sistema i svaki put je potrebno ručno unositi kod.

Listing 1-1 prikazuje kako treba da izgleda osnovna struktura VHDL opisa digitalnog sistema.

Listing 1-1. Struktura VHDL opisa digitalnog sistema

library ieee;

use <libraryName>;

-- entitet sa deklaracijom svih ulaza i izlaza

entity <entityName> is

port(

<portName1> : <portDirection1> <portType1>;

<portName2> : <portDirection2> <portType2>;

...

<portNameN> : <portDirectionN> <portTypeN>

);

end entity;

-- arhitektura digitalnog sistema

architecture <architectureName> of <entityName> is

-- interni signali vidljivi samo u arhitekturi

signal <signalName> : <signalType>;

...

begin

<architectureBody>

end architecture;

Ostale delove VHDL opisa demo objasniti kroz primer. Posmatrajmo sistem sa Slike 1-2 koji predstavlja

digitalni sistem sastavljen od 2 logička kola.

Page 4: ~ 3 VHDL opis digitalnih sistema · u « 2019/20 1 ... realizacija je prikazana unutar dela begin -end koji pripadaju arhitekturi sistema. u « 2019/20 5

Pokazna vežba 3 – VHDL opis digitalnih sistema na nivou logičkih kola 2019/20

4

Slika 1-2. Primer jednostavnog digitalnog sistema

Entitet sistema je predstava sistema onako kako se on vidi SPOLJA. Posmatrajte sistem sa Slike 1-2 spolja,

tako da nemate mogudnost da vidite unutrašnjost sistema (unutrašnjost pravougaonika). Šta vam je vidljivo? Ako

„zatamnite“ unutrašnjost sistema, vidljivi de ostati jedino ulazi i izlazi sistema, tzv. prolazi (ports). Ulazi sistema su

promenljive Bulovih funkcija koje sistem računa, a izlazi su rezultati Bulovih funkcija. Svaki pojedinačni izlaz

predstavlja jednu Bulovu funkciju ulaza. Ukoliko sistem ima N izlaza i M ulaza, on računa N Bulovih funkcija od M

promenljivih.

Digitalni sistem sa Slike 1-2 ima dva ulaza i jedan izlaz, što znači da sistem računa jednu Bulovu funkciju od dve

promenljive. Prilikom opisa entiteta sistema, mora se definisati sledede:

entityName – jedinstveno ime entiteta (sistema),

portName1 .. portNameN – nazivi prolaza sistema,

portDirection1 .. portDirectionN – smerovi prolaza sistema (in za ulaze i out za izlaze),

portType1 .. portTypeN – tipovi signala prolaza sistema.

Prilikom VHDL opisa digitalnog sistema, tip signala koji predstavlja jednu Bulovu promenljivu (signal koji uzima

jednu od 2 vrednosti) se naziva std_logic. Ukoliko želite da više signala, odn. žica u sistemu, imaju isto ime, taj

skup žica se može definisati da bude tipa std_logic_vector (N-1 downto 0), gde je N broj žica (bita) u signalu.

U našem primeru, dva ulaza su nazvana iA i iB, tipa std_logic, a jedini izlaz je nazvan oY, tipa std_logic. VHDL

konvencija davanja naziva signalima je da se ulazima dodeljuju nazivi sa malim početnim slovom i, a izlazima nazivi

sa malim početnim slovom o.

Nakog definisanja entiteta sistema, u poslednjem delu VHDL opisa definiše se arhitektura sistema. Arhitektura

sistema predstavlja UNUTRAŠNJOST sistema, a njen opis podrazumeva opis ponašanja svih komponenata unutar

sistema. Za kombinacione mreže, arhitektura predstavlja opis svih Bulovih funkcija koje kombinaciona mreža

računa, tj. opis svih logičkih kola koji se nalaze unutar sistema.

Ukoliko pogledate ponovo Sliku 1-2, videdete da se unutar sistema pojavljuje signal koji se ne vidi spolja

(signal sS). Takvi signali, koji se ne vide spolja, a postoje unutar sistema, nazivaju se interni signali i u VHDL opisu

sistema bivaju definisani unutar arhitekture sistema. Interni signali se deklarišu pre ključne reči begin, pomodu

sintakse koja liči na deklaraciju prolaza (Listing 1-1). VHDL konvencija dodeljivanja naziva predlaže da se internim

signalima dodeljuju nazivi sa malim početnim slovom s. Vodite računa da sistem komunicira sa svojom okolinom

isključivo preko prolaza (ulaza/izlaza), odn. interni signali nisu vidljivi izvan sistema.

Konačno, nakon ključne reči begin sledi opis arhitekture sistema, tj. logičkih kola koji se nalaze u sistemu.

Prilikom opisa digitalnih sistema na nivou logičkih kola, unutar tela arhitekture treba navesti, redom, sva logička

kola koja se nalaze unutar digitalnog sistema, koristedi logičke operatore: not, and, or, xor, nand, nor, xnor.

Operator dodele vrednosti izlaznom signalu iz logičkog kola je operator <=.

U nastavku je dat Listing 1-2, koji prikazuje opis digitalnog sistema sa Slike 1-2. U okviru entiteta prvo se

deklarišu ulazi iA i iB, kao i izlaz oY digitalnog sistema sa Slike 1-2. Zatim se deklariše signal sS u okviru arhitekture

sistema, a pre ključne reči begin.

Digitalni sistem sa slike koristi 2 logička kola za računanje izlaza Bulove funkcije: AND i NOT i njihova

realizacija je prikazana unutar dela begin-end koji pripadaju arhitekturi sistema.

Page 5: ~ 3 VHDL opis digitalnih sistema · u « 2019/20 1 ... realizacija je prikazana unutar dela begin -end koji pripadaju arhitekturi sistema. u « 2019/20 5

Pokazna vežba 3 – VHDL opis digitalnih sistema na nivou logičkih kola 2019/20

5

Listing 1-2. VHDL opis digitalnog sistema sa Slike 1-2

library ieee;

use ieee.std_logic_1164.all;

entity MyFirstDigitalSystem is

port(

iA : in std_logic;

iB : in std_logic;

oY : out std_logic

);

end entity;

architecture Behavioral of MyFirstDigitalSystem is

signal sS : std_logic;

begin

sS <= iA and iB;

oY <= not(sS);

end architecture;

Redosled navođenja logičkih kola nije bitan, pošto su dodele u VHDL jeziku jednostavan lingvistički način

navođenja elemenata sistema, a ne stvarno „dodeljivanje“ vrednosti. Kao što nije bitno da li dete na papiru, dok

crtate šemu vašeg digitalnog sistema, prvo nacrtati NOT kolo sa desne strane, pa onda nacrtati AND kolo sa leve

strane, ili obrnuto, tako ni redosled navođenja tih kola unutar VHDL opisa nije bitan. Dodele u VHDL jeziku ne

treba posmatrati sekvencijalno, kao što bi ih posmatrali u programskim jezicima, ved ih treba posmatrati kao opis

komponenata koje uključujete u vaš digitalni sistem. Nazivi signala govore alatu kako ste vi povezali komponente

koje navodite.

Naravno, VHDL omogudava da opišete više od jednog logičkog kola unutar jedne dodele, navodedi složeniju

Bulovu funkciju u jednom redu. Sistem sa Slike 1-2 je mogude opisati i slededom jednom dodelom, umesto dve:

oY <= not(iA and iB);

Zbog ovoga, prilikom opisa kombinacionih mreža, svaki izlaz se može opisati u jednom redu, kao Bulova

funkcija ulaza sistema, pa se gubi potreba za internim signalima. Odluka o tome da li de vaš opis imati više

jednostavnijih dodela ili manje složenijih je na vama, a ona bi trebala da ima optimalni odnos čitljivosti i veličine

opisa.

2. Verifikacija rada digitalnih sistema – VHDL test bench

Test bench je digitalni sistem BEZ PROLAZA i služi isključivo za proveru rada nekog drugog digitalnog sistema.

Zadatak test bencha je da definiše ulaz u sistem koji proverava i kupi vrednosti izlaza u svoje interne signale, kako

bi oni mogli biti analizirani od strane alata za simulaciju.

Za razliku od opisa samog sistema, test bench opisuje sekvencu vrednosti ulaznih signala, čime se poprilično

razlikuje od samog opisa digitalnog sistema koji nema sekvencijalnost. Test bench uvodi sekvencijalnost u svoj

opis zbog potrebe da se u njemu definiše vremenski redosled signala, odnosno da se jasno definiše kada se koja

vrednost šalje na ulaz i koliko traju pauze između dve promene vrednosti na ulazu. Test bench biva korišten

Page 6: ~ 3 VHDL opis digitalnih sistema · u « 2019/20 1 ... realizacija je prikazana unutar dela begin -end koji pripadaju arhitekturi sistema. u « 2019/20 5

Pokazna vežba 3 – VHDL opis digitalnih sistema na nivou logičkih kola 2019/20

6

isključivo od strane simulatora, programskog alata za simulaciju rada digitalnog sistema, zbog čega i biva

interpretiran na „softverski“ način, sekvencijalno.

Pre nego što napravimo test bench datoteku, potrebno je podesiti EDA alate. Izborom opcije u glavnom

meniju Assignment->Settings->EDA Tool Settings podesite deo za simulaciju kao na Slici 2-1. Na vežbama demo

koristiti alat ModelSim-Altera i format VHDL za opis digitalnog sistema i test datoteke, tako da je potrebno

izabrati ove dve opcije.

Slika 2-1. Podešavanje simulatora

Simulator je sada podešen i potrebno je ponovo prevesti ceo projekat, kako bi se kreirao folder za simulaciju

u koji demo dodati novu datoteku za testiranje.

Napravite novu *.vhd datoteku, a zatim je sačuvajte kao *_tb.vhd, gde je * naziv entiteta koji se testira (u

našem slučaju MyFirstDigitalSystem), a dodatak u nazivu tb označava da je to test bench datoteka.

Test datoteku je potrebno snimiti u folderu simulation/modelsim i dodati je u projekat pomodu opcije menija

Project->Add/Remove Files in Project...

Takođe je potrebno dodati test bench datoteku i u simulaciju izborom Assignment->Settings->EDA Tool

Settings->Simulation kao na Slici 2-2 i Slici 2-3.

Page 7: ~ 3 VHDL opis digitalnih sistema · u « 2019/20 1 ... realizacija je prikazana unutar dela begin -end koji pripadaju arhitekturi sistema. u « 2019/20 5

Pokazna vežba 3 – VHDL opis digitalnih sistema na nivou logičkih kola 2019/20

7

Slika 2-2. Dodavanje nove test bench datoteke

Pritisnite Test Benches… dugme i otvoride se prozor za dodavanje nove datoteke, gde je potrebno uneti ime

test datoteke (može biti više test bench datoteka), podesiti period simulacije na 1 µs, navesti putanju do test

bench datoteke i pritisnuti Add.

Slika 2-3. Dodavanje nove test bench datoteke 2

Page 8: ~ 3 VHDL opis digitalnih sistema · u « 2019/20 1 ... realizacija je prikazana unutar dela begin -end koji pripadaju arhitekturi sistema. u « 2019/20 5

Pokazna vežba 3 – VHDL opis digitalnih sistema na nivou logičkih kola 2019/20

8

Nakon kreiranja test datoteke i dodavanja u projekat i simulaciju, možemo početi sa pisanjem koda koji de

testirati naš digitalni sistem. Listing 2-1 prikazuje kako treba da izgleda osnovna struktura VHDL opisa test bench

datoteke.

Listing 2-1. Struktura VHDL test bench datoteke

library ieee;

use <libraryName>;

entity <entityName_tb> is

end entity;

architecture <architectureName_tb> of <entityName_tb> is

-- signali

signal <signalName1> : <portType1>;

signal <signalName2> : <portType2>;

...

signal <signalNameN> : <portTypeN>;

-- deklaracija komponente koja će se testirati

component <componentName> -- componentName isto kao top-level entity

port(

<portName1> : <portDirection1> <portType1>;

<portName2> : <portDirection2> <portType2>;

...

<portNameN> : <portDirectionN> <portTypeN>

);

end component <componentName>;

begin

-- instanciranje i mapiranje glavnih portova i signala

<uutName> : <componentName> port map (

<portName1> => <signalName1>,

<portName2> => <signalName2>,

...

<portNameN> => <signalNameN>

);

<processName> : process

-- lista osetljivosti - opciono

begin

-- programski kod osetljiv na promenu iz liste osetljivosti (stimulus)

wait;

end process <processName>;

end architecture;

Page 9: ~ 3 VHDL opis digitalnih sistema · u « 2019/20 1 ... realizacija je prikazana unutar dela begin -end koji pripadaju arhitekturi sistema. u « 2019/20 5

Pokazna vežba 3 – VHDL opis digitalnih sistema na nivou logičkih kola 2019/20

9

Na osnovu Listinga 2-1, napisademo test bench datoteku za digitalni sistem sa Slike 1-2. Digitalni sistem za

testiranje glavnog entiteta je opisan u Listingu 2-2. Ved smo naučili da se vhdl datoteka sastoji iz tri dela, pa tako i

ova (iako je test bench) mora imati: use, entity i architecture.

Entity deo je prazan iz razloga što je test bench u suštini digitalni sistem bez prolaza, a entitet definiše ulaze i

izlaze sistema.

U okviru arhitekture test bencha, definišemo samo interne signale u koje demo mapirati ulaze i izlaze iz

glavnog entiteta koji testiramo i koji smo opisali u prethodnoj vhdl datoteci. Potrebno je napraviti onoliko signala

koliko imamo prolaza u glavnom entitetu. Takođe, u okviru arhitekture test bench-a moramo instancirati

komponentu koju demo testirati i povezati njene prolaze sa signalima u test bench-u (port map). Prvo je potrebno

uraditi deklaraciju komponente. Komponenta izgleda indentično kao entity blok modula koji želite da uključite,

samo je ključna reč entity zamenjena sa component. Komponenta se deklariše u sekciji pre begin arhitekture.

Posle toga se glavni entitet instancira unutar arhitekture, ali posle begin. Prilikom mapiranja (povezivanja) prolaza

i signala, potrebno je sa leve strane navesti prolaze glavnog entiteta, a sa desne strane znaka => signale iz test

bench arhitekture.

Nakon instanciranja komponente i mapiranja, potrebno je zadati sekvencu ulaznih vrednosti sa kojima želimo

da verifikujemo sistem i to demo navesti u stimulus procesu, nakon ključne reči begin od stimulus procesa.

Kako bi verifikovali da naš sistem radi ispravno, poželjno je u test bench-u pokriti sve mogude kombinacije

ulaza (iA i iB) iz istinitosne tablice, a zatim u simulaciji proveriti da li smo dobili željeni rezultat (oY) za svaku od

zadatih kombinacija ulaza.

Naš sistem ima 2 ulaza i potrebno je verifikovati ukupno 22 tj. 4 kombinacije, tako da demo ispravnost sistema

proveriti koristedi slededu sekvencu ulaznih vrednosti:

na početku, oba ulaza neka budu jednaka 0 i neka to stanje traje 100 ns,

nakon toga, neka signal iA ima vrednost 0, a signal iB vrednost 1 i neka novo stanje traje 100 ns,

nakon toga, neka signal iA ima vrednost 1, a signal iB vrednost 0 i neka novo stanje traje 100 ns,

nakon toga, oba ulaza neka budu jednaka 1 i neka ovo stanje traje do kraja simulacije.

Na ovaj način smo pokrili sve kombinacije iz istinitosne tablice za ulaze iA i iB.

Operator dodele u VHDL-u može da se iskoristi za dodelu vrednosti signalu unutar stimulus dela test bench

datoteke. Konstantne vrednosti (literali) tipa std_logic se navode između apostrofa ('0'), dok se konstantne

vrednosti tipa std_logic_vector navode između navodnika ( “000” ).

Vremenska komponenta u opisu sekvence ulaznih vrednosti se opisuje koristedi wait for iskaz. Ovaj iskaz

govori simulatoru koliko dugo da „drži“ vrednosti na ulazu do naredne promene.

Komentari u VHDL-u se pišu nakon dva minusa (--). Sve navedeno nakon toga alat ignoriše.

Na kraju stimulus procesa treba navesti iskaz wait jer on govori simulatoru da poslednje stanje ulaznih signala

zadrži beskonačno, odnosno do kraja simulacije. U suprotnom, simulacija de stati istog trenutka i verovatno

nedete biti u mogudnosti da vidite izlaz za poslednju kombinaciju ulaza.

I konačno, naša test bench datoteka za testiranje glavnog entiteta sa Slike 1-2 izgleda kao u Listingu 2-2.

Page 10: ~ 3 VHDL opis digitalnih sistema · u « 2019/20 1 ... realizacija je prikazana unutar dela begin -end koji pripadaju arhitekturi sistema. u « 2019/20 5

Pokazna vežba 3 – VHDL opis digitalnih sistema na nivou logičkih kola 2019/20

10

Listing 2-2. Test bench za digitalni sistem sa Slike 1-2

library ieee;

use ieee.std_logic_1164.all;

entity MyFirstDigitalSystem_tb is

end MyFirstDigitalSystem_tb;

architecture Test_tb of MyFirstDigitalSystem_tb is

signal sA : std_logic;

signal sB : std_logic;

signal sY : std_logic;

component MyFirstDigitalSystem

port(

iA : in std_logic;

iB : in std_logic;

oY : out std_logic

);

end component;

begin

-- instanciranje i mapiranje glavnih portova i signala

uut : MyFirstDigitalSystem port map (

iA => sA,

iB => sB,

oY => sY

);

stimulus : process

begin

sA <= '0';

sB <= '0';

wait for 100 ns;

sA <= '0';

sB <= '1';

wait for 100 ns;

sA <= '1';

sB <= '0';

wait for 100 ns;

sA <= '1';

sB <= '1';

wait;

end process stimulus;

end architecture;

Page 11: ~ 3 VHDL opis digitalnih sistema · u « 2019/20 1 ... realizacija je prikazana unutar dela begin -end koji pripadaju arhitekturi sistema. u « 2019/20 5

Pokazna vežba 3 – VHDL opis digitalnih sistema na nivou logičkih kola 2019/20

11

ZADACI

3. Simulacija digitalnog sistema

ModelSim-Altera simulator je sastavni deo Intel Quartus programskog paketa. Uz pomod ovog programa

mogude je izvršiti funkcionalnu proveru ispravnosti digitalnog sistema koji se projektuje. Ovde se navode samo

osnovne komande za njegovo korišdenje.

Simulacija HDL koda se izvršava u tri osnovna koraka (važi za svaki HDL simulator):

Prevođenje izvornog koda (Compile) – sadrži ranije navedenu opciju za proveru sintakse

Pokretanje radnog režima za simulaciju (Simulate)

Pokretanje simulacije (Run)

Kada se ModelSim-Altera simulator pozove iz radnog okruženja sva tri koraka se izvrše automatski. U slučaju

greške u nekom od koraka, poruka o grešci de biti ispisana u konzoli.

U prethodnim koracima za pisanje test bench datoteke uradili smo delimično podešavanja i za simulator. Za

ispravnu simulaciju, takođe je potrebno podesiti putanju do izvršne datoteke ModelSim-Altera simulatora

izborom opcije glavnog menija Tools->Options->General->EDA Tool Options i u polju ModelSim-Altera podesiti

putanju do foldera sa izvršnom datotekom, kao na Slici 3-1.

Slika 3-1. Podešavanje putanje do izvršne datoteke ModelSim-Altera simulatora

Sada možemo pokrenuti ModelSim-Altera simulator u meniju Tools->Run Simulation Tool->RTL Simulation i

dobidemo prozor kao na Slici 3-2.

Page 12: ~ 3 VHDL opis digitalnih sistema · u « 2019/20 1 ... realizacija je prikazana unutar dela begin -end koji pripadaju arhitekturi sistema. u « 2019/20 5

Pokazna vežba 3 – VHDL opis digitalnih sistema na nivou logičkih kola 2019/20

12

Slika 3-2. Izgled osnovnog prozora ModelSim-Altera simulatora

Izborom opcije Simulate->Start Simulation, otvoride se prozor kao na Slici 3-3, gde u polju work vidimo koje

su sve datoteke uključene u simulaciju. Potrebno je izabrati test bench datoteku koji želimo da simuliramo i

pritisnemo OK.

Slika 3-3. Pokretanje simulacije

Nakon toga, otvara se novi prozor, gde se sa leve strane nalazi sim prozor u kojem su prikazani delovi sistema

(Design Unit) i podržane biblioteke, dok se u desnom delu nalaze odgovarajudi signali (Slika 3-4).

Page 13: ~ 3 VHDL opis digitalnih sistema · u « 2019/20 1 ... realizacija je prikazana unutar dela begin -end koji pripadaju arhitekturi sistema. u « 2019/20 5

Pokazna vežba 3 – VHDL opis digitalnih sistema na nivou logičkih kola 2019/20

13

Slika 3-4. Prikaz signala u simulaciji

Za svaki signal koji želite da pratite u dijagramu signala, pritisnite desni klik na ime signala u prozoru Objects i

izaberite Add To / Wave / Selected Signal, nakon čega de se pojaviti prozor za iscrtavanje signala (Slika 3-5).

Pored signala sa vrha hijerarhije, mogude je dodati i druge signale u prozor za prikaz rezultata simulacije. Oblici

naknadno uključenih signala de biti iscrtani samo u vremenskim trenucima simulacije nakon trenutka uključivanja

signala u listu. Ukoliko želimo omoguditi iscrtavanje oblika svih signala od nultog trenutka (i onih naknadno

dodatih) neophodno je zatvoriti prozor rezultata simulacije i ponovo pokrenuti simulaciju.

Slika 3-5. Dodavanje signala za iscrtavanje

Page 14: ~ 3 VHDL opis digitalnih sistema · u « 2019/20 1 ... realizacija je prikazana unutar dela begin -end koji pripadaju arhitekturi sistema. u « 2019/20 5

Pokazna vežba 3 – VHDL opis digitalnih sistema na nivou logičkih kola 2019/20

14

U gornjem delu prozora sa ikonicama, pronađite ikonicu koja definiše period signala (npr. 100ns). Desno od te

ikonice je ikonica za pokretanje simulacije. Pokrenite simulaciju i signali de se pojaviti u prozoru za iscrtavanje, kao

na Slici 3-6. Svi signali bi trebali biti zelene boje. Ukoliko je neki signal crvene boje, to znači da jedan ili više ulaza

nisu definisani dobro. Sada proverite da li su dobijeni rezultati dobri uz pomod istinitosne tablice.

Slika 3-6. Pokretanje simulacije

3.1. Provera rada sistema posmatranjem simulacionog dijagrama

Grupa ikona na Slici 3-1-1 omogudava podešavanje prikaza signala kao i kontrolu toka izvršenja simulacije.

Slika 3-1-1. Ikonice za podešavanje signala i kontrolu izvršenja simulacijee

Značenja pojedinih ikona su:

Zoom In – povedanje nivoa uvedanja.

Zoom Out – smanjenje nivoa uvedanja.

Zoom to Full View – podešavanje nivoa uvedanja na nivo koji omogudava pregled kompletnog

toka simulacije.

Find Previous Transition – skok na prethodnu promenu signala.

Find Next Transition – skok na narednu promenu signala.

Insert Cursor – postavljenje pojedinačnog markera.

Delete Cursor – uklanjanje pojedinačnog markera.

Restart – restartovanje simulacije.

Run All – pokretanje izvršenja simulacije na neodređen vremenski period.

Run– pokretanje izvršenja simulacije na vremeski period definisan u susednom polju.

Page 15: ~ 3 VHDL opis digitalnih sistema · u « 2019/20 1 ... realizacija je prikazana unutar dela begin -end koji pripadaju arhitekturi sistema. u « 2019/20 5

Pokazna vežba 3 – VHDL opis digitalnih sistema na nivou logičkih kola 2019/20

15

Run Length – dužina trajanja simulacije

Step Into – izvršenje simulacije korak po korak sa uvidom u progres izvršenja u HDL kodu.

Break – zaustavljanje izvršenja simulacije.

Da bi simulacioni dijagram bio pregledniji, potrebno je pritisnuti na ikonu Zoom to Full View. Na početku,

dijagram prikazuje sve signale i vektore u binarnim vrednostima. Radi lakše čitljivosti vektora, mogude je

promeniti način ispisivanja njihovih vrednosti u neki drugi brojni sistem, npr. heksadecimalni.

4. Simulacija drugog digitalnog sistema

Na nivou logičkih kola, u VHDL jeziku, opišite naš drugi digitalni sistem iz prve laboratirijske vežbe. Simulirajte

digitalni sistem u ModelSim-Altera alatu.

ZAKLJUČAK

U ovoj vežbi naučili smo da opisujemo kombinacione mreže na intuitivniji način nego što su istinitosne tablice

ili Bulove funkcije. Nakon kompletiranja ove vežbe, trebali bi biti u stanju da opišete proizvoljno složenu

kombinacionu mrežu koristedi VHDL logičke operatore, odn. pomodu Bulovih funkcija. Takođe smo naučili da

simuliramo napravljene digitalne sisteme u ModelSim-Altera alatu i na taj način omogudili testiranje tih sistema

bez korišdenja programske platforme MAX10.