圖形編輯設計法 與實驗板簡介

102
圖圖圖圖圖圖圖 圖圖圖圖圖圖 VHDL 圖圖圖圖圖圖圖圖圖圖圖 圖圖圖圖 -UE301

Upload: langer

Post on 24-Jan-2016

91 views

Category:

Documents


0 download

DESCRIPTION

圖形編輯設計法 與實驗板簡介. VHDL 數位電路實習與專題設計 文魁資訊 -UE301. 內容大綱. 1-1 MAX+PLUSII 軟體的安裝與設定 1-2 圖形編輯設計簡介 1-3 在 Windows 2000 or XP 下安裝 Byteblaster 1-4 直接套用 MAX+plusII 中的74系列元件 1-5 使用參數性函數( Mega Function). 1-1 MAX+PLUSII 軟體的安裝與設定. Download. 1-1 MAX+PLUSII 軟體的安裝. - PowerPoint PPT Presentation

TRANSCRIPT

Page 1: 圖形編輯設計法 與實驗板簡介

第第 一一 章章

圖形編輯設計法與實驗板簡介

VHDL 數位電路實習與專題設計文魁資訊 -UE301

Page 2: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 2---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-1 MAX+PLUSII 軟體的安裝與設定 1-2 圖形編輯設計簡介 1-3 在 Windows 2000 or XP 下安裝 Byteblaster 1-4 直接套用 MAX+plusII 中的 74 系列元件 1-5 使用參數性函數 (Mega Function)

內容大綱

Page 3: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 3---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-1 MAX+PLUSII 軟體的安裝與設定

Page 4: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 4---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-1 MAX+PLUSII 軟體的安裝

1. 連線到 Altera 網站【 http://www.altera.com】下載

Download

Page 5: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 5---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

2. 選擇【 MAX+PLUS II Student Edition 】

1-1 MAX+PLUSII 軟體的安裝

MAX+PLUS II Student Edition

Page 6: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 6---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

3. 點選【 student10.2.exe 】 下載軟體

1-1 MAX+PLUSII 軟體的安裝

Download

Page 7: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 7---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

4. 安裝與註冊 MAX+plusII 10.2 Baseline 軟體

1-1 MAX+PLUSII 軟體的安裝

安裝軟體

啟動軟體

Page 8: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 8---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1. 點選【 Options →】 【 License Setup… 】

1-1 MAX+PLUSII 軟體的 License 設定

License Setup

Page 9: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 9---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

2. 點選【 System Info… 】,進入 License Setup 視窗

1-1 MAX+PLUSII 軟體的 License 設定

System Info…

Page 10: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 10---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

3. 記下硬碟序號後點選【 OK 】

1-1 MAX+PLUSII 軟體的 License 設定

Page 11: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 11---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-1 MAX+PLUSII 軟體的 License 設定

4. 連線至 Altera 網站索取 License ,點選首頁中之【 Licensing 】選項

Licensing

Page 12: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 12---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

5. 選擇【 MAX+PLUS II Software for Students and Universities 】

1-1 MAX+PLUSII 軟體的 License 設定

Page 13: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 13---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

6. 點選【 Version 10.1 or 9.23 →】 【 Continue 】

1-1 MAX+PLUSII 軟體的 License 設定

Page 14: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 14---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

7. 填妥硬碟序號後點選【 Continue 】

1-1 MAX+PLUSII 軟體的 License 設定

填妥硬碟序號

Page 15: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 15---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-1 MAX+PLUSII 軟體的 License 設定

8. 填妥個人資料後點選【 Continue 】

Page 16: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 16---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-1 MAX+PLUSII 軟體的 License 設定

9. 完成索取 License 程序

Page 17: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 17---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

10. 收到 Altera 公司的 E-mail 回信後,將信件的附加檔案 ( 授權檔 license.dat) 存到安裝路徑的 max2work 資料夾裡

1-1 MAX+PLUSII 軟體的 License 設定

Page 18: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 18---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-1 MAX+PLUSII 軟體的 License 設定

11. 回到 MAX+plusII 的 License Setup 視窗,指定方才儲存的license.dat ,接著點選【 OK 】

1

2

3

4

Page 19: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 19---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-1 MAX+PLUSII 軟體的 License 設定

12. 完成 License 的設定,在按下【 OK 】鈕之後,此時可發現Licensed Features 中多了很多功能。

Page 20: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 20---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2 圖形編輯設計簡介1-2-1 圖形設計快速入門1-2-2 檔案的編繹1-2-3 電路的功能模擬1-2-4 建立電路符號檔1-2-5 平面配置與晶片規劃1-2-6 程式下載與測試

Page 21: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 21---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2 圖形編輯設計簡介

1-2-1 圖形設計快速入門

Page 22: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 22---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2-1 圖形設計快速入門

1. 執行 MAX+PLUSII 程式,進入軟體操作介面後,先開啟一個新的檔名【 File →】 【 New 】,本例中我們選擇圖形編輯檔( Graphic Editor file )。

Page 23: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 23---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2-1 圖形設計快速入門

2. 再選擇【 File →】 【 Save as …】 ,將編輯檔案命名為halfadd.gdf 存入指定的資料夾中(不可將檔案存放於根目錄下,且資料夾名稱不可以為中文)。

Page 24: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 24---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2-1 圖形設計快速入門

3. 選擇 【 File →】 【 Project →】 【 Set Project to Current File 】,將專案 (project) 名稱設定成與現在所編輯的檔案名稱一致。選擇 【 File →】 【 Project →】 【 Set Project to Current File 】,將專案 (project) 名稱設定成與現在所編輯的檔案名稱一致。

Page 25: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 25---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2-1 圖形設計快速入門

4. 在圖形編輯器中,當我們在視窗中用滑鼠左鍵點選,則會出現一黑點閃動;若我們快速連續點選兩次(雙擊)時,則會出現Enter Symbol 的對話窗 。

Page 26: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 26---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2-1 圖形設計快速入門

5. 我們可進行在 Enter Symbol 對話框中的 Symbol Name 欄裡依序輸入“ xor” 和 “ and2” ,接著再同樣的作法分別加入 input 以及 output 等 symbol ,以產生電路所需的元件。

Page 27: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 27---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2-1 圖形設計快速入門

6. 元件腳位的連線工作

Page 28: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 28---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2-1 圖形設計快速入門

7. 輸入與輸出腳位的命名 :

以滑鼠左鍵點選 PIN_NAME 的地方,當文字部份出現黑色編輯方塊時即可重新輸入字元或更改檔名,電路中每一個輸入輸出腳位都應有一個獨立的名稱。

Page 29: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 29---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2 圖形編輯設計簡介

1-2-2 檔案的編繹

Page 30: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 30---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2-2 檔案的編繹

1. 儲存、檢查和簡易編繹:選擇【 File → 】 【 Project →】 【 Save & Check 】

Page 31: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 31---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2-2 檔案的編繹

2. Compiler  視窗若直接按【 Start 】執行時,所進行的時序模擬會加入訊號通過元件之時間延遲的考量因素,並非理想的邏輯狀態。此處我們先選定【 Processing →】 【 Functional SNF Extractor 】選項作簡易的編繹

Page 32: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 32---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2 圖形編輯設計簡介

1-2-3 電路的功能模擬

Page 33: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 33---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2-3 電路的功能模擬

1. 開啟一個新的檔案,選擇【 File →】 【 New →】 【 Waveform Editor file 】

Page 34: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 34---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2-3 電路的功能模擬

2. 儲存檔名,選擇【 File →】 【 Save As 】將該 Waveform 檔存於所建立檔案之資料夾裡,檔名與專案名稱相同(延伸檔名為*.SCF )

3. 輸入所欲分析的電路節點:【 Node →】 【 Enter Nodes from SNF… 】

Page 35: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 35---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2-3 電路的功能模擬

4. 按「 List 」鍵,再點選 List 後所列出的有效節點,並依個人需求將接腳或節點選取到右邊框內。

Page 36: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 36---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2-3 電路的功能模擬

5. 輸入腳位的波形定義

Page 37: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 37---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2-3 電路的功能模擬

6. 按下【 Max+plusII →】 【 Simulator 】執行波形的模擬。

Page 38: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 38---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2-3 電路的功能模擬

7. 時序分析器( Timing Analyzer )的使用: 若我們重新選擇【 File →】 【 Project →】 【 Save & Check 】

來編繹程式,並在【 Processing 】下取消【 Functional SNF Extractor 】的勾選以進行完整的編繹時,此時時序的模擬結果便會加入延遲的因素考量:

Page 39: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 39---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

接下來我們可使用時序分析器( Timing Analyzer )來檢查傳輸延遲的情形。首先在【 MAX+plusII 】下選擇【 Timing Analyer 】選項以開啟 Timing Analyzer 視窗:

1-2-3 電路的功能模擬

Timing Analyzer

Page 40: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 40---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2-3 電路的功能模擬

接著我們只要按下 Delay Matrix 下的 Start 鈕,即可得到所有節點之間的延遲分析結果: :

Page 41: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 41---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2 圖形編輯設計簡介

1-2-4 建立電路符號檔

Page 42: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 42---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2-4 建立電路符號檔

1. 執行【 File →】 【 Create Default Symbol 】,產生電路符號檔。

Page 43: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 43---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2-4 建立電路符號檔

2. 【 File →】 【 Edit Symbol 】:產生電路符號後,可進入編輯器編修電路符號。

Page 44: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 44---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2 圖形編輯設計簡介

1-2-5 平面配置與晶片規劃

Page 45: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 45---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2-5 平面配置與晶片規劃

1. 若要規劃腳位及進行燒錄驗證,則我們必須先選取晶片型式;以MAX7000S EPM7064SLC44-10這顆晶片為例,我們先執行【 Assign →】 【 Device 】選項,指定這顆 IC ,然後再作一次完整的編繹程序。 。

Page 46: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 46---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2-5 平面配置與晶片規劃

在編繹視窗裡【 Fitter 】區塊下方有一個標示 rpt 的圖示,若我們在其上以滑鼠連續雙擊時,便會彈出一份 halfadd.rpt 的文件,裡面詳細記錄了我們的設計體佔用多少個 Logic Cells ( LCs )、使用多少個 Pin 腳等資訊,我們也可由此得知CPLD 之資源使用比率。

Page 47: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 47---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2-5 平面配置與晶片規劃

2. 平面配置是透過平面編輯器來設定電路輸出入腳位在 CPLD 晶片裡的擺置需求,其作法是先點選【 MAX+plusII →】 【 Floorplan Editor 】選項,在進入 Floorplan Editor 後若看不到所選定晶片之上視外觀的話,只要選【 Layout →】 【 Device View 】即可得到如下面的圖狀。

Page 48: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 48---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2-5 平面配置與晶片規劃

3. 為了配合各類不同實驗板周邊接腳設定的要求,我們必須自行更改接腳佈局,此時我們得選擇【 Layout →】 【 Current Assignments Floorplan 】功能 。

Page 49: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 49---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2-5 平面配置與晶片規劃

4. 再來我們以滑鼠拖曳的方式將各接腳依規劃需求拖到晶片的 I/O腳位去,屆時被選取的接腳系統將以不同於黑白的色彩來顯示;此處值得注意的一點是晶片接腳並非全部可任意變更使用的,其中內定為 VCC 或 GND 的接腳(黑色腳位)我們必須跳過加以保留。

Page 50: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 50---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2-5 平面配置與晶片規劃

5. 完成後再作一次完整的編繹程序 ( 選取 Timing SNF Extractor) 以更新燒錄檔案的內容,準備下載到所選擇的晶片裡。

4

5

29

31

EPM7064SLC4-10

Page 51: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 51---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2 圖形編輯設計簡介

1-2-6 程式下載與測試

Page 52: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 52---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2-6 程式下載與測試

1. 點選【 MAX+plus II →】 【 Programmer 】開啟 programmer 視窗。

Page 53: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 53---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2-6 程式下載與測試

2. 出現 programmer 視窗,同時顯示提供下載功能之硬體未設定的警示內容,按下【確定】。

Page 54: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 54---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2-6 程式下載與測試

3. 點選【 Options →】 【 Hardware Setup… 】,選取 Hardware Type 至 ByteBlaster(MV) ,點選【 OK 】。

Page 55: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 55---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2-6 程式下載與測試

4. Hardware Type 出現 ByteBlaster(MV) ,且 Parallel Port顯示LPT1 ,表示硬體設定完成,點選【 OK 】。

Page 56: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 56---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2-6 程式下載與測試

5. 點選【 File →】 【 Select Programming File… 】,並選擇所欲燒錄的 pof 檔。

Page 57: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 57---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-2-6 程式下載與測試

6. 按下【 Program 】以執行程式下載動作。

Page 58: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 58---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-3 圖形編輯的階層式設計

1-3-1 全加法器 1-3-2 以半加法器和 OR閘建構全加法器全加法器 1-3-3利用全加器完成一個四位元加法器

Page 59: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 59---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-3-1 全加法器

輸 入 輸 出x y z carry sum

0 0 0 0 0

0 0 1 0 1

0 1 0 0 1

0 1 1 1 0

1 0 0 0 1

1 0 1 1 0

1 1 0 1 0

1 1 1 1 1

zyxxyzzyxzyxzyxsum yzxzxycarry

X

Y

Z

Sum

Carry

Page 60: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 60---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-3-2 以半加法器和 OR閘建構全加法器 1. 開啟一個新的圖形編輯檔案,並以滑鼠連續雙擊執行【 Enter

Symbol 】,選擇 halfadd這個之前所建立的 Symbol 。

Page 61: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 61---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-3-2 以半加法器和 OR閘建構全加法器 2. 以相同的程序再叫出另一個 halfadd 的電路符號以及輸入一個二雙

入變數的 OR閘,準備進行元件連線。

3. 完成元件間的連線關係,並修改腳位名稱。

Page 62: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 62---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-3-2 以半加法器和 OR閘建構全加法器 4. 將檔案存成 fulladd.gdf ,並將專案名稱設定成與檔名一致,進行

程式編繹與功能模擬。

Page 63: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 63---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-3-2 以半加法器和 OR閘建構全加法器 5. 將 fulladd.gdf 建立成一個新的全加器電路符號。

Page 64: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 64---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-3 圖形編輯的階層式設計

1-3-3利用全加器完成一個四位元加法器

Page 65: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 65---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1. 先完成四位元加法器電路繪製;其中第一級全加器之進位輸入端我們可以直接接地處理 (  連接電路符號 GND) 。

1-3-3利用全加器完成一個四位元加法器

Page 66: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 66---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

2. 時序圖編輯環境的功能模擬 :

1-3-3利用全加器完成一個四位元加法器

將四位元加法器之輸入和輸出位元群組化

定義群組化位元的輸入值以便模擬分析

Page 67: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 67---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

2. 時序圖編輯環境的功能模擬 (繼續 ) :

1-3-3利用全加器完成一個四位元加法器

將群組位元值更改為十進位顯示以方便閱讀

Page 68: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 68---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-4 直接套用 MAX+plus II 中的 74 系列元件 1-4-1 74138解碼器元件的使用

1-4-2 四位元計數器應用

1-4-3 BCD 計數器與七段顯示器解碼電路輸出

Page 69: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 69---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-4 直接套用 MAX+plus II 中的 74 系列元件

1-4-1 74138解碼器元件的使用

Page 70: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 70---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-4-1 74138解碼器元件的使用 1. 線上查看元件種類與詳細規格 【 Help →】 【 Old-Style

Macrofunctions 】

Page 71: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 71---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-4-1 74138解碼器元件的使用 2.繪製電路圖與時序模擬

Page 72: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 72---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-4 直接套用 MAX+plus II 中的 74 系列元件

1-4-2 四位元計數器應用

Page 73: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 73---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-4-2 四位元計數器應用

1. 我們現在以 C:\maxplus2\max21ib\mf 資料夾裡的 4count這個Symbol 作為例子,它是一個四位元的上下數計數器,線上資料手冊的規格如下圖。

Page 74: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 74---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-4-2 四位元計數器應用

2. 依真值表的規格,我們先實現一個四位元的下數計數器如下:

四位元下數計數器功能模擬

Page 75: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 75---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-4-2 四位元計數器應用

3. 現在我們將 DNUP 輸入端改接低電位( GND ),電路變成四位元上數計數器架構。

四位元下數計數器功能模擬

Page 76: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 76---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-4-2 四位元計數器應用

4.而當我們在 4count這個元件上以滑鼠點選雙擊時,會彈出一個4count.gdf 的電路圖新視窗,我們可以從此得知該元件的底層電路架構組成:

Page 77: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 77---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-4 直接套用 MAX+plus II 中的 74 系列元件

1-4-3 BCD 計數器與七段顯示器解碼電路輸出

Page 78: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 78---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-4-3 BCD 計數器與七段顯示器解碼電路輸出

1.從【 Help →】 【 Old-Style Macrofunctions 】線上資料手冊的資料中,我們可以了解到 74163 計數器的使用方法:

Page 79: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 79---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-4-3 BCD 計數器與七段顯示器解碼電路輸出另外 7447 則是一個低電位驅動的七段顯示器解碼電路 :

Page 80: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 80---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-4-3 BCD 計數器與七段顯示器解碼電路輸出

2. 將 74163 規劃成一個 0-9 的 BCD 計數器,輸出端接到 7447解碼器的輸入端。

Page 81: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 81---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-5 使用參數性函數 (Mega Function)

1-5-1累加器設計

1-5-2乘法器設計

Page 82: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 82---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-5 使用參數性函數 (Mega Function)

1-5-1累加器設計

Page 83: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 83---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-5-1累加器設計

1. 先從mega_lpm library 資料夾下輸入 lpm_add_sum 電路符號:

Page 84: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 84---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-5-1累加器設計

設定 LPM_DIRECTION=“ADD”

Page 85: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 85---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-5-1累加器設計

設定 LPM_REPRESENTATION=“UNSIGNED”

Page 86: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 86---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-5-1累加器設計

設定 LPM_WIDTH=8

Page 87: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 87---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-5-1累加器設計

完成電路符號之參數設定

Page 88: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 88---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-5-1累加器設計

2 、再從mega_lpm library 輸入 lpm_dff 電路符號:

Page 89: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 89---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

設定 LPM_WIDTH=8

1-5-1累加器設計

Page 90: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 90---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-5-1累加器設計

3 、完成元件間的電路連線,其中因我們的資料線是八位元寬度,因此畫線時我們選用粗線代表匯流排,關於線條格式可從【 Options →】 【 Line Style 】選項來選擇。

Page 91: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 91---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-5-1累加器設計

完成最後連線和腳位名稱設定工作 , 並進行功能模擬 :

Page 92: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 92---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-5 使用參數性函數 (Mega Function)

1-5-2乘法器設計

Page 93: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 93---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-5-2乘法器設計

1. 從 mega_lpm library 輸入 lpm_mult 電路符號。

Page 94: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 94---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-5-2乘法器設計

2. 設定 LPM-REPRESENTATION=“UNSIGNED”

LPM-WIDTHA=8

LPM-WIDTHB=8

LPM-WIDTHP= LPM-WIDTHA+ LPM-WIDTHB

LPM-WIDTHS=16

Page 95: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 95---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-5-2乘法器設計

3. 完成電路圖繪製和功能模擬

Page 96: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 96---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-6 FPT-3 使用簡介

1-6-1 FPT-3 實驗板系統規格

1-6-2 FPT-3 實驗板腳位配置

1-6-3 FPT-3 實驗板電路圖

Page 97: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 97---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

採用 Altera CPLD 的 MAX7000S系列元件 EPM7064SLC44-10 EEPROM架構的 PLD 5V 工作電壓 可支援 1250 個邏輯閘, 64 個 LCs 可使用 32 個 I/O

1-6-1 FPT-3 實驗板系統規格

系統電源: 5伏特直流 系統時脈: 1.8432MHz ISP燒錄介面 輸入介面

邏輯準位指撥開關 4組負脈衝無段式按鍵開關

輸出介面 LED(低電位驅動 ) 2 位數七段顯示器 (共陽極;低電位驅動 )

1組高效能蜂鳴器

Page 98: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 98---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-6-2 FPT-3 實驗板腳位配置

七段顯示器

裝置 七段顯示器各段位置 共陽位置

代號 a b c d e f g dot 7SEGIO1 7SEGIO2

腳位 16 17 18 19 20 21 24 25 26 27

a, b, c, d, d, f, g, dot: 低電位驅動 7SEGIO1, 7SEGIO2: 高電位驅動

Page 99: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 99---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-6-2 FPT-3 實驗板腳位配置

LED顯示器

裝置 LED

代號 LED1 LED2 LED3 LED4 LED5 LED6 LED7 LED8

腳位 4 5 6 8 9 11 12 14

LED1~8: 低電位驅動

Page 100: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 100---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-6-2 FPT-3 實驗板腳位配置

蜂鳴器 裝置 蜂鳴器

代號 BZ

腳位 28

指撥開關

裝置 指撥開關代號 DIP1 DIP2 DIP3 DIP 4 DIP 5 DIP 6 DIP 7 DIP 8

腳位 29 31 33 34 36 1 44 2

ON : 低電位輸入 OFF: 高電位輸入

Page 101: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 101---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

1-6-2 FPT-3 實驗板腳位配置

無段式按鍵開關

裝置 無段式按鍵開關

代號 SW1 SW2 SW3 SW4

腳位 37 39 40 41

ON : 低電位 OFF: 高電位

時脈電路 (CLOCK) 裝置 CLOCK

代號 CLK

腳位 43

Page 102: 圖形編輯設計法 與實驗板簡介

VHDL數位電路實習與專題設計 102---陳慶逸、林柏辰編著 文魁資訊

第一章 圖形編輯設計法與實驗板簡介

勘誤 1-3 第一次進入,需要填寫個人資料 (altera 網頁已更新

過 ) 1-40 圖 1-72 選擇 ..\maxplus2\max2lib\prim 元件庫內的

邏輯閘 1-41 圖 1-73 輸入 xor 、 and2 、 input 及 output 等

symbol 1-55 箭頭位置需調整一些 1-61 2. 輸入一個二輸入變數的 OR閘 1-66 圖 1-122圈圈位置不是 Decoder 1-75 lpm_add_sum 應改為 lpm_add_sub 1-78 圈圈位置需調整一些