1.doc

Upload: dimas-parada

Post on 09-Jan-2016

214 views

Category:

Documents


0 download

TRANSCRIPT

PRACTICA No 2: EL DECODIFICADOR

UNIVERSIDAD TECNOLOGICA DE EL SALVADOR ESCUELA DE INFORMTICALABORATORIO DE ELECTRNICA SISTEMAS DIGITALES

PRACTICA No 2: EL DECODIFICADOR

OBJETIVOS:

Comprender el concepto de combinacional y su clasificacin,

Definir el Decodificador como un circuito combinacional.

Verificar el funcionamiento de un Decodificador excitado.

Verificar el funcionamiento de un decodificador no excitado.

TEORIA.

Las compuertas lgicas son dispositivos digitales bsicos que realizan una operacin lgica de suma, producto, y complementacin en forma aislada.- Los circuitos combinacionales, son una combinacin de compuertas lgicas de diferentes tipos (AND, OR, NOT) etc de n entradas y m salidas en funcin de la n entradas; que realizan operaciones de suma, producto, y complementacin en forma simultanea.

Los sistemas digitales contienen datos o informacin que est en alguna forma de cdigo binario, los cuales se operan de alguna manera.- En esta parte se examinan circuitos combinatorios, cuyas aplicaciones incluyen:

Cambio de datos de una forma a otra.

Tomar datos y enlutarlos a uno de varios destinos.

Decodificacin de datos para despliegues visuales.

Muchos de los circuitos lgicos que cumplen estas funciones estn ahora como circuitos integrados en la categora de Mediana Escala de Integracin (MSI), es decir que para su construccin utilizan de 10 a 99 compuertas para su fabricacin.

Ejemplos de circuitos combinatorios en forma integrada son:

El Decodificador.

El Codificador.

El Multiplexor.

El Demultiplexor.

El Comparador de Magnitud.

El Sumador.

Un Decodificador es un circuito lgico combinacional, que convierte un cdigo de entrada binario de N bits en M lneas de salida (N puede ser cualquier entero y M es un entero menor o igual a 2), tales que cada lnea de salida ser activada para una sola de las combinaciones posibles de entrada.- La figura 1, muestra de diagrama general de un decodificador de N entradas y M salidas.

Entradas Salidas

fig. 1 Diagrama general de un decodificador

Puesto que cada una de las entradas puede ser 1 o 0, hay 2 combinaciones o cdigos de entrada.- Para cada una de estas combinaciones de entrada slo una de la M salidas estaraactivada en 1, para lgica positiva y un 0, para lgica negativa.- Esta ltima se indica siempre por la presencia de pequeos crculos en las lneas de salida del diagrama del decodificador.

La figura 2, muestra la circuitera para un decodificador con 3 entradas y 2= 8 salidas.- Como solo usan compuertas AND las salidas activadas son 1.- Para tener salidas activadas 0 deberan usarse compuertas NAND. fig2. Decodificador Binario a Octal.

Puede hacerse referencia a este decodificador de distintas maneras, todas ellas vlidas y usuales. Pude llamarse un decodificador de 3 lneas a 8 lneas (3x8), porque tiene tres lneas de entrada y ocho de salida. Tambin recibe el nombre de convertidor o decodificador de binario a octal, porque toma un cdigo de entrada binario de tres entradas y produce un 1 en una de las ocho (octal) salidas correspondientes a ese cdigo. A veces se hace referencia al circuito como un decodificador 1 de 8, porque una de las 8 salidas se activa a la vez.A continuacin se muestra la tabla de verdad para este decodificador (SN74LS138).

Cabe hacer notar que las salidas del decodificador son activas en bajo y que las entradas de control G2A, G2B deben estar a nivel bajo y G1 a nivel alto.

La distribucin del pinuot se muestra en la figura 3.

Figura 3. a) Diagrama de Bloque del convertidor b) pinout del decoder 74138

Otro tipo de decodificadores tienen como aplicacin fundamental la activacin de visualizadores numricos (display) del tipo de siete segmentos.- En general, los decodificadores excitadores permiten el control de cargas externas como led, rels, displays, etc.- Las salidas pueden operar con tensiones y corrientes mayores que las del resto de decodificadores.- El modelo ms representativo es el de excitacin de visualizadores numricos del tipo de siete segmentos, display(figura 4). Los nmeros en cdigo BCD aplicados a la entrada, producen un cdigo de siete salidas que hace que aparezca en el visualizador el nmero decimal correspondiente al dato BCD de entrada.

figura 4. Didplay a siete segmentos.

Un display de siete segmentos consiste en una determinada distribucin de siete LED en el bloque, cada uno de los puntos luminosos(LED), aparece externamente en forma de barra denominada segmento.- Segn los segmentos activados, puede visualizarse los nmeros decimales 0 al 9.- Por ejemplo activando los segmentos a, b, c, d, y g se obtiene la representacin del nmero decimal 3.- La activacin de los siete segmentos de lugar al nmero 8, o sea que la visualizacin de cada nmero se hace activando los segmentos adecuados.- Aparecen dos tipos de display: los de ctodo comn y los de nodo comn.- En los de ctodo comn, como su nombre lo indica, se unen internamente los ctodos de los siete diodos, apareciendo en el exterior los siete pines de los segmentos y el pin comn.

Las condiciones normales de operacin de cada segmento de un dispositivo de representacin visual de siete segmentos basado en LED, son 20mA a 1.5V, por lo que la resistencia de proteccin estandar para el display ser de 220.

figura 5. Tipos de display.

Un decodificador de BCD a siete segmentos posee 4 lneas de entrada (D, C, B, A) y siete lneas de salida (a, b, c, d, e, f, g).- El dispositivo acepta en sus entradas un cdigo BCD de 4 bits y lo convierte en un cdigo de 7 bits que al excitar un display visualiza el dgito decimal correspondiente.

Las salidas de un decodificador de BCD a siete segmentos puede ser activas en alto o en bajo dependiendo del tipo de display utilizado.- En un decodificador de nodo comn, las salidas son activas en bajo y en uno de ctodo comn son activas en alto.

Existen varios decodificadores MSI de BCD a 7 segmentos diseados especficamente para manejar display de nodo comn y/o cristal lquido.- Los siguientes son algunos ejemplos:

4055, 4056, 4543: Decodificadores para display de cristal lquido.

4511, 7478, 74LS48, 74C48, 8368: Decodificador para display de ctodo comn.

7447, 74LS47, 74LS247, 8374: Decodificador para display de nodo comn.

figura 6. Decodificador a 7 segmentos SN74LS47

figura 7. Despliegue numrico para el decodificador SN74LS47

figura 8. Tabla de verdad el Decodificador SN74LS47.

MATERIAL Y EQUIPO NECESARIO:

1 Decodificador SN74LS47.

1 Decodificador/demultiplexor SN74LS138

1 Beckman (resistencia integrada de 220).

1 Display de nodo comn.

Alambre para conexiones.

1 Pinza.

1 Navaja.

1 Probador Lgico.

1 Mdulo de entrenamiento digital IDL-800. (simulador Digital) 1 computadora.

PROCEDIMIENTO.

OBJETIVO A.

- Comprobar el funcionamiento de un decodificador excitado.

Utilizando el simulador digital alambre un el decodificador SN74LS47, un tablero de leds, un tablero de interruptores, y un display respectivamente como se muestra en la figura.

Conecte el pin 16 del decoder al polarizacin de la fuente de +5Vdc del mdulo.

Conecte el pin 8 del decoder a tierra(GND) del mdulo.

Conecte las entradas de cdigo BCD (DCBA) del decodificador a los interruptores del mdulo, considerando D como el bit ms significativo, es decir al lado izquierdo.- Coloque los interruptores en condicin inicial de 0 lgico.

Conecte la entrada de control LT(pin 3) del decodificador a un interruptor, y colquelo en condicin inicial de 0 lgico. Active el mdulo y observe el display. Todos los segmentos debern iluminarse ya que la entrada de control esta activa(0 lgico). Est es una condicin de prueba de todos los segmentos del display.-Si no sucede nada, apague el mdulo y revise las conexiones.- Active de nuevo el mdulo, s no sucede nada, con la punta lgica verifique las polarizaciones de todos los dispositivos.

S todos los segmentos se iluminan, deshabilite la entrada de control LT(pin 3) colocando con el interruptor un 1 lgico. deber observar en el display el decimal cero correspondiente a la entrada de cdigo BCD 0000 (DCBA).

Qu nmero decimal se despliega con el cdigo BCD de entrada: 0010?. _____________ Cules segmentos se iluminarn al desplegarse el decimal: 5? _____________________

Qu cdigo BCD de cuatro bit se deber colocar para iluminar los segmentos: a, b, c, f, g ?

Dibuje la representacin del display para la entrada de cdigo BCD: 1010 ____, 1011 ____,

1100 ____, 1101 ____, 1110 ____, 1111 ____.

Qu razonamiento lgico puede decir de estos resultados?.

Qu cambios har, si utiliza un decodificador SN74LS48?.OBJETIVO B.

Comprobar el funcionamiento de un decodificador no excitado.

Utilizando el simulador implemente el diagrama del decodificador no excitado SN74LS138.

Una vez terminado el diagrama simule el circuito Con la entradas de control G1, G2A, G2B compruebe la habilitacin y deshabilitacin del decoder.- En la condicin de deshabilitacin todos los display logic se activarn.

Recuerde que en este tipo de decodificador solo una salida se activa a la vez, y las salidas son bajas activas.

En que estado lgico deben de estar las entradas de control para que el decodificador trabaje .? G1___, G2A ___ , G2B ___.

Qu cdigo debe de colocar para que la salida Y6 se active? __________________ Qu salida se activar con el cdigo 011.? _______________________________ Porque se le llama a este decodificador 1 de 8? ___________________________ Disee un decodificador 1 de 16 utilizando 2 SN74LS138.

CUESTIONARIO.

Utilizando circuitos combinatorios disee un convertidor de cdigo de binario(4bits) a decimal.- Use la condicin no importa, display decimal. Utilizando circuitos combinatorios disee un convertidor de cdigo de binario(4bits) a hexadecimal. Use display hexadecimal. Utilizando circuitos combinatorios disee un convertidor de cdigo de binario(4bits) a EX-3. Use display logic

Utilizando circuitos combinatorios disee un convertidor de cdigo de binario(4bits) a gray. Use display logic.

Un cdigo BCD se transmite a un receptor lejano.- Los bits son A3, A2, A1, A0, con A3 como el MSB.- El circuito receptor contiene un circuito detector de errores BCD que examine el cdigo recibido para ver si es un cdigo BCD legal, es decir ( < 1001 ).- Disee este circuito para producir un nivel ALTO para cualquier condicin de error. Realice cada uno de los siguientes conjuntos de funciones con un nico mdulo decodificador 74154 y compuertas lgicas de salida (elija compuertas NAND y AND para minimizar el fan-in de las compuertas de salida).

F1(a,b,c,d) = m(2, 4, 10, 11, 12, 13)

f2(a,b,c,d) = M(0 a 3, 6 a 9,12,14,15)F3(a,b,c,d) = bc + abd.

Disee un decodificador 5 a 32 con slo mdulos decodificadores 3 a 8.

Decodificador

1o

n

1(1n)

m(1..n)

PAGE 12