直流馬達控制實習

31
直直直直直直直直 VHDL 直直直直直直直直直直直 直直直直 -UE301

Upload: parker

Post on 06-Jan-2016

49 views

Category:

Documents


1 download

DESCRIPTION

直流馬達控制實習. VHDL 數位電路實習與專題設計 文魁資訊 -UE301. 單元 10-1 PWM 脈寬調變實習. 實驗目的 瞭解直流馬達之驅動與 PWM 脈寬調變的控制方法. 相關知識. 直流馬達之驅動 直流馬達為兩個輸入的驅動界面,一般稱為 與 ,與步進馬達不同,一般直流馬達的驅動方式有兩種 : 電壓驅動:兩極的電壓差越大轉速越快,電壓差越小轉速越慢 電流驅動:兩極的電流量越大扭力越強,電流量越小扭力越弱 本章節以介紹如何控制直流馬達的轉速與轉向為主 - PowerPoint PPT Presentation

TRANSCRIPT

Page 1: 直流馬達控制實習

第第 七七 章章

直流馬達控制實習

VHDL 數位電路實習與專題設計文魁資訊 -UE301

Page 2: 直流馬達控制實習

VHDL數位電路實習與專題設計 2---陳慶逸、林柏辰編著 文魁資訊

第七章 直流馬達控制實習

單元 10-1 PWM 脈寬調變實習 實驗目的

瞭解直流馬達之驅動與 PWM 脈寬調變的控制方法

Page 3: 直流馬達控制實習

VHDL數位電路實習與專題設計 3---陳慶逸、林柏辰編著 文魁資訊

第七章 直流馬達控制實習

相關知識 直流馬達之驅動

直流馬達為兩個輸入的驅動界面,一般稱為 與 ,與步進馬達不同,一般直流馬達的驅動方式有兩種 :

電壓驅動:兩極的電壓差越大轉速越快,電壓差越小轉速越慢 電流驅動:兩極的電流量越大扭力越強,電流量越小扭力越弱

本章節以介紹如何控制直流馬達的轉速與轉向為主 可是我們 CPLD 只有數位訊號輸出,要怎麼產生不同電壓差的類比訊號呢?以下我們介紹 PWM 脈寬調變電路控制技術

MOTORMOTOR

Page 4: 直流馬達控制實習

VHDL數位電路實習與專題設計 4---陳慶逸、林柏辰編著 文魁資訊

第七章 直流馬達控制實習

相關知識 PWM 脈寬調變

PWM 是 Pulse Width Modulation 的縮寫,就是調整脈波寬度的意思

工作率示意圖

T

t

tt

t H

LH

H

工作率

5V

0V

Ht Lt

LH ttT

Page 5: 直流馬達控制實習

VHDL數位電路實習與專題設計 5---陳慶逸、林柏辰編著 文魁資訊

第七章 直流馬達控制實習

相關知識 若我們的高準位是 5V 電源電壓,低準位是 0V 電壓,則我們可以得到的平均電壓為

PWM 示意圖 V5

T

tH電源電壓工作率平均電壓

5V

0V

1V0.2V5

V20.4V5

V40.8V5

5V

0V

5V

0V

Page 6: 直流馬達控制實習

VHDL數位電路實習與專題設計 6---陳慶逸、林柏辰編著 文魁資訊

第七章 直流馬達控制實習

相關知識 PWM 產生器方塊圖

計數器比較器

CLK

A

PWMB

比較器之 VHDL 語法: PWM <= '1' when A > B else '0';

Page 7: 直流馬達控制實習

VHDL數位電路實習與專題設計 7---陳慶逸、林柏辰編著 文魁資訊

第七章 直流馬達控制實習

相關知識

A

PWM

B

A

PWM

B

計數器採下數計數器與上數計數器的兩種 PWM 訊號

Page 8: 直流馬達控制實習

VHDL數位電路實習與專題設計 8---陳慶逸、林柏辰編著 文魁資訊

第七章 直流馬達控制實習

實驗功能 利用指撥開關來產生不同的 PWM 訊號以得到不同的電壓值,我們將輸出驅動 LED ,以觀察 LED的明亮差異

Page 9: 直流馬達控制實習

VHDL數位電路實習與專題設計 9---陳慶逸、林柏辰編著 文魁資訊

第七章 直流馬達控制實習

實驗電路圖 PWM 實習電路圖

A5

PWMSW DIP-8

A4

A7

A0A1A2

VCC

EPM7064S/LCC44

4 29313334361442

43

I/O I/OI/OI/OI/OI/OI/OI/OI/O

I/GCLK1CLOCK

A3

VCC

A6

Page 10: 直流馬達控制實習

VHDL數位電路實習與專題設計 10---陳慶逸、林柏辰編著 文魁資訊

第七章 直流馬達控制實習

PWM 實習程式碼 1 -------------------------------------------------------------------2 -- 實驗名稱: PWM 實習3 -- 檔案名稱: pwm.vhd4 -- 功 能:以指撥開關來調整 PWM 訊號輸出5 -- 日 期: 2003.8.86 -------------------------------------------------------------------7 library ieee;8 use ieee.std_logic_1164.all;9 use ieee.std_logic_arith.all;10 use ieee.std_logic_unsigned.all;1112 entity pwm is13 port(14 clk :in std_logic; -- 系統頻率15 A :in std_logic_vector(7 downto 0); 16 pwm :out std_logic17 );18 end pwm;1920 architecture a of pwm is

21 signal B: std_logic_vector(7 downto 0);22 begin2324 ----- 下數計數器 -----25 process(clk)26 begin27 if clk'event and clk='1' then28 B <= B-1;29 end if;30 end process;31 32 ----- 比較器 -----33 pwm<='1' when A > B else '0';34 end a;

Page 11: 直流馬達控制實習

VHDL數位電路實習與專題設計 11---陳慶逸、林柏辰編著 文魁資訊

第七章 直流馬達控制實習

功能模擬與 CPLD 下載驗證

Page 12: 直流馬達控制實習

VHDL數位電路實習與專題設計 12---陳慶逸、林柏辰編著 文魁資訊

第七章 直流馬達控制實習

燒錄於力浦電子 FPT-3 實驗板 PWM 實習腳位配置圖

輸入 腳位 輸出 腳位clk 43 pwm 4(LED1)

A0 29(DIP1)

A1 31(DIP2)

A2 33(DIP3)

A3 34(DIP4)

A4 36(DIP5)

A5 1(DIP6)

A6 44(DIP7)

A7 2(DIP8)

Page 13: 直流馬達控制實習

VHDL數位電路實習與專題設計 13---陳慶逸、林柏辰編著 文魁資訊

第七章 直流馬達控制實習

燒錄於力浦電子 FPT-3 實驗板 FPT-3 實驗板元件規劃

A

PWM

Page 14: 直流馬達控制實習

VHDL數位電路實習與專題設計 14---陳慶逸、林柏辰編著 文魁資訊

第七章 直流馬達控制實習

燒錄於力浦電子 LP-2900 實驗板 由於 LP-2900 實驗板上之 L1 LED 燈示為 HI 啟動,而 Pin141 為 LED 的陰極

共點端,在使用上我們將該腳位連接 VCC 才能驅動 LED 。因此我們可以利用 Graphic Editor 呼叫 pwm.sym 元件,並將主程式電路圖重新更改如下( pwm_lp2900.gdf )

Page 15: 直流馬達控制實習

VHDL數位電路實習與專題設計 15---陳慶逸、林柏辰編著 文魁資訊

第七章 直流馬達控制實習

燒錄於力浦電子 LP-2900 實驗板 PWM 實習腳位配置表

輸入 腳位 輸出 腳位CLK 55 PWM 7(LED1)

A0 47(SW1) LED_COM 141

A1 48(SW2)

A2 49(SW3)

A3 51(SW4)

A4 59(SW5)

A5 60(SW6)

A6 62(SW7)

A7 63(SW8)

Page 16: 直流馬達控制實習

VHDL數位電路實習與專題設計 16---陳慶逸、林柏辰編著 文魁資訊

第七章 直流馬達控制實習

燒錄於力浦電子 LP-2900 實驗板 LP-2900 實驗板元件規劃

A

PWM

Page 17: 直流馬達控制實習

VHDL數位電路實習與專題設計 17---陳慶逸、林柏辰編著 文魁資訊

第七章 直流馬達控制實習

單元 10-2 直流馬達轉速與轉向控制實習 實驗目的

瞭解直流馬達驅動電路以及轉速與轉向的控制方法

Page 18: 直流馬達控制實習

VHDL數位電路實習與專題設計 18---陳慶逸、林柏辰編著 文魁資訊

第七章 直流馬達控制實習

相關知識 直流馬達之驅動電路

在本章節我們要介紹一顆可以利用信號來控制的電子開關, TOSHIBA 所出的直流馬達驅動器TA7291 , TA7291 的腳位圖如下圖所示

Page 19: 直流馬達控制實習

VHDL數位電路實習與專題設計 19---陳慶逸、林柏辰編著 文魁資訊

第七章 直流馬達控制實習

相關知識 TA7291 是由四組 NPN 電晶體所組成的 H 橋構造,因此我們可藉由參考電壓

Vref 腳位來作輸出至直流馬達電壓的調節。而我們所用的脈波寬度調變訊號(PWM) 即是輸出至此 Vref 腳位,因為當 TA7291 輸出為 High 時的電壓是以此參考電壓為標準,輸出的 High 端電壓等於此參考電壓 Vref ,如此即可以控制馬達的轉速

Page 20: 直流馬達控制實習

VHDL數位電路實習與專題設計 20---陳慶逸、林柏辰編著 文魁資訊

第七章 直流馬達控制實習

相關知識 在上圖中, OUT1 及 OUT2 為連接至直流馬達的輸入端與, Vs 為供應直流

馬達的電源, Vcc 為邏輯電路的電源。而 TA7291 的 H 橋構造還有一主要功能,即控制馬達的正反轉。也就是藉由 TA7291 的第五隻腳 (IN1) 及第六隻腳(IN2) 的電壓準位變化,便可控制馬達的正反轉,其邏輯組合及模式如下表所示

IN1 IN2 OUT1 OUT2 模式1 1 L L 制動0 1 L H 正轉 /反轉1 0 H L 反轉 /正轉0 0 高阻抗 停止

IN1 IN2 真值表

Page 21: 直流馬達控制實習

VHDL數位電路實習與專題設計 21---陳慶逸、林柏辰編著 文魁資訊

第七章 直流馬達控制實習

實驗功能 以指撥開關 (DIP[7:0]) 來設定直流馬達轉速,且以四個彈跳開關

(BTN[3:0]) 來選擇直流馬達驅動器的驅動模式。下表為本實驗之系統規格表

系統規格表

信號名稱 輸入 /出 信號 功能CLK INPUT 系統頻率

DIP[7:0] INPUT 00H ~ FFH 控制速度快慢BTN[3] INPUT 制動BTN[2] INPUT 正轉BTN[1] INPUT 反轉BTN[0] INPUT 停止VREF OUTPUT PWM輸出

DIR[1:0] OUTPUT TA7291控制信號

Page 22: 直流馬達控制實習

VHDL數位電路實習與專題設計 22---陳慶逸、林柏辰編著 文魁資訊

第七章 直流馬達控制實習

實驗電路圖

VREF

VCC

MOTOR+

SW DIP-8

EPM7064S/LCC44

456

29313334361442

3739

4140

43

I/OI/OI/O

I/OI/OI/OI/OI/OI/OI/OI/O

I/OI/O

I/OI/O

I/GCLK1

SW1

VCC

BTN2

VCC

CLKBTN0

DIP6

TA7291

12345678910 MOTOR-

DIP2

SW2

DIP5

SW3

DIP1

SW4

DIP0

BTN3

DIR1DIP3

DIP7

DIP4

BTN1

DIR0

直流馬達轉速與轉向控制實習電路圖

Page 23: 直流馬達控制實習

VHDL數位電路實習與專題設計 23---陳慶逸、林柏辰編著 文魁資訊

第七章 直流馬達控制實習

直流馬達轉速與轉向控制實習程式碼 1 -------------------------------------------------------------------2 -- 實驗名稱:直流馬達轉速與轉向控制實習3 -- 檔案名稱: dcmotor.vhd4 -- 功 能:以指撥開關來調整直流馬達轉速 , 以彈跳開關控制直流馬達

轉向5 -- 日 期: 2003.8.86 -------------------------------------------------------------------7 library ieee;8 use ieee.std_logic_1164.all;9 use ieee.std_logic_arith.all;10 use ieee.std_logic_unsigned.all;1112 ntity dcmotor is13 ort(14 clk :in std_logic; -- 系統頻率15 DIP :in std_logic_vector(7 downto 0); -- 指撥開關輸入16 BTN :in std_logic_vector(3 downto 0); -- 彈跳開關輸入17 VREF :out std_logic; --PWM 訊號18 DIR :out std_logic_vector(1 downto 0) --TA7291 模式選擇訊號19 );20 end dcmotor;21 22 architecture a of dcmotor is23 signal B:std_logic_vector(7 downto 0);24 begin

2526 ----- 下數計數器 -----27 process(clk)28 begin29 if clk'event and clk='1' then30 B <= B-1;31 end if;32 end process;3334 ----- 比較器 -----35 VREF <= '1' when DIP > B else '0';3637 ----- TA7291 模式選擇 -----38 process(BTN)39 begin40 case BTN is 41 when "1110" => DIR <= "00";42 when "1101" => DIR <= "01";43 when "1011" => DIR <= "10";44 when "0111" => DIR <= "11";45 when others => DIR <= "00";46 end case;47 end process;48 end a;

Page 24: 直流馬達控制實習

VHDL數位電路實習與專題設計 24---陳慶逸、林柏辰編著 文魁資訊

第七章 直流馬達控制實習

功能模擬與 CPLD 下載驗證

Page 25: 直流馬達控制實習

VHDL數位電路實習與專題設計 25---陳慶逸、林柏辰編著 文魁資訊

第七章 直流馬達控制實習

燒錄於力浦電子 FPT-3 實驗板 直流馬達轉速與轉向控制實習腳位配置圖

輸入 腳位 輸出 腳位CLK 43 DIR1 4(IO0)

DIP0 29 DIR0 5(IO1)

DIP1 31 VERF 6(IO2)

DIP2 33

DIP3 34

DIP4 36

DIP5 1

DIP6 44

DIP7 2

BTN0 37(SW1)

BTN1 39(SW2)

BTN2 40(SW3)

BTN3 41(SW4)

Page 26: 直流馬達控制實習

VHDL數位電路實習與專題設計 26---陳慶逸、林柏辰編著 文魁資訊

第七章 直流馬達控制實習

燒錄於力浦電子 FPT-3 實驗板 直流馬達轉速與轉向控制實驗實體圖 1

Page 27: 直流馬達控制實習

VHDL數位電路實習與專題設計 27---陳慶逸、林柏辰編著 文魁資訊

第七章 直流馬達控制實習

燒錄於力浦電子 FPT-3 實驗板 直流馬達轉速與轉向控制

實驗實體圖 2 ,按下 SW1 ,為停止模式

直流馬達轉速與轉向控制實驗實體圖 3 ,按下 SW2 ,為正轉 / 反轉模式

Page 28: 直流馬達控制實習

VHDL數位電路實習與專題設計 28---陳慶逸、林柏辰編著 文魁資訊

第七章 直流馬達控制實習

燒錄於力浦電子 FPT-3 實驗板 直流馬達轉速與轉向控制

實驗實體圖 4 ,按下 SW3 ,為反轉 / 正轉模式

直流馬達轉速與轉向控制實驗實體圖 5 ,按下 SW3 ,為制動模式

Page 29: 直流馬達控制實習

VHDL數位電路實習與專題設計 29---陳慶逸、林柏辰編著 文魁資訊

第七章 直流馬達控制實習

燒錄於力浦電子 LP-2900 實驗板 此處我們選用力浦電子 LP-2900 實驗板上的 EPF10K10TC144-4 晶片型號來編繹程式,

而腳位配置如下表所示。再來將 dcmotor_lp2900.sof 檔下載到 CPLD 中做實際驗證,開啟 programmer 進行程式下載;下載成功後,我們可調整 SW9~SW16 的指撥開關來設定 PWM 訊號的大小,以 SW1~SW4 來控制馬達的轉向與動作。

輸入 腳位 輸出 腳位CLK 55 DIR1 37

DIP0 64(SW9) DIR0 38

DIP1 65(SW10) VERF 39

DIP2 67(SW11)

DIP3 68(SW12)

DIP4 69(SW13)

DIP5 70(SW14)

DIP6 72(SW15)

DIP7 73(SW16)

BTN0 47(SW1)

BTN1 48(SW2)

BTN2 49(SW3)

BTN3 51(SW4)

Page 30: 直流馬達控制實習

VHDL數位電路實習與專題設計 30---陳慶逸、林柏辰編著 文魁資訊

第七章 直流馬達控制實習

燒錄於力浦電子 LP-2900 實驗板 LP-2900 實驗板元件規劃

DIR1 DIR0 VREFBTN0~BTN3 DIP0~DIP7

Page 31: 直流馬達控制實習

VHDL數位電路實習與專題設計 31---陳慶逸、林柏辰編著 文魁資訊

第七章 直流馬達控制實習

勘誤 7-9 表 7-1 PWM 實習腳位配置圖; A0~A7 為輸入; pwm 為輸出 7-18 圖 7-16 制動模式 -> 停止模式 7-20 圖 7-19 停止模式 -> 制動模式