7 シリーズ fpga および zynq-7000 all programmable soc ...7 シリーズ fpga および...

88
7 シリーズ FPGA および Zynq-7000 All Programmable SoC XADC デュアル 12 ビット 1MSPS アナログ - デジタル コンバーター ユーザー ガイド UG480 (v1.5) 2014 10 21 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま す。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の 上、最新情報につきましては、必ず最新英語版をご参照ください。

Upload: others

Post on 31-Jan-2021

6 views

Category:

Documents


0 download

TRANSCRIPT

  • 7 シリーズ FPGA および Zynq-7000 All Programmable SoC XADC デュアル 12 ビッ ト 1MSPS アナログ-デジタル コンバーター

    ユーザー ガイド

    UG480 (v1.5) 2014 年 10 月 21 日

    本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきましては、必ず最新英語版をご参照ください。

  • XADC ユーザー ガイド japan.xilinx.com UG480 (v1.5) 2014 年 10 月 21 日

    Notice of DisclaimerThe information disclosed to you hereunder (the “Materials”) is provided solely for the selection and use of Xilinx products.Tothe maximum extent permitted by applicable law:(1) Materials are made available "AS IS" and with all faults, Xilinx herebyDISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOTLIMITED TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULARPURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort, including negligence, or under any other theory ofliability) for any loss or damage of any kind or nature related to, arising under, or in connection with, the Materials (includingyour use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage (including lossof data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if suchdamage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same.Xilinx assumes noobligation to correct any errors contained in the Materials or to notify you of updates to the Materials or to productspecifications.You may not reproduce, modify, distribute, or publicly display the Materials without prior written consent.Certainproducts are subject to the terms and conditions of Xilinx’s limited warranty, please refer to Xilinx’s Terms of Sale which can beviewed at www.xilinx.com/legal.htm#tos; IP cores may be subject to warranty and support terms contained in a license issued toyou by Xilinx.Xilinx products are not designed or intended to be fail-safe or for use in any application requiring fail-safeperformance; you assume sole risk and liability for use of Xilinx products in such critical applications, please refer to Xilinx’sTerms of Sale which can be viewed at www.xilinx.com/legal.htm#tos.Automotive Applications DisclaimerXILINX PRODUCTS ARE NOT DESIGNED OR INTENDED TO BE FAIL-SAFE, OR FOR USE IN ANY APPLICATIONREQUIRING FAIL-SAFE PERFORMANCE, SUCH AS APPLICATIONS RELATED TO:(I) THE DEPLOYMENT OFAIRBAGS, (II) CONTROL OF A VEHICLE, UNLESS THERE IS A FAIL-SAFE OR REDUNDANCY FEATURE (WHICHDOES NOT INCLUDE USE OF SOFTWARE IN THE XILINX DEVICE TO IMPLEMENT THE REDUNDANCY) AND AWARNING SIGNAL UPON FAILURE TO THE OPERATOR, OR (III) USES THAT COULD LEAD TO DEATH ORPERSONAL INJURY.CUSTOMER ASSUMES THE SOLE RISK AND LIABILITY OF ANY USE OF XILINX PRODUCTSIN SUCH APPLICATIONS.© Copyright 2011—2014 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and otherdesignated brands included herein are trademarks of Xilinx in the United States and other countries.All other trademarks are theproperty of their respective owners.この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] まで、 または各ページの右下にある [フ ィードバッ ク送信] ボタンをク リ ッ クする と表示されるフォームからお知らせください。フ ィードバックは日本語で入力可能です。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受け付けており ません。 あらかじめご了承ください。

    mailto:[email protected]://www.xilinx.com/legal.htm#toshttp://www.xilinx.com/legal.htm#toshttp://japan.xilinx.com

  • UG480 (v1.5) 2014 年 10 月 21 日 japan.xilinx.com XADC ユーザー ガイド

    改訂履歴

    次の表に、 この文書の改訂履歴を示します。

    日付 バージ ョ ン 内容

    2011 年 3 月 1 日 1.0 初版2011 年 3 月 28 日 1.1 ドキュ メン ト タイ トルに 「デュアル 12 ビッ ト 1MSPS アナログ - デジタル コンバー

    ター」 を追加。 第 1 章 「概要およびクイ ッ ク スタート 」 の第 1 段落を変更、 第 2 段落を追加。 表 1-1 を追加。 第 4 章から 「熱ダイオード (DXP および DXN)」 を削除。

    2012 年 10 月 25 日 1.2 Zynq-7000 All Programmable SoC デバイスの情報を含めるためのバージ ョ ン アップデート。 ドキュ メン ト タイ トルに 「Zynq-7000 All Programmable SoC」 を追加。

    図 1-1 で、 Zynq-7000 All Programmable SoC の情報を追加、 制御レジスタおよびステータス レジスタについて 32 x 16 ビッ トから 64 x 16 ビッ トに変更。 セクシ ョ ン タイ トル 「システム モニターのサポート 」 を 「Virtex-5 および Virtex-6 システム モニターとの違い」 に変更。 後続の章で定義されていなかったステータス レジスタについて、7 シ リーズ FPGA 内の XADC ブロ ッ クの機能を定義。図 1-2 のキャパシタの値を変更、 100nF のデカップ リ ング キャパシタの配置について注記を追加。 表 1-1 で、VREFP_0 について、 GND を GNDADC に変更、 高精度基準電圧ソース を高精度基準電圧 IC に変更、VP_0 および VN_0 のタイプを専用アナログ入力に変更。7 シ リーズおよび Zynq デバイス ピン パッケージをサポートするにあたって脚注を追加。 表 1-1 の後に、 アプリ ケーシ ョ ン ガイ ド ラ インに関する注記を追加。 「外部アナログ入力」 に補助アナログ チャネルの情報を追加。 表 1-2 の ALM[0] ~ ALM[3] ポート の説明で、XADC を削除。Zynq-7000 All Programmable SoC デバイス固有のアラーム (ALM[4]、ALM[5]、 ALM[6]) を追加。 「インスタンシエーシ ョ ンの例」 の例を更新。 「ADC とセンサー」 で、 200mV のアナログ入力信号に関する情報を変更、 式 1-1 を追加。 章の最後にサブセクシ ョ ン 「Zynq-7000 All Programmable SoC (AP SoC)」 を新たに追加。

    「ADC の伝達関数」 で MSB は左端のビッ ト と定義。 「補助アナログ入力」 で 「インスタンシエーシ ョ ンで」 を 「プ リ ミ テ ィブの」 に変更、 そしてアナログ入力が接続され

    た場合はコンフ ィギュレーシ ョ ンは自動という情報を追加。 25 ページ の注記で、補助アナログ チャネルのデバイス サポート をわかりやすく説明。 式 2-2 を追加 (単極モード )、 式 2-1、 式 2-3、 および式 2-4 を変更 (10 から 9 に変更)、 式 2-4 の後に段落を追加。 追加の外部抵抗に関する情報を 「単極入力信号」 に追加。 「温度センサー」 で 「オ

    ンチップ温度センサーの最大測定誤差は、 –40℃ ~ +125℃ の範囲で ±4℃ です。」 という文章を削除。 「電源センサー」 で、 Zynq-7000 All Programmable SoC についてどの電源が監視され、 測定結果がどこに保存されるかを追加。

    図 3-1 で、Zynq-7000 All Programmable SoC 向けに min/max レジスタ リ ス ト を更新。表 3-1 で、VREFN の説明を更新、Zynq-7000 デバイスのチャネル VCCPINT、VCCPAUX、および VCCO_DDR を新たに追加。 Zynq-7000 All Programmable SoC のステータス レジスタを図 3-1 に追加。 図 3-2 の DI5 ~ DI8 のフラグ レジスタを変更、 表 3-2 からDIS を削除。「XADC キャ リブレーシ ョ ン係数」 を追加。図 3-4 に、 コンフ ィギュレーシ ョ ン レジスタ ALM[4]、 ALM[5]、および ALM[6] を追加。表 3-3 に、 ビッ ト DI19~ DI11 の行を新たに追加。表 3-7 に、ADC チャネル 13、 14、および 15 の行を追加。「アラーム レジスタ (50h ~ 5Fh)」 に、 Zynq-7000 All Programmable SoC のアラームしきい値レジスタを追加。 「DRP JTAG インターフェイス」 の注記で、 Zynq デバイスについて外部 JTAG ア ク セスが無効になる条件を説明。 章の最後に 「Zynq-7000AP SoC プロセッシング システム (PS) と XADC 間の専用インターフェイス」を追加。

    http://japan.xilinx.com

  • XADC ユーザー ガイド japan.xilinx.com UG480 (v1.5) 2014 年 10 月 21 日

    2012 年 10 月 25 日 1.2(続き)

    「XADC の動作モード」 で、 最初の段落の 「2 つの ADC を並列動作させて」 を 「ロ ック手順で 2 つの ADC を動作」 に変更。 「シングル チャネル モード」 で、 最初の文章「シングル チャネル モードは、ユーザーが XADC の動作を変更できる最も基本的な方法を提供します。」 を削除。表 4-1 および表 4-2 で、Zynq-7000 All Programmable SoCデバイスについてシーケンス番号および説明を変更。 「シーケンサー モード」 の表 4-3で、 Zynq-7000 All Programmable SoC デバイスについてデフォルト モードのシーケンスを変更および追加。 表 4-4 の前にあった文章を削除。 表 4-4 および表 4-6 の脚注で、補助アナログチャネルのサポートの説明を追加。Zynq-7000 All Programmable SoCのチャネルを含めるよ うに表 4-7 を更新。Zynq-7000 All Programmable SoC のアラームし きい値レジス タを表 4-8 に追加。 「XADC の強化された線形化モード」 および図 4-5 を章の最後に新たに追加。

    「ダイナミ ッ ク リ コンフ ィギュレーシ ョ ン ポート (DRP) のタイ ミ ング」を追加。表 5-1:XADC のタイ ミ ングイベン ト情報を削除

    「基準電圧入力 (VREFP および VREFN)」 の最後の段落で 「基準電圧のノ イズによってADC 変換にも ノ イズが発生し、結果、 コード遷移にノ イズがさ らに発生したり、 SNRが予期したものよ り も低下したり します。」 という文章を追加。 図 6-1 で、 キャパシタの値を変更、 10µF のキャパシタを新たに追加、 注記を追加。 図 6-5 に注記を追加。「XADC のソフ ト ウェア サポート 」 を完全に書き換え。同セクシ ョ ンで、 Verilog のインスタンシエーシ ョ ンを置換。図 6-6 :アナログ スティ ミ ュラス ファ イルおよび図 6-7:XADC のシ ミ ュレーシ ョ ン出力を削除。新しいセクシ ョ ンで、図 6-5 ~図 6-8 を追加。

    2014 年 3 月 10 日 1.3 「Disclaimer」 と 「著作権」 を更新。 「XADC のピン配置の要件」 の図 1-2 を変更。 「外部アナログ入力」 で、Vivado ツールでの補助アナログ入力の処理をわかりやすく説明。表 1-2 の RESET 信号の説明を改善。 「取得時間の調整」 で、 ACQ ビッ トの説明を追加。 「アナログ入力の説明」 で、式 2-1 に注記を追加。表 3-3 「ステータス レジスタ内のキャ リブレーシ ョ ン係数」 を追加。 表 3-5 に DI4 および DI5 の説明を追加。 「DRPJTAG インターフェイス」 に重要な注記を追加。「ADC チャネル選択レジスタ (48h および 49h)」のセクシ ョ ンの表 4-1 および表 4-2 の説明を明確化。図 4-3 を変更。表 4-8: 「アラームしきい値レジスタ」 に注記を追加。 「熱管理」 の説明を変更。 図 5-1 を変更。 「変換段階」 および 「イベン ト ド リブン サンプリ ング」 を更新。 「取得段階」 の説明を追加。「外部アナログ入力」 を更新。図 6-3 を変更。「デザインのインスタンシエーシ ョ ンの例」 および 「デザイン例のテス トベンチ」 を変更。 「XADC インスタンシエーシ ョ ン ウ ィザードの使用」 を更新。 図 6-7 を更新。

    2014 年 4 月 9 日 1.3.1 「参考資料」 で UG585 へのリ ンクを更新。2014 年 5 月 31 日 1.4 図 1-2、図 2-10、および図 3-3 を更新。表 1-1 の VREFP_0 パッケージ ピンの説明を更

    新。 「ゲイン係数」 および 「シングル パス モード」 を更新。 第 4 章 「XADC の動作モード」 のはじめに第 2 段落を追加。

    2014 年 10 月 21 日 1.5 文書全体で 7 シ リ ーズの用語を明確化。 「このユーザー ガイ ドについて」 の章で、Zynq-7000 All Programmable SoC の説明を追加、 デザイン ファ イルへのリ ンクを追加。図 1-2 および図 6-1 で、 フェライ ト ビーズの位置を変更。第 3 章の 「XADC DRPへの JTAG 書き込み」 に式と説明を追加。 3 つの式と図 4-4 を追加して第 4 章の 「熱管理」 を変更。 「XADC の強化された線形化モード」 に Vivado デザイン ツールの情報を追加。 「イベン ト ド リブン サンプ リ ング」 に安定周期の情報を追加。 図 5-2 を追加、 図 5-3 からタイ ミ ングの情報を削除。 図 6-7 を更新 。

    日付 バージ ョ ン 内容

    http://japan.xilinx.com

  • XADC ユーザー ガイド japan.xilinx.com 5UG480 (v1.5) 2014 年 10 月 21 日

    改訂履歴. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3このユーザー ガイドについて

    内容 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7その他のリ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7参考資料. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8

    第 1 章 : 概要およびクイック スタートXADC の概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9XADC のピン配置の要件 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11XADC のインスタンシエーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14

    第 2 章 : アナログ - デジタル コンバーター (ADC)ADC の伝達関数 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21アナログ入力 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24

    第 3 章 : XADC のレジスタ インターフェイスステータス レジスタ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33制御レジスタ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38DRP JTAG インターフェイス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43Zynq-7000 AP SoC プロセッシング システム (PS) と XADC 間の専用インターフェイス . . . . . . 48

    第 4 章 : XADC の動作モードシングル チャネル モード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51自動チャネル シーケンサー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51シーケンサー モード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54外部マルチプレクサー モード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58最大および最小ステータス レジスタ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61自動アラーム . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61

    第 5 章 : XADC のタイ ミング連続サンプリ ング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67イベン ト ド リブン サンプリ ング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68ダイナミ ッ ク リ コンフ ィギュレーシ ョ ン ポート (DRP) のタイ ミ ング . . . . . . . . . . . . . . . . . . . . . 70

    第 6 章 : アプリケーシ ョ ン ガイド ライン基準電圧入力 (VREFP および VREFN) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73アナログ電源とグランド (VCCADC および GNDADC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73外部アナログ入力 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75PCB デザインのガイ ド ライン. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76

    目次

    http://japan.xilinx.com

  • 6 japan.xilinx.com XADC ユーザー ガイドUG480 (v1.5) 2014 年 10 月 21 日

    http://japan.xilinx.com

  • XADC ユーザー ガイド japan.xilinx.com 7UG480 (v1.5) 2014 年 10 月 21 日

    このユーザー ガイドについてザイ リ ンクス 7 シ リーズ FPGA には、 3 つの FPGA ファ ミ リがあ り ます。 これらはすべて最も低い消費電力を達成するよ う設計されており、 最適な電力、 性能、 コス トの実現に向けて、 標準デザ

    インをファ ミ リ間で拡張させるこ とが可能です。Artix®-7 ファ ミ リは、量産アプリ ケーシ ョ ン向けに開発され、 最も低いコス ト と消費電力を実現するよ う最適化されています。 Virtex®-7 ファ ミ リは、 最高のシステム性能と容量を提供するよ うに最適化されています。 Kintex®-7 ファ ミ リは、 対コス ト性能に最も優れた新しいク ラスの FPGA です。 Zynq®-7000 All Programmable SoC デバイスは、 豊富な機能を備えたデュアルコア ARM® Cortex™-A9 ベースのプロセッシング システム(PS) とザイ リ ンクスの 28nm プログラマブル ロジッ ク (PL) を 1 つのデバイスに組み合わせたものです。 このユーザー ガイ ドは、 7 シ リーズ FPGA および Zynq-7000 AP SoC のオンチップ センサーを備えた 12 ビッ ト 、1MSPS のデュアル A/D コンバーターである XADC について説明した技術的な リ ファレンスです 。

    このユーザー ガイ ドを含む 7 シ リーズ FPGA および Zynq-7000 AP SoC デバイスに関するすべての資料は、 ザイ リ ンクスのウェブサイ ト (japan.xilinx.com/7) から入手できます。

    内容

    このユーザー ガイ ドは、 次の各章で構成されています。

    • 第 1 章 「概要およびクイ ッ ク スタート 」

    • 第 2 章 「アナログ - デジタル コンバーター (ADC)」

    • 第 3 章 「XADC のレジスタ インターフェイス」

    • 第 4 章 「XADC の動作モード」

    • 第 5 章 「XADC のタイ ミ ング」

    • 第 6 章 「アプ リ ケーシ ョ ン ガイ ド ライン」

    その他のリソース

    その他の資料は、 ザイ リ ンクスのウェブサイ トから入手できます。

    japan.xilinx.com/support/documentation/index

    シ リ コンやソフ ト ウェア、 IP に関するアンサー データベースを検索したり、 テクニカル サポートのウェブケースを開く場合は、 次のウェブサイ トにアクセスして ください。

    japan.xilinx.com/support

    http://japan.xilinx.comjapan.xilinx.com/7http://japan.xilinx.com/support/documentation/index.htmhttp://japan.xilinx.com/supporthttp://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG480&Title=7%20%26%2312471%3B%26%2312522%3B%26%2312540%3B%26%2312474%3B%20FPGA%20%26%2312362%3B%26%2312424%3B%26%2312403%3B%20Zynq-7000%20All%20Programmable%20SoC%20XADC%20%26%2312487%3B%26%2312517%3B%26%2312450%3B%26%2312523%3B%2012%20%26%2312499%3B%26%2312483%3B%26%2312488%3B%20MSPS%20%26%2312450%3B%26%2312490%3B%26%2312525%3B%26%2312464%3B-%26%2312487%3B%26%2312472%3B%26%2312479%3B%26%2312523%3B%20%26%2312467%3B%26%2312531%3B%26%2312496%3B%26%2312540%3B%26%2312479%3B%26%2312540%3B%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%28UG480%29&releaseVersion=1.5&docPage=7

  • 8 japan.xilinx.com XADC ユーザー ガイドUG480 (v1.5) 2014 年 10 月 21 日

    このユーザー ガイドについて

    ザイ リ ンクス アナログ ミ ッ クス ド シグナル (AMS) に関する情報は、次のウェブサイ トにアクセスして ください。

    japan.xilinx.com/ams

    Vivado® ハード ウェア マネージャーのサンプル デザイン ファ イルおよび Tcl コンソールの例は、このユーザー ガイ ド と共に提供されている ZIP ファ イルに含まれています。

    参考資料

    このガイ ドに関連して、 次の資料も有用です。

    1. 『LogiCORE IP AXI XADC (v1.0) 製品ガイ ド』 (PG019)2. 『7 シ リーズ FPGA パッケージおよびピン配置仕様ユーザー ガイ ド』 (UG475)3. 『7 シ リーズ FPGA コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG470)4. 『Zynq-7000 All Programmable SoC テクニカル リ ファレンス マニュアル』 (UG585)5. 『Vivado Design Suite 用 LogiCORE IP XADC Wizard 製品ガイ ド』 (PG091)6. 『XADC レイアウ トのガイ ド ライン』 (XAPP554)7. 『ザイ リ ンクス アナログ-デジタル コンバーターの駆動』 アプリ ケーシ ョ ン ノート (XAPP795)8. 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908)9. 『ザイ リ ンクス All Programmable Device でのアナログ信号処理機能の効率的なインプリ メン

    テーシ ョ ン』 (WP442)10. 『Zynq-7000 All Programmable SoC パッケージおよびピン配置仕様ユーザー ガイ ド』 (UG865)

    http://japan.xilinx.com/cgi-bin/docs/ipdoc?c=axi_xadc;v=v1.00_a;d=pg019_axi_xadc.pdfhttp://japan.xilinx.com/cgi-bin/docs/ndoc?v=zynq-7000;t=user+guidehttp://japan.xilinx.com/cgi-bin/docs/ndoc?v=7%20Series;t=user+guidehttp://japan.xilinx.com/amshttps://secure.xilinx.com/webreg/clickthrough.do?cid=161855&license=RefDesLicense&filename=ug480_7Series_XADC.zip&languageID=1http://japan.xilinx.com/cgi-bin/docs/ndoc?v=7%20Series;t=user+guidehttp://japan.xilinx.com/cgi-bin/docs/ipdoc?c=xadc_wiz;v=latest;d=pg091-xadc-wiz.pdfhttp://japan.xilinx.com/support/documentation/application_notes/j_xapp554-xadc-layout-guidelines.pdfhttp://japan.xilinx.com/support/documentation/application_notes/j_xapp795-driving-xadc.pdfhttp://japan.xilinx.com/cgi-bin/docs/rdoc?v=latest;d=ug908-vivado-programming-debugging.pdfhttp://japan.xilinx.com/support/documentation/white_papers/wp442-analog-sig-proc.pdfhttp://japan.xilinx.com/cgi-bin/docs/ndoc?t=user_guides;d=j_ug865-Zynq-7000-Pkg-Pinout.pdfhttp://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG480&Title=7%20%26%2312471%3B%26%2312522%3B%26%2312540%3B%26%2312474%3B%20FPGA%20%26%2312362%3B%26%2312424%3B%26%2312403%3B%20Zynq-7000%20All%20Programmable%20SoC%20XADC%20%26%2312487%3B%26%2312517%3B%26%2312450%3B%26%2312523%3B%2012%20%26%2312499%3B%26%2312483%3B%26%2312488%3B%20MSPS%20%26%2312450%3B%26%2312490%3B%26%2312525%3B%26%2312464%3B-%26%2312487%3B%26%2312472%3B%26%2312479%3B%26%2312523%3B%20%26%2312467%3B%26%2312531%3B%26%2312496%3B%26%2312540%3B%26%2312479%3B%26%2312540%3B%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%28UG480%29&releaseVersion=1.5&docPage=8

  • XADC ユーザー ガイド japan.xilinx.com 9UG480 (v1.5) 2014 年 10 月 21 日

    第 1 章

    概要およびクイック スタートこの章では、ザイ リ ンクス 7 シ リーズ FPGA の XADC の機能について簡潔に説明します。 XADCは Artix®-7、 Kintex®-7、 Virtex®-7、 および Zynq®-7000 All Programmable SoC (AP SoC) のデバイスすべてに搭載されています。

    XADC は 7 シ リーズ FPGA で新たに追加された、 アナログ ミ ッ クス ド シグナル (AMS) 機能を実現する基本的な構築ブロ ッ クです。 高品質のアナログ ブロ ッ クをプログラマブル ロジッ クの柔軟性と組み合わせるこ とによ り、幅広いアプリ ケーシ ョ ンに対応するカスタマイズされたアナログ インターフェイスを構築できます。 詳細は、 japan.xilinx.com/ams を参照してください。

    この章では、 XADC ブロ ッ クの基本的な理解に必要な情報のみを示します。 この情報から、 ピン配置の要件を把握し、 デザインで基本機能をインスタンシエートする方法を判断できるよ うになり ま

    す。 XADC の詳細な機能については後続の章で説明します。

    XADC の概要XADC には 12 ビッ ト 、毎秒 1 メガサンプル (MSPS) の ADC が 2 つとオンチップ センサーが含まれます。 ADC とセンサーは完全にテス ト され、 各 7 シ リーズ FPGA データシートに仕様が規定されています。 ADC は幅広いアプリ ケーシ ョ ンに対応する、 多用途の高精度アナログ インターフェイスを提供します。 図 1-1 に XADC のブロ ッ ク図を示します。 デュアル ADC は、 外部ト リ ガーによる起動、両 ADC での同時サンプリ ングを含むさまざまな動作モードをはじめ (第 4 章「XADCの動作モード」 参照)、 単極および差動などのアナログ入力信号タイプをサポート します (第 2 章「アナログ - デジタル コンバーター (ADC)」 参照)。 また、 最大 17 チャネルの外部アナログ入力を使用できます。

    http://japan.xilinx.comjapan.xilinx.com/amshttp://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG480&Title=7%20%26%2312471%3B%26%2312522%3B%26%2312540%3B%26%2312474%3B%20FPGA%20%26%2312362%3B%26%2312424%3B%26%2312403%3B%20Zynq-7000%20All%20Programmable%20SoC%20XADC%20%26%2312487%3B%26%2312517%3B%26%2312450%3B%26%2312523%3B%2012%20%26%2312499%3B%26%2312483%3B%26%2312488%3B%20MSPS%20%26%2312450%3B%26%2312490%3B%26%2312525%3B%26%2312464%3B-%26%2312487%3B%26%2312472%3B%26%2312479%3B%26%2312523%3B%20%26%2312467%3B%26%2312531%3B%26%2312496%3B%26%2312540%3B%26%2312479%3B%26%2312540%3B%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%28UG480%29&releaseVersion=1.5&docPage=9

  • 10 japan.xilinx.com XADC ユーザー ガイドUG480 (v1.5) 2014 年 10 月 21 日

    第 1 章 : 概要およびクイック スタート

    図 1-1 について説明します。

    1. Zynq-7000 All Programmable SoC デバイスのみ

    XADC には、 オンチップの電源電圧およびダイ温度の測定をサポートするオンチップ センサーがいくつか含まれます。 ADC の変換データはステータス レジスタ と呼ばれる専用のレジスタに格納されます。 これらのレジスタには、 ダイナミ ッ ク リ コンフ ィギュレーシ ョ ン ポート (DRP) と呼ばれる 16 ビッ トの同期読み出し /書き込みポート を用いた FPGA インターコネク ト を介してアクセスできます。 ADC の変換データには、 コンフ ィギュレーシ ョ ン前またはコンフ ィギュレーシ ョ ン後に JTAG TAP 経由でもアクセスできます。 JTAG TAP からアクセスする場合は、 既存の FPGAJTAG 基礎構造を使用したアクセス となるため、XADC をインスタンシエートする必要があ り ません。 後述する とおり、 デザインに XADC がインスタンシエート されていない場合、 デバイスはオンチップの温度および電源電圧をモニターする既定のデフォルト モードで動作します。

    XADC の動作をユーザーで定義するには、 DRP または JTAG インターフェイスのいずれかを介して制御レジス タに書き込みを行います。 これらのレジス タの内容は、 ブロ ッ ク属性を使用して

    XADC をデザインにインスタンシエートする際に初期化するこ と も可能です。

    Virtex-5 および Virtex-6 システム モニターとの違いVirtex®-5 および Virtex®-6 FPGA のシステム モニター ユーザーは、 XADC の機能を従来のシステム モニターを含むデザインでも使用するこ とができます。 したがって、 システム モニターの使用経験を持つ設計者にとって XADC の機能およびインターフェイスの使用は簡単です。 システムモニターを含むデザインは、 ソフ ト ウェア ツールによって XADC サイ トに自動的に再割り当てされます。

    ただし、7 シ リーズ FPGA の XADC ブロ ッ クには数多くの新機能や拡張機能が追加されています。これらについては後続の章で説明します。従来は未定義であったステータス レジスタおよびビッ ト位置を初期化するこ とで新しい機能が有効にな り ます。 以前のシステム モニター デザインでこれ

    X-Ref Target - Figure 1-1

    図 1-1 : XADC のブロック図

    VP_0

    VREP_0

    DieTemperature

    VCCINTVCCAUXVCCBRAMVCCPINT(1)

    VCCPAUX(1)

    VCCO_DDR(1)

    VREFN_0

    Mux

    Mux

    TemperatureSensor

    SupplySensors

    VN_0VAUXP[0]VAUXN[0]

    12-bit,1 MSPSADC A

    °C

    VAUXP[12]VAUXN[12]

    VAUXP[13]VAUXN[13]VAUXP[14]VAUXN[14]VAUXP[15]VAUXN[15]

    ControlRegisters

    StatusRegisters

    On-Chip Ref1.25V

    JTAGFPGA

    Interconnect

    External Analog Inputs

    DRP

    12-bit,1 MSPSADC B

    UG480_c1_01_04-612

    64 x 16 bitsRead/Write

    64 x 16 bitsRead Only

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG480&Title=7%20%26%2312471%3B%26%2312522%3B%26%2312540%3B%26%2312474%3B%20FPGA%20%26%2312362%3B%26%2312424%3B%26%2312403%3B%20Zynq-7000%20All%20Programmable%20SoC%20XADC%20%26%2312487%3B%26%2312517%3B%26%2312450%3B%26%2312523%3B%2012%20%26%2312499%3B%26%2312483%3B%26%2312488%3B%20MSPS%20%26%2312450%3B%26%2312490%3B%26%2312525%3B%26%2312464%3B-%26%2312487%3B%26%2312472%3B%26%2312479%3B%26%2312523%3B%20%26%2312467%3B%26%2312531%3B%26%2312496%3B%26%2312540%3B%26%2312479%3B%26%2312540%3B%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%28UG480%29&releaseVersion=1.5&docPage=10

  • XADC ユーザー ガイド japan.xilinx.com 11UG480 (v1.5) 2014 年 10 月 21 日

    XADC のピン配置の要件

    らの新しいレジスタやビッ ト位置を初期化しなかった場合は、 従来とまったく同じよ うに動作しま

    す 。

    XADC のピン配置の要件

    専用パッケージ ピンXADC 専用ピンはすべてバンク 0 に配置されるため、 パッケージ ファ イルでのピン名に接尾辞「_0」 が付いています。 図 1-2 に、 XADC の基本的なピン配置要件を反映した2 つの推奨コンフ ィギュレーシ ョ ンを示します。左側は XADC の電源と して VCCAUX (1.8V) を、基準電圧ソースと して外部の 1.25V を使用しています。精度と熱ド リ フ トの面では、外部基準電圧を使用した場合に最高の性能が得られます。 アナログ回路のグランド基準とシステムのグランドを分離するためにフェ

    ライ ト ビーズが使用されています。 同様に、 ADC の性能を改善するために VCCAUX 電源にローパス フ ィルターを追加しています。 詳細は、 第 6 章 「アプ リ ケーシ ョ ン ガイ ド ラ イン」 を参照して ください。 グランド インピーダンスが共有されるこ とが、 アナログ回路にノ イズをもたらす最も一般的な原因です 。

    また、 ADC にオンチップ基準電圧を使用できます。 オンチップの基準電圧ソースを有効にするには、図 1-2 の右に示したよ うに VREFP ピンをグランドに接続する必要があ り ます。オンチップの温度および電源の基本的なモニターだけが必要な場合は、 オンチップの基準電圧でも十分な性能が得

    られます。 外部およびオンチップの基準電圧ソースを使用する場合の精度に関する仕様は、 各デー

    タシート を参照して ください。 表 1-1 に XADC に関連するピンとその推奨接続方法を示します。

    注記 : 100nF のデカップリ ング キャパシタをパッケージ ボールに可能な限り近接させて使用し、デカップ リ ング キャパシタ とパッケージ ボール間のインダク タンスを最小限に抑えるこ とが重要です。

    X-Ref Target - Figure 1-2

    図 1-2 : XADC のピン配置の要件

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG480&Title=7%20%26%2312471%3B%26%2312522%3B%26%2312540%3B%26%2312474%3B%20FPGA%20%26%2312362%3B%26%2312424%3B%26%2312403%3B%20Zynq-7000%20All%20Programmable%20SoC%20XADC%20%26%2312487%3B%26%2312517%3B%26%2312450%3B%26%2312523%3B%2012%20%26%2312499%3B%26%2312483%3B%26%2312488%3B%20MSPS%20%26%2312450%3B%26%2312490%3B%26%2312525%3B%26%2312464%3B-%26%2312487%3B%26%2312472%3B%26%2312479%3B%26%2312523%3B%20%26%2312467%3B%26%2312531%3B%26%2312496%3B%26%2312540%3B%26%2312479%3B%26%2312540%3B%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%28UG480%29&releaseVersion=1.5&docPage=11

  • 12 japan.xilinx.com XADC ユーザー ガイドUG480 (v1.5) 2014 年 10 月 21 日

    第 1 章 : 概要およびクイック スタート

    表 1-1 : XADC パッケージ ピン

    パッケージ ピン タイプ 説明

    VCCADC_0 電源

    XADC に含まれる ADC などのアナログ回路のアナログ電源ピンです。 1.8V のVCCAUX 電源に接続できますが、 ミ ッ クス ド シグナル システムでは、電源は可能な限り独立した 1.8V のアナログ電源に接続します。 詳細は、 73 ページの 「アナログ電源とグランド (VCCADC および GNDADC)」 を参照してください。 このピンは GND に接続できません。XADC を使用しない場合でも VCCAUX に接続してください 。

    GNDADC_0 電源

    XADC に含まれる ADC などのアナログ回路のグランド基準ピンです。 図 1-2 に示すよ うに、 分離用フェラ イ ト ビーズを介してシステム グラン ドに接続できます。 ミ ッ クス ド シグナル システムでは、 可能な限りアナログ グランド プレーン接続します。 その場合、 フェライ ト ビーズは不要です。 詳細は、 73 ページの 「アナログ電源とグランド (VCCADC および GNDADC)」を参照してください。XADCを使用しない場合でも GND に接続します。

    VREFP_0 基準電圧入力

    このピンを外部の 1.25V 高精度基準電圧 IC (± 0.2% または 12 ビッ トの ±9 LSB)に接続する と、 ADC の性能を最大限に引き出すこ とができます。 このピンは、VREFN 信号と共に 1.25V の差動電圧を供給するアナログ信号と して扱います。これを GNDADC に接続するこ とで (図 1-2 参照)、オンチップの基準電圧ソース (±1% または 12 ビッ トの ±41 LSB) がアクティブになり ます。 外部基準電圧を供給しない場合は GNDADC に接続し ます。 詳細は、 73 ページの 「基準電圧入力(VREFP および VREFN)」 を参照して ください。

    VREFN_0 基準電圧入力

    このピンを外部の 1.25V 高精度基準電圧 IC (± 0.2%) の GND ピンに接続する と、ADC の性能を最大限に引き出すこ とができます。このピンは、VREFP 信号と共に1.25V の差動電圧を供給するアナログ信号と して扱います。 外部基準電圧を供給しない場合でも GND に接続します。詳細は、73 ページの 「基準電圧入力 (VREFPおよび VREFN)」 を参照してください。

    VP_0 専用アナログ入力

    専用差動アナログ入力チャネル (VP/VN) の正側の入力端子です。 これらのチャネルは柔軟性に優れ、多様なタイプのアナログ入力信号に対応しています。詳細は、

    24 ページの 「アナログ入力」 を参照してください。 使用しない場合は GND に接続します。

    VN_0 専用アナログ入力

    専用差動アナログ入力チャネル (VP/VN) の負側の入力端子です。 これらのチャネルは柔軟性に優れ、多様なタイプのアナログ入力信号に対応しています。詳細は、

    24 ページの 「アナログ入力」 を参照してください。 使用しない場合は GND に接続します。

    _AD0P_ ~ _AD15P_(1)(2)

    補助アナログ入力/デジタル I/O

    アナログ入力または通常のデジタル I/O と して使用できる多目的ピンです (図 1-1参照)。 差動補助アナログ入力チャネル (VAUXP/VAUXN) の最大 16 の正側入力端子をサポート します。 これらのチャネルは柔軟性に優れ、 多様なタイプのアナロ

    グ入力信号に対応しています。 詳細は、 24 ページの 「アナログ入力」 を参照してください。 アナログ入力と して使用しない場合は、 ほかのデジタル I/O と同様に使用できます。

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG480&Title=7%20%26%2312471%3B%26%2312522%3B%26%2312540%3B%26%2312474%3B%20FPGA%20%26%2312362%3B%26%2312424%3B%26%2312403%3B%20Zynq-7000%20All%20Programmable%20SoC%20XADC%20%26%2312487%3B%26%2312517%3B%26%2312450%3B%26%2312523%3B%2012%20%26%2312499%3B%26%2312483%3B%26%2312488%3B%20MSPS%20%26%2312450%3B%26%2312490%3B%26%2312525%3B%26%2312464%3B-%26%2312487%3B%26%2312472%3B%26%2312479%3B%26%2312523%3B%20%26%2312467%3B%26%2312531%3B%26%2312496%3B%26%2312540%3B%26%2312479%3B%26%2312540%3B%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%28UG480%29&releaseVersion=1.5&docPage=12

  • XADC ユーザー ガイド japan.xilinx.com 13UG480 (v1.5) 2014 年 10 月 21 日

    XADC のピン配置の要件

    注記 : PC ボードのレイアウ トに着手する際には、 第 6 章 「アプリ ケーシ ョ ン ガイ ド ライン」 を参照してください。 ボード レイアウ トや外部コンポーネン トの選定が、 ADC の性能に大きな影響を及ぼすこ とがあ り ます。

    外部アナログ入力

    一対の専用アナログ入力ペア (VP/VN) とは別に、外部アナログ入力は多目的 I/O を使用します。 これらの FPGA デジタル I/O は、 XADC をデザインにインスタンシエートする際に個別にアナログ入力に指定されます。 このユーザー ガイ ドでは、 これらのアナログ入力を補助アナログ入力と しています。 最大 16 の補助アナログ入力が使用できます。 これらを有効にするには、 XADC プ リ ミティブのアナログ入力をデザインの最上位に接続します。 アナログ入力と して有効にされている場

    合、 パッケージ ボールはデジタル I/O と して使用できません。 また、 たとえばコンフ ィギュレーシ ョ ン前に JTAG TAP を介して PCB をモニターするために補助アナログ入力を有効にできます (詳細は、 「JTAG DRP コマンド」 参照) 。

    アナログ入力チャネルはすべて差動入力であ り、 2 つのパッケージ ボールが必要です。 通常、 補助アナログ入力はバンク 15 ~ 35 に均等に割り当てられます。 ただし、 特定のデバイスおよびパッケージの組み合わせについては、 『7 シ リーズ FPGA パッケージおよびピン配置仕様ユーザー ガイド』 (UG475) [参照 2] を参照してピン配置情報を確認する必要があ り ます。アナログ対応 I/O には、パッケージ ファ イルの I/O 名の接尾辞に ADxP または ADxN が付いています。 たとえば、 補助アナログ入力チャネル 8 に関連するパッケージ ボール名には、AD8P および AD8N が付いています。詳細は、 『7 シ リーズ FPGA パッケージおよびピン配置仕様ユーザー ガイ ド』 (UG475) [参照 2] を参照して ください。補助アナログ入力に対するパッケージ ボールの割り当ては固定されており、移動できません。

    補助アナログ入力のサポート方法は、 Vivado® ツールと ISE ツールで異な り ます。 ISE ツールでは、 ユーザーが補助アナログ入力の制約やピン位置を指定する必要はあ り ません。 また、 ISE 外部補助入力には、 I/O 規格の設定を UCF に追加した り、 PlanAhead™ ツールで追加する必要があ りません。 Vivado デザイン ツールでは、 補助アナログ入力を関連するピン位置に割り当てる必要があ り ます。 さ らに、 バン ク との互換性のある IOSTANDARD を選択する必要があ り ます。 このIOSTANDARD は入力プログラ ミ ングには影響を与えません。

    _AD0N_ ~ _AD15N_(1)(2)

    補助アナログ入力/デジタル I/O

    アナログ入力または通常のデジタル I/O と して使用できる多目的ピンです (図 1-1参照)。 差動補助アナログ入力チャネル (VAUXP/VAUXN) の最大 16 の負側入力端子をサポート します。 これらのチャネルは柔軟性に優れ、 多様なタイプのアナログ入

    力信号に対応しています。詳細は、 24 ページの 「アナログ入力」 を参照してください。 アナログ入力と して使用しない場合は、 ほかのデジタル I/O と同様に使用できます。

    注記 :

    1. アナログ入力対応の FPGA I/O にはパッケージ ファ イル名に _ADxP_ および _ADxN_ が含まれます。たとえば、 IO_L1P_T0_AD0P_35はアナログ補助チャネル VAUXP[0] の入力ピンです。 IO_L1N_T0_AD0N_35 はアナログ補助チャネル VAUXN[0] の入力ピンです。 詳細は、 『7 シ リーズ FPGA パッケージおよびピン配置仕様ユーザー ガイ ド』 (UG475) [参照 2] を参照してください。

    2. Kintex-7 デバイスでは、補助チャネルの 6、7、13、14、および 15 はサポート されていません。また、Virtex-7、Artix-7、および Zynq-7000AP SoC デバイスのパッケージ オプシ ョ ンによっては一部の補助アナログ チャネルがサポート されていない場合があ り ます。デバイスのパッケージ ファ イルを確認して ください。

    表 1-1 : XADC パッケージ ピン (続き)

    パッケージ ピン タイプ 説明

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG480&Title=7%20%26%2312471%3B%26%2312522%3B%26%2312540%3B%26%2312474%3B%20FPGA%20%26%2312362%3B%26%2312424%3B%26%2312403%3B%20Zynq-7000%20All%20Programmable%20SoC%20XADC%20%26%2312487%3B%26%2312517%3B%26%2312450%3B%26%2312523%3B%2012%20%26%2312499%3B%26%2312483%3B%26%2312488%3B%20MSPS%20%26%2312450%3B%26%2312490%3B%26%2312525%3B%26%2312464%3B-%26%2312487%3B%26%2312472%3B%26%2312479%3B%26%2312523%3B%20%26%2312467%3B%26%2312531%3B%26%2312496%3B%26%2312540%3B%26%2312479%3B%26%2312540%3B%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%28UG480%29&releaseVersion=1.5&docPage=13

  • 14 japan.xilinx.com XADC ユーザー ガイドUG480 (v1.5) 2014 年 10 月 21 日

    第 1 章 : 概要およびクイック スタート

    補助アナログ入力はデザインの最上位に接続する必要があ り ます。

    注記 : Kintex-7 デバイスでは、 補助チャネルの 6、 7、 13、 14、 15 はサポート されていません。 また、 Virtex-7、 Artix-7、 および Zynq-7000 AP SoC デバイスのパッケージ オプシ ョ ンによっては一部の補助アナログ チャネルがサポート されていない場合があ り ます。デバイスのパッケージ ファイルを確認して ください。

    XADC のインスタンシエーシ ョ ン前述のとおり、XADC をデザインにインスタンシエート しな くてもオンチップのモニター機能は利用できます。 XADC をインスタンシエート しない場合、モニター情報にアクセスする方法が JTAGテス ト アクセス ポート (TAP) に限られます。 FPGA ロジッ クからステータス レジスタ (測定結果) にアクセスするには、XADC をデザインにインスタンシエートする必要があ り ます。次に、XADCプリ ミ ティブの概要 (ポート と属性) について説明します。

    XADC のポート図 1-3 に XADC プリ ミ ティブのポート、 表 1-2 に各ポートの機能を示します。X-Ref Target - Figure 1-3

    図 1-3 : XADC プリ ミテ ィブのポート

    RESET

    CONVSTCLKCONVST

    DI[15:0]DO[15:0]

    DADDR[6:0]

    DWEDEN

    DCLKDRDY

    DynamicReconfiguration Port

    (DRP)

    CONTROLand CLOCK CHANNEL[4:0]

    MUXADDR[4:0]

    JTAGBUSYJTAGMODIFIED

    JTAGLOCKED

    OTALM[7:0]

    EOCEOS

    BUSY

    XADC

    STATUS

    ALARMS

    ExternalAnalogInputs

    VPVNVAUXP[15:0]VAUXN[15:0]

    UG480_c1_03_061610

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG480&Title=7%20%26%2312471%3B%26%2312522%3B%26%2312540%3B%26%2312474%3B%20FPGA%20%26%2312362%3B%26%2312424%3B%26%2312403%3B%20Zynq-7000%20All%20Programmable%20SoC%20XADC%20%26%2312487%3B%26%2312517%3B%26%2312450%3B%26%2312523%3B%2012%20%26%2312499%3B%26%2312483%3B%26%2312488%3B%20MSPS%20%26%2312450%3B%26%2312490%3B%26%2312525%3B%26%2312464%3B-%26%2312487%3B%26%2312472%3B%26%2312479%3B%26%2312523%3B%20%26%2312467%3B%26%2312531%3B%26%2312496%3B%26%2312540%3B%26%2312479%3B%26%2312540%3B%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%28UG480%29&releaseVersion=1.5&docPage=14

  • XADC ユーザー ガイド japan.xilinx.com 15UG480 (v1.5) 2014 年 10 月 21 日

    XADC のインスタンシエーシ ョ ン

    表 1-2 : XADC ポートの機能

    ポート I/O 説明

    DI[15:0] 入力 DRP の入力データ バス(1)

    DO[15:0] 出力 DRP の出力データ バス(1)

    DADDR[6:0] 入力 DRP のアドレス バス(1)

    DEN(2) 入力 DRP のイネーブル信号(1)

    DWE(2) 入力 DRP のライ ト イネーブル(1)

    DCLK 入力 DRP のクロ ッ ク入力(1)

    DRDY(2) 出力 DRP のデータ レディ信号(1)

    RESET(2) 入力

    XADC 制御ロ ジ ッ クの非同期 リ セ ッ ト 信号。 RESET はDCLK に同期してディアサート されます。DCLK が停止している場合は内部コンフ ィギュレーシ ョ ン ク ロ ッ クに同期してディアサート されます。

    CONVST(3) 入力

    変換開始入力。ADC 入力のサンプリ ング インスタン ト を制御し、 イベン ト モード タイ ミ ングのみで使用されます (68 ページの 「イベン ト ド リブン サンプ リ ング」 参照)。 この信号は、FPGA ロジッ クの汎用インターコネク トから入力します。

    CONVSTCLK(3) 入力

    変換開始ク ロ ッ ク入力。 ク ロ ッ ク ネッ ト に接続されます。CONVST と同様に、ADC 入力からのサンプリ ング インスタン ト を制御し、 イベン ト モード タイ ミ ングのみで使用されます。この信号は、FPGA ロジッ クのローカル ク ロ ッ ク分配ネット ワークから入力されるため、 サンプ リ ング インスタン ト (遅延およびジ ッ ター ) を最も効果的に制御するには、CONVST のソース と してグローバル ク ロ ッ ク入力を使用します 。

    VP、 VN 入力

    1 対の専用アナログ入力ペア。 XADC には専用アナログ入力ピン ペアが 1 対あり、差動アナログ入力を提供します。XADC機能を使用したデザインで、 専用外部チャネルの VP およびVN を使用しない場合、 これらをどちら もアナログ グランドに接続する必要があ り ます。

    VA U X P [ 1 5 : 0 ] 、VAUXN[15:0] 入力

    16 対の補助アナログ入力ペア。XADC は専用の差動アナログ入力のほかに、 デジタル I/O をアナログ入力と してコンフ ィギュレーシ ョ ンするこ とで、 16 対の差動アナログ入力を使用でき ます。 これら の入力はコ ン フ ィ ギ ュ レーシ ョ ン前に

    JTAG ポー ト を介して有効にでき ます (43 ページの 「DRPJTAG インターフェイス」 参照) 。

    ALM[0](2) 出力 温度センサーのアラーム出力

    ALM[1](2) 出力 VCCINT センサーのアラーム出力

    ALM[2](2) 出力 VCCAUX センサーのアラーム出力

    ALM[3](2) 出力 VCCBRAM センサーのアラーム出力

    ALM[4](4) 出力 VCCPINT センサーのアラーム出力

    ALM[5](4) 出力 VCCPAUX センサーのアラーム出力

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG480&Title=7%20%26%2312471%3B%26%2312522%3B%26%2312540%3B%26%2312474%3B%20FPGA%20%26%2312362%3B%26%2312424%3B%26%2312403%3B%20Zynq-7000%20All%20Programmable%20SoC%20XADC%20%26%2312487%3B%26%2312517%3B%26%2312450%3B%26%2312523%3B%2012%20%26%2312499%3B%26%2312483%3B%26%2312488%3B%20MSPS%20%26%2312450%3B%26%2312490%3B%26%2312525%3B%26%2312464%3B-%26%2312487%3B%26%2312472%3B%26%2312479%3B%26%2312523%3B%20%26%2312467%3B%26%2312531%3B%26%2312496%3B%26%2312540%3B%26%2312479%3B%26%2312540%3B%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%28UG480%29&releaseVersion=1.5&docPage=15

  • 16 japan.xilinx.com XADC ユーザー ガイドUG480 (v1.5) 2014 年 10 月 21 日

    第 1 章 : 概要およびクイック スタート

    ALM[6](4) 出力 VCCO_DDR センサーのアラーム出力

    ALM[7](2) 出力 バス ALM[6:0] の論理和。 アラームが発生したこ とを示すフラグと して使用できます。

    OT(2) 出力 温度超過のアラーム出力

    MUXADDR[4:0] 出力

    外部マルチプレ クサー モードで使用される出力。 チャネルシーケンスで次に変換するチャネルのアド レスを示します。

    外部マルチプレ クサーのチャネル ア ド レ ス を示し ます(58 ページの 「外部マルチプレクサー モード」 参照)。

    CHANNEL[4:0] 出力チャネル選択出力。現在の ADC 変換の ADC 入力 MUX チャネル選択が、 ADC 変換の最後に出力されます。

    EOC(2) 出力変換終了信号。 測定値がステータス レジスタに書き込まれ、ADC 変換が終了する と、 アクティブ (High) に遷移します (第 5 章 「XADC のタイ ミ ング」 参照)。

    EOS(2) 出力

    シーケンス終了信号。 自動チャネル シーケンスの最後のチャネルからの測定データがステータス レジスタに書き込まれると、 アクティブ (High) に遷移します (第 5 章 「XADC のタイミ ング」 参照)。

    BUSY(2) 出力ADC ビジー信号。 ADC 変換中に High に遷移します。 ADCまたはセンサーのキャ リ ブレーシ ョ ン中も High を保持します。

    JTAGLOCKED(2) 出力

    JTAG インターフェイスで DRP ポー ト のロ ッ ク要求があるこ とを示します (43 ページの「DRP JTAG インターフェイス」参照)。 また、 (Low の場合は) DRP がアクセス可能な状態にあるこ とを示す信号と しても使用されます。

    JTAGMODIFIED(2) 出力 DRP への JTAG 書き込みが実行されたこ とを示す信号です。

    JTAGBUSY(2) 出力DRP に対する JTAG 処理が実行中である こ と を示す信号です。

    注記 :

    1. DRP は XADC と FPGA 間のインターフェイスです。 FPGA ロジッ クは、 このインターフェイスを介してXADC レジスタのすべてにアクセスできます。 DRP 信号の詳細なタイ ミ ングは、 71 ページの図 5-3 を参照して ください。

    2. アクティブ High の信号です 。3. 立ち上がりエッジで ト リガーされる信号です。4. Zynq-7000 All Programmable SoC デバイスでのみ利用できます。

    表 1-2 : XADC ポートの機能 (続き)

    ポート I/O 説明

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG480&Title=7%20%26%2312471%3B%26%2312522%3B%26%2312540%3B%26%2312474%3B%20FPGA%20%26%2312362%3B%26%2312424%3B%26%2312403%3B%20Zynq-7000%20All%20Programmable%20SoC%20XADC%20%26%2312487%3B%26%2312517%3B%26%2312450%3B%26%2312523%3B%2012%20%26%2312499%3B%26%2312483%3B%26%2312488%3B%20MSPS%20%26%2312450%3B%26%2312490%3B%26%2312525%3B%26%2312464%3B-%26%2312487%3B%26%2312472%3B%26%2312479%3B%26%2312523%3B%20%26%2312467%3B%26%2312531%3B%26%2312496%3B%26%2312540%3B%26%2312479%3B%26%2312540%3B%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%28UG480%29&releaseVersion=1.5&docPage=16

  • XADC ユーザー ガイド japan.xilinx.com 17UG480 (v1.5) 2014 年 10 月 21 日

    XADC のインスタンシエーシ ョ ン

    XADC の属性XADC の動作は、 図 1-1 のブロ ッ ク図に示した制御レジスタで定義します。 制御レジスタは 32 の16 ビッ ト レジスタで構成されます。 既に述べたとおり、 これらのレジスタは DRP または JTAGポート を介した読み出し /書き込みが可能です。 これらのレジスタの内容は、FPGA のコンフ ィギュレーシ ョ ン時に初期化するこ と もできます。これによ り、コンフ ィギュレーシ ョ ンの完了後に、ユー

    ザーが指定したモードで XADC を起動させるこ とができます。XADC プリ ミ ティブに関連した 32の属性があ り、 ユーザーはこれらを定義してレジスタを初期化できます。 表 1-3 にこれらの属性の一覧を示します。 属性には INIT_xx とい う名前が付けられ、 xx には DRP のレジスタ アドレスを16 進数で表した値が入り ます。 たとえば、 INIT_40 は DRP アドレス 40h の最初の制御レジスタに対応します。

    また、 XADC プ リ ミ テ ィ ブには、 アナロ グ ステ ィ ミ ュ ラ ス フ ァ イルを指定するSIM_MONITOR_FILE とい う属性もあ り ます。 この属性はシ ミ ュレーシ ョ ンのサポートに必要であ り、 アナログ情報 (温度や電圧など) を含むテキス ト ファ イルのパスとファ イル名を指定します。UNISIM および SIMPRIM モデルでは、 シ ミ ュレーシ ョ ン中にこのテキス ト ファ イルが使用されます。 これが、 XADC のシ ミ ュレーシ ョ ンにアナログ信号を導入する唯一の方法です。 詳細は、78 ページの 「XADC のソフ ト ウェア サポート 」 を参照してください。

    インスタンシエーシ ョ ンの例

    XADC をインスタンシエートする場合、必要な I/O (アナログ入力を含む) をデザインに接続し、状況に応じて制御レジスタを初期化してコンフ ィギュレーシ ョ ン後の XADC 動作を定義します。 別の方法と して、 デバイスのコンフ ィギュレーシ ョ ン後に DRP を介して制御レジスタに書き込むこと も可能です。 図 5-3 に、 DRP 読み出し /書き込みのタイ ミ ング図を示します 。

    注記 : 読み出し /書き込みは、 DRDY 信号がアクティブになるまで有効または完了になり ません。

    表 1-3 : XADC プリ ミテ ィブの属性

    属性 属性名制御レジスタ

    アドレス説明

    INIT_40 コンフ ィギュレーシ ョ ン レジスタ 0

    40hXADC コンフ ィギュレーシ ョ ン レジスタです (38 ページの 「制御レジスタ」 参照)。

    INIT_41 コンフ ィギュレーシ ョ ン レジスタ 1

    41h

    INIT_42 コンフ ィギュレーシ ョ ン レジスタ 2

    42h

    INIT_43 ~INIT_47 テス ト レジスタ 43h ~ 47h

    工場でのみ使用される XADC のテス ト用レジスタ。 デフォル ト の初期値は 0000hです。

    INIT_48 ~INIT_4F シーケンス レジスタ 48h ~ 4Fh

    XADC のチャネル シーケンサー機能をプログラムするために使用し ます (51 ページの 「自動チャネル シーケンサー」 参照)。

    INIT_50 ~INIT_5F

    アラーム リ ミ ッ ト レジスタ

    50h ~ 5FhXADC のアラーム機能用のアラームしきい値レジス タです (61 ページの 「自動アラーム」 参照)。

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG480&Title=7%20%26%2312471%3B%26%2312522%3B%26%2312540%3B%26%2312474%3B%20FPGA%20%26%2312362%3B%26%2312424%3B%26%2312403%3B%20Zynq-7000%20All%20Programmable%20SoC%20XADC%20%26%2312487%3B%26%2312517%3B%26%2312450%3B%26%2312523%3B%2012%20%26%2312499%3B%26%2312483%3B%26%2312488%3B%20MSPS%20%26%2312450%3B%26%2312490%3B%26%2312525%3B%26%2312464%3B-%26%2312487%3B%26%2312472%3B%26%2312479%3B%26%2312523%3B%20%26%2312467%3B%26%2312531%3B%26%2312496%3B%26%2312540%3B%26%2312479%3B%26%2312540%3B%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%28UG480%29&releaseVersion=1.5&docPage=17

  • 18 japan.xilinx.com XADC ユーザー ガイドUG480 (v1.5) 2014 年 10 月 21 日

    第 1 章 : 概要およびクイック スタート

    次に、 Verilog による XADC のインスタンシエーシ ョ ンの簡単な例を示します。 はじめに制御レジスタを初期化し、 次に必要な XADC I/O をデザインに接続しています。 プ リ ミ ティブの未接続 I/Oはソフ ト ウェアが適切に接続します。

    このデザインでは、 50MHz の外部クロ ッ ク DCLK の使用を前提と しています。 XADC は温度および電源電圧をモニターし、 安全限界を超えた場合にアラームを発するよ うにコンフ ィギュレー

    シ ョ ンされています。 この例については、 78 ページの 「XADC のソフ ト ウェア サポート 」 で詳述します。

    XADC #(// Initializing the XADC Control Registers

    .INIT_40(16'h9000),// Calibration coefficient averaging disabled// averaging of 16 selected for external channels

    .INIT_41(16'h2ef0),// Continuous Sequencer Mode, Disable unused ALMs, // Enable calibration

    .INIT_42(16'h0400),// Set DCLK divider to 4, ADC = 500Ksps, DCLK = 50MHz

    .INIT_48(16'h4701),// Sequencer channel - enable Temp sensor, VCCINT, VCCAUX,// VCCBRAM, and calibration

    .INIT_49(16'h000f),// Sequencer channel - enable aux analog channels 0 - 3

    .INIT_4A(16'h4700),// Averaging enabled for Temp sensor, VCCINT, VCCAUX,// VCCBRAM

    .INIT_4B(16'h0000),// No averaging on external channels

    .INIT_4C(16'h0000),// Sequencer Bipolar selection

    .INIT_4D(16'h0000),// Sequencer Bipolar selection

    .INIT_4E(16'h0000),// Sequencer Acq time selection

    .INIT_4F(16'h0000),// Sequencer Acq time selection

    .INIT_50(16'hb5ed),// Temp upper alarm trigger 85?

    .INIT_51(16'h5999),// Vccint upper alarm limit 1.05V

    .INIT_52(16'hA147),// Vccaux upper alarm limit 1.89V

    .INIT_53(16'hdddd),// OT upper alarm limit 125?

    .INIT_54(16'ha93a),// Temp lower alarm reset 60?

    .INIT_55(16'h5111),// Vccint lower alarm limit 0.95V

    .INIT_56(16'h91Eb),// Vccaux lower alarm limit 1.71V

    .INIT_57(16'hae4e),// OT lower alarm reset 70?

    .INIT_58(16'h5999),// VCCBRAM upper alarm limit 1.05V

    .INIT_5C(16'h5111),// VCCBRAM lower alarm limit 0.95V

    .SIM_MONITOR_FILE("sensor_input.txt")// Analog Stimulus file.Analog input values for simulation

    )

    XADC_INST ( // Connect up instance IO.See UG480 for port descriptions.CONVST(GND_BIT), // not used.CONVSTCLK(GND_BIT), // not used.DADDR(DADDR_IN[6:0]),.DCLK(DCLK_IN),.DEN(DEN_IN),.DI(DI_IN[15:0]),.DWE(DWE_IN),.RESET(RESET_IN),.VAUXN(aux_channel_n[15:0]),.VAUXP(aux_channel_p[15:0]),.ALM(alm_int),.BUSY(BUSY_OUT),.CHANNEL(CHANNEL_OUT[4:0]),.DO(DO_OUT[15:0]),.DRDY(DRDY_OUT),.EOC(EOC_OUT),.EOS(EOS_OUT),.JTAGBUSY(),// not used.JTAGLOCKED(),// not used.JTAGMODIFIED(),// not used .OT(OT_OUT),.MUXADDR(),// not used

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG480&Title=7%20%26%2312471%3B%26%2312522%3B%26%2312540%3B%26%2312474%3B%20FPGA%20%26%2312362%3B%26%2312424%3B%26%2312403%3B%20Zynq-7000%20All%20Programmable%20SoC%20XADC%20%26%2312487%3B%26%2312517%3B%26%2312450%3B%26%2312523%3B%2012%20%26%2312499%3B%26%2312483%3B%26%2312488%3B%20MSPS%20%26%2312450%3B%26%2312490%3B%26%2312525%3B%26%2312464%3B-%26%2312487%3B%26%2312472%3B%26%2312479%3B%26%2312523%3B%20%26%2312467%3B%26%2312531%3B%26%2312496%3B%26%2312540%3B%26%2312479%3B%26%2312540%3B%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%28UG480%29&releaseVersion=1.5&docPage=18

  • XADC ユーザー ガイド japan.xilinx.com 19UG480 (v1.5) 2014 年 10 月 21 日

    XADC のインスタンシエーシ ョ ン

    .VP(VP_IN),

    .VN(VN_IN));

    ADC とセンサーADC およびオンチップ センサーの動作は、第 2 章 「アナログ - デジタル コンバーター (ADC)」 で詳細に説明しています。こ こでは、ステータス レジスタから読み出されるデータを解釈して XADCの動作を確認できるよ うに、 基本的な概要を示します。

    アナログ - デジタル コンバーターADC の公称アナログ入力電圧範囲は 0V ~ 1V です。単極モード (デフォルト ) では、 ADC のアナログ入力が 1V のと きにフル スケール コードの FFFh (12 ビッ ト ) を生成します。 したがって、 単極モードの ADC に 200mV のアナログ入力信号を入力する と、 式 1-1 に示すコードが出力されます。

    式 1-1

    双極モードでは 2 の補数コーディングを使用し、 +0.5V の入力に対して 7FFh、 -0.5V の入力に対して 800h のフル スケール コードを生成します。

    温度センサー

    温度センサーでは、 式 1-2 に示す伝達関数が成り立ちます。

    式 1-2

    たとえば、 ADC コードの 2423 (977h) は 25℃ を表します。

    温度センサーの測定結果は、 ステータス レジスタ 00h から読み出すこ とができます。

    電源センサー

    XADC の電源センサーには、 3V の入力電圧に対してフル スケール ADC 出力コード FFFh を生成する伝達関数があ り ます。 これは電源電圧の許容範囲外ですが、 FPGA の電源電圧測定値はこの範囲にマッピングされます。 したがって、 VCCINT = 1V の場合、 出力コードは 1/3 x 4096 = 1365 =555h にな り ます。 XADC は VCCINT、 VCCAUX、 VCCBRAM をモニターし、 これらの測定値はステータス レジスタの 01h、 02h、 06h にそれぞれ格納されます。

    Zynq-7000 All Programmable SoC (AP SoC)

    XADC は、 Zynq-7000 AP SoC デバイ スに追加されている 3 つの電源 (VCCPINT、 VCCPAUX、VCCO_DDR) をモニターします。 これらの測定値はステータス レジスタの 0Dh、 0Eh、 および 0Fhにそれぞれ格納されます。

    0.2 1.0⁄( ) FFFh×( ) 819 or 333h=

    Temp °C( ) ADC Code 503.975×4096

    ------------------------------------------------------ 273.15–=

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG480&Title=7%20%26%2312471%3B%26%2312522%3B%26%2312540%3B%26%2312474%3B%20FPGA%20%26%2312362%3B%26%2312424%3B%26%2312403%3B%20Zynq-7000%20All%20Programmable%20SoC%20XADC%20%26%2312487%3B%26%2312517%3B%26%2312450%3B%26%2312523%3B%2012%20%26%2312499%3B%26%2312483%3B%26%2312488%3B%20MSPS%20%26%2312450%3B%26%2312490%3B%26%2312525%3B%26%2312464%3B-%26%2312487%3B%26%2312472%3B%26%2312479%3B%26%2312523%3B%20%26%2312467%3B%26%2312531%3B%26%2312496%3B%26%2312540%3B%26%2312479%3B%26%2312540%3B%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%28UG480%29&releaseVersion=1.5&docPage=19

  • 20 japan.xilinx.com XADC ユーザー ガイドUG480 (v1.5) 2014 年 10 月 21 日

    第 1 章 : 概要およびクイック スタート

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG480&Title=7%20%26%2312471%3B%26%2312522%3B%26%2312540%3B%26%2312474%3B%20FPGA%20%26%2312362%3B%26%2312424%3B%26%2312403%3B%20Zynq-7000%20All%20Programmable%20SoC%20XADC%20%26%2312487%3B%26%2312517%3B%26%2312450%3B%26%2312523%3B%2012%20%26%2312499%3B%26%2312483%3B%26%2312488%3B%20MSPS%20%26%2312450%3B%26%2312490%3B%26%2312525%3B%26%2312464%3B-%26%2312487%3B%26%2312472%3B%26%2312479%3B%26%2312523%3B%20%26%2312467%3B%26%2312531%3B%26%2312496%3B%26%2312540%3B%26%2312479%3B%26%2312540%3B%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%28UG480%29&releaseVersion=1.5&docPage=20

  • XADC ユーザー ガイド japan.xilinx.com 21UG480 (v1.5) 2014 年 10 月 21 日

    第 2 章

    アナログ - デジタル コンバーター (ADC)XADC ブロ ッ クには 2 つの 12 ビッ ト 、 1MSPS のアナログ - デジタル コンバーター (ADC) が含まれます。 これらの ADC は外部アナログ入力とオンチップ センサー両方で使用可能であ り、 これらの最も一般的な使用例に対応する定義済み動作モードがいくつか用意されています。 各動作モー

    ドは第 4 章 「XADC の動作モード」 で説明します。 この章では ADC およびオンチップ センサーの詳細な動作に焦点を当てて解説します。 また、 外部アナログ入力のさまざまな入力コンフ ィギュ

    レーシ ョ ン方法についても説明します。 ADC、 センサー、 アナログ入力の動作モードはいずれもXADC の制御レジスタによって設定します。 制御レジスタについては、 第 3 章 「XADC のレジスタ インターフェイス」 で詳述します。

    ADC の伝達関数ADC には図 2-2 および図 2-3 に示す伝達関数があ り、 それぞれ単極および双極の動作モードに対応します。 すべてのオンチップ センサーは ADC を単極モードで使用します。 外部アナログ入力チャネルは、 ユーザーが必要に応じて単極または双極モードのどちらでも使用できます (24 ページの 「アナログ入力」 参照) 。

    ADC を仕様どおりに動作させるには、 電源および基準電圧のオプシ ョ ンを適切に設定する必要があ り ます。 必要なパッケージ ボールの接続は図 1-2 に示したとおりです。 ADC の最適な性能を確保する上で、PCB のレイアウ トおよび外部コンポーネン トの選定も重要な要因です。 これらについては、 第 6 章 「アプリ ケーシ ョ ン ガイ ド ライン」 で説明します。 ボード デザインを開始する前に、この章に目を通すこ とを推奨します。

    注記 : ADC は常に 16 ビッ トの変換結果を生成します。12 ビッ トのデータは 16 ビッ ト ステータスレジスタに MSB 詰めで上位 12 ビッ トに格納されます。 残りの下位ビッ トは、 量子化の影響を最小にしたり、 平均化やフ ィルタ リ ングによって分解能を向上させるために使用できます。 図 2-1 を参照して ください。

    X-Ref Target - Figure 2-1

    図 2-1 : ステータス レジスタ

    Status RegistersDADDR[6:0] = (00h-07h, 10h-2Fh)

    DI0DI1DI2DI3DI4DI5DI6DI7DI8DI9DI10DI11DI12DI13DI14DI15

    NoteDATA[11:0]

    UG480_c2_01a_010814

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG480&Title=7%20%26%2312471%3B%26%2312522%3B%26%2312540%3B%26%2312474%3B%20FPGA%20%26%2312362%3B%26%2312424%3B%26%2312403%3B%20Zynq-7000%20All%20Programmable%20SoC%20XADC%20%26%2312487%3B%26%2312517%3B%26%2312450%3B%26%2312523%3B%2012%20%26%2312499%3B%26%2312483%3B%26%2312488%3B%20MSPS%20%26%2312450%3B%26%2312490%3B%26%2312525%3B%26%2312464%3B-%26%2312487%3B%26%2312472%3B%26%2312479%3B%26%2312523%3B%20%26%2312467%3B%26%2312531%3B%26%2312496%3B%26%2312540%3B%26%2312479%3B%26%2312540%3B%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%28UG480%29&releaseVersion=1.5&docPage=21

  • 22 japan.xilinx.com XADC ユーザー ガイドUG480 (v1.5) 2014 年 10 月 21 日

    第 2 章 : アナログ - デジタル コンバーター (ADC)

    単極モード

    図 2-2 に単極モードの ADC の 12 ビッ ト伝達関数を示します。このモードで動作する ADC の公称アナログ入力電圧範囲は 0V ~ 1V です。ADC は入力が 0V のと きはゼロ コード (000h) を生成し、入力に 1V が与えられる と、 すべて 1 のフル スケール コード (FFFh) を生成します 。

    単極モードの ADC 出力は符合なしの 2 進数コードです。設計されたコード遷移は、1LSB、2LSB、3LSB、 … のよ うに連続する整数の LSB 値で発生します。 1LSB をボル ト単位で表すと、 1V/212

    つま り 1V/4096 = 244µV です。 アナログ入力チャネルは本来差動入力であ り、 これを駆動するには正側 (VP) と負側 (VN) の両入力が必要です。アナログ入力および入力可能な信号タイプに関する詳細は、 「アナログ入力」 で説明します 。X-Ref Target - Figure 2-2

    図 2-2 : 単極モードの伝達関数

    Input Voltage (mV)

    12-B

    it O

    utpu

    t Cod

    e (H

    ex)

    UG480_c2_01_061710

    000

    001

    003

    004

    FFF

    Output CodeFull ScaleTransition

    FFE

    FFD

    002

    +0.2

    44

    +0.4

    88

    +0.7

    32

    +999

    .75

    Full Scale Input = 1V1 LSB = 1V / 4096 = 244 µV

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG480&Title=7%20%26%2312471%3B%26%2312522%3B%26%2312540%3B%26%2312474%3B%20FPGA%20%26%2312362%3B%26%2312424%3B%26%2312403%3B%20Zynq-7000%20All%20Programmable%20SoC%20XADC%20%26%2312487%3B%26%2312517%3B%26%2312450%3B%26%2312523%3B%2012%20%26%2312499%3B%26%2312483%3B%26%2312488%3B%20MSPS%20%26%2312450%3B%26%2312490%3B%26%2312525%3B%26%2312464%3B-%26%2312487%3B%26%2312472%3B%26%2312479%3B%26%2312523%3B%20%26%2312467%3B%26%2312531%3B%26%2312496%3B%26%2312540%3B%26%2312479%3B%26%2312540%3B%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%28UG480%29&releaseVersion=1.5&docPage=22

  • XADC ユーザー ガイド japan.xilinx.com 23UG480 (v1.5) 2014 年 10 月 21 日

    ADC の伝達関数

    双極モード

    ADC の外部アナログ入力チャネルを双極モードに設定する と、 アナログ信号を完全な差動/双極タイプと して扱う こ とができます ( 「アナログ入力」 参照)。 差動タイプの信号を扱う場合は、 アナログ入力信号の符号と大きさの両情報が得られる双極モードが有用です。 図 2-3 は、 双極モード動作の理想伝達関数です。このモードの ADC の出力コーディングは 2 の補数で、入力信号の正負を VNに対する VP の極性によって示します。 設計されたコード遷移は、 1LSB、 2LSB、 3LSB、 … のよう に連続する整数の LSB 値で発生し ます。 1LSB をボル ト 単位で表すと、 1V/212 つま り1V/4096 = 244µV です 。X-Ref Target - Figure 2-3

    図 2-3 : 双極モードの伝達関数

    Output Code(Two’s Complement Coding)

    Full Scale Input = 1V1 LSB = 1V / 4096 = 244 µV

    800h

    801h

    000h

    001h

    002h

    7FEh

    7FFh

    FFFh

    FFEh

    FFDh12-

    Bit

    Out

    put C

    ode

    (Hex

    )

    Input Voltage (mV)UG480_c2_02_090910

    -500 0

    -0.4

    88

    +0.4

    88

    +499

    .75

    -0.2

    44

    +0.2

    44

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG480&Title=7%20%26%2312471%3B%26%2312522%3B%26%2312540%3B%26%2312474%3B%20FPGA%20%26%2312362%3B%26%2312424%3B%26%2312403%3B%20Zynq-7000%20All%20Programmable%20SoC%20XADC%20%26%2312487%3B%26%2312517%3B%26%2312450%3B%26%2312523%3B%2012%20%26%2312499%3B%26%2312483%3B%26%2312488%3B%20MSPS%20%26%2312450%3B%26%2312490%3B%26%2312525%3B%26%2312464%3B-%26%2312487%3B%26%2312472%3B%26%2312479%3B%26%2312523%3B%20%26%2312467%3B%26%2312531%3B%26%2312496%3B%26%2312540%3B%26%2312479%3B%26%2312540%3B%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%28UG480%29&releaseVersion=1.5&docPage=23

  • 24 japan.xilinx.com XADC ユーザー ガイドUG480 (v1.5) 2014 年 10 月 21 日

    第 2 章 : アナログ - デジタル コンバーター (ADC)

    アナログ入力

    ADC のアナログ入力には同相ノ イズ信号の影響を低減するために、 差動サンプリ ング方式が採用されています。 この同相除去方式によ り、 ノ イズの多いデジタル環境でも ADC の性能が向上します。図 2-4 に差動サンプリ ング方式の利点を示します。共通グランド インピーダンス (RG) によ り、ノ イズ電圧 (デジタル電流のスイ ッチング) がシステムのほかの部分と結合します。このよ うなノ イズ信号は 100mV 以上になる場合もあ り ます。 ADC にとってこのよ うなノ イズ電圧は数百 LSB に相当し、 大きな測定誤差を引き起こします。 差動サンプリ ング方式では、 2 つのアナログ入力 (VPと VN) から、 信号および同相ノ イズがあれば、 そのノ イズ電圧の両方をサンプ リ ングします。 トラ ッ ク アンド ホールド増幅器は VP と VN の差 (VP - VN) を取り込むため、同相ノ イズ信号は実質的に相殺されます。 VP と VN を差動構成で接続するだけで、 この同相除去特性の利点を活かすことができます。

    X-Ref Target - Figure 2-4

    図 2-4 : 同相ノイズ除去

    NoiseCurrent

    T/HVP

    VN

    Note 1: RG is Common Ground Impedance.

    RG(1)

    Differential

    Common ModeRejection removesnoise

    Sampling

    0V

    1VVP

    VN

    0V

    1VVP – VN

    Common Noiseon VP and VN

    +

    UG480_c2_03_062310

    NoiseVoltage

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG480&Title=7%20%26%2312471%3B%26%2312522%3B%26%2312540%3B%26%2312474%3B%20FPGA%20%26%2312362%3B%26%2312424%3B%26%2312403%3B%20Zynq-7000%20All%20Programmable%20SoC%20XADC%20%26%2312487%3B%26%2312517%3B%26%2312450%3B%26%2312523%3B%2012%20%26%2312499%3B%26%2312483%3B%26%2312488%3B%20MSPS%20%26%2312450%3B%26%2312490%3B%26%2312525%3B%26%2312464%3B-%26%2312487%3B%26%2312472%3B%26%2312479%3B%26%2312523%3B%20%26%2312467%3B%26%2312531%3B%26%2312496%3B%26%2312540%3B%26%2312479%3B%26%2312540%3B%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%28UG480%29&releaseVersion=1.5&docPage=24

  • XADC ユーザー ガイド japan.xilinx.com 25UG480 (v1.5) 2014 年 10 月 21 日

    アナログ入力

    補助アナログ入力

    補助アナログ入力 (VAUXP[15:0] および VAUXN[15:0]) は、 通常のデジタル I/O パッケージ ボールと共用されるアナログ入力です。 デザインに XADC がインスタンシエート されており、 補助アナログ入力がデザインの最上位に接続されている場合、 これらの入力は自動的に有効にな り ます。

    補助アナログ入力には、 ユーザー指定の制約やピン位置が必要あ り ません。 また、 これらの入力に

    対して I/O 規格の設定を UCF に追加したり、 または PlanAhead ツールで追加する必要があ り ません。 アナログ入力がデザインの最上位に接続されている場合、 すべてのコンフ ィギュレーシ ョ ンが

    自動になり ます。デザイン内で接続されている補助入力のみ、 アナログ入力と して有効です。 『7 シリーズ FPGA パッケージおよびピン配置仕様ユーザー ガイ ド』 (UG475) [参照 2] では、 XADC 補助入力ピンは I/O 名の後ろに _ADxP_ や _ADxN_ が付いた形式で定義されています (x は補助入力ペアの番号)。 たとえば、 補助入力 VAUXP[15] はピン配置仕様では IO_LxxP_xx_AD15P_xx のように表記されます。

    これらの入力がアナログ入力に割り当てられる と、 デジタル I/O と しては使用できません。 デジタル I/O と して使用する場合は、 設定した I/O 規格の仕様に従います。 アナログ入力と して使用する場合、 その入力電圧は各データシートの 「A/D コンバーター」 セクシ ョ ンで定義された仕様に従う必要があ り ます。

    1 つの I/O バンクでいくつかの I/O を補助アナログ入力と して使用し、 残り をデジタル I/O と して使用するこ と もできます。 バンクにアナログ I/O とデジタル I/O が混在している場合、 そのバンクに供給する電源はデジタル I/O 規格の仕様を満たしていなければなり ません。 さ らに、 この場合のアナログ入力信号は I/O バンクの電源電圧 (VCCO) を超えないよ うにする必要があ り ます 。

    注記 : Kintex-7 デバイスでは、 補助チャネルの 6、 7、 13、 14、 15 はサポート されていません。 また、 Virtex-7、 Artix-7、 および Zynq-7000 AP SoC デバイスのパッケージ オプシ ョ ンによっては一部の補助アナログ チャネルがサポート されていない場合があ り ます。デバイスのパッケージ ファイルを確認して ください。

    取得時間の調整

    ADC の最大変換レートは 1MSPS、変換時間にする と 1μs と指定されています。連続サンプリ ングモード (第 5 章 「XADC のタイ ミ ング」 参照) の場合、 アナログ信号を取得して変換を実行するには、 ADCCLK の 26 サイクル分必要です。つま り、最大 ADCCLK 周波数は 26MHz であるこ とを意味しますACQ ビッ トが設定されていない場合は、 取得動作の最終段階に ADCCLK の 4 サイ クル分 (150ns) が許容されます。シングル チャネル モードを使用する場合はコンフ ィギュレーシ ョ ンレジスタ 0[40h] の ACQ ビッ ト (38 ページの 「制御レジスタ」 参照) を、 またはシーケンサーを使用する場合はシーケンサー レジスタ内の該当する ACQ ビッ ト を設定する必要があ り ます (54 ページの 「ADC チャネル安定時間 (4Eh および 4Fh)」 参照)。 この 「安定時間」 を確保するこ とで、 アナログ信号を 12 ビッ トの精度で取得できます。 ADCCLK の周波数を低くするか、 ACQ ビッ ト を設定する と安定時間を延長できます。 後者の場合、 最大クロ ッ ク周波数を 26MHz とする と安定時間は 380ns (ADCCLK の 10 サイ クル) まで延長でき、 変換レー ト は同じ ADCCLK 周波数で812kSPS まで低下します。 イベン ト タイ ミ ング モード (第 5 章 「XADC のタイ ミ ング」 参照) では、 CONVST または CONVSTCLK によってユーザーが変換サイクルを決定するため、 必要に応じてさ らに厳密に取得時間を制御できます。

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG480&Title=7%20%26%2312471%3B%26%2312522%3B%26%2312540%3B%26%2312474%3B%20FPGA%20%26%2312362%3B%26%2312424%3B%26%2312403%3B%20Zynq-7000%20All%20Programmable%20SoC%20XADC%20%26%2312487%3B%26%2312517%3B%26%2312450%3B%26%2312523%3B%2012%20%26%2312499%3B%26%2312483%3B%26%2312488%3B%20MSPS%20%26%2312450%3B%26%2312490%3B%26%2312525%3B%26%2312464%3B-%26%2312487%3B%26%2312472%3B%26%2312479%3B%26%2312523%3B%20%26%2312467%3B%26%2312531%3B%26%2312496%3B%26%2312540%3B%26%2312479%3B%26%2312540%3B%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%28UG480%29&releaseVersion=1.5&docPage=25

  • 26 japan.xilinx.com XADC ユーザー ガイドUG480 (v1.5) 2014 年 10 月 21 日

    第 2 章 : アナログ - デジタル コンバーター (ADC)

    アナログ入力の説明

    図 2-5 に、 外部アナログ入力チャネルの等価回路を単極および双極コンフ ィギュレーシ ョ ンの両方で示します。 アナログ入力回路は、変換対象のアナログ入力信号を取得するためのサンプリ ング スイ ッチとサンプ リ ング キャパシタで構成されます。 ADC の信号取得段階では、 サンプ リ ング スイ ッチは閉じ られ、 サンプ リ ング キャパシタはアナログ入力の電圧までチャージされます。 このキャパシタが最終電圧に達するまで (12 ビッ トで ±0.5LSB) に要する時間は、その容量 (CSAMPLE)、アナログ マルチプレクサー回路の抵抗 (RMUX)、および外部 (ソース) インピーダンスで決定されます。

    たとえば双極モードで 12 ビッ ト の取得に必要な時間 (追加の外部抵抗またはソース抵抗はなし と仮定) は、 式 2-1 から概算できます。

    式 2-1

    時定数 9 は、 TC = Ln 2(N + m) から求めています。 こ こで、 N = 12 (12 ビッ ト システムの場合)、m = 1 は追加の分解能ビッ トです 。

    単極モードで 12 ビッ トの取得に必要な時間は、 式 2-2 から概算できます。

    式 2-2

    専用チャネル (VP/VN) の場合、 必要な最小取得時間 (双極モード ) は式 2-3 で求められます。

    式 2-3

    これに対して補助アナログ チャネル (VAUXP[15:0] や VAUXN[15:0] など) では抵抗 RMUX がはるかに大き く、 ほぼ 10kΩ に達します。 式 2-4 に、 双極モードでの最小取得時間を示します。

    式 2-4

    注記 : アンチエイ リ アス フ ィルターや抵抗分圧器などの追加の外部抵抗がある と、式 2-1 におけるRMUX 値が増加するため必要な取得時間が長くな り ます。 取得時間を新たに算出するには、 外部抵抗を等価直列抵抗値に変換し、式 2-3 および式 2-4 の RMUX 抵抗値に追加します。ADC 入力の駆動に関する詳細および設計上の注意点については、 『ザイ リ ンクス アナログ-デジタル コンバーターの駆動』 (XAPP795) [参照 7] を参照して ください。

    X-Ref Target - Figure 2-5

    図 2-5 : アナログ入力等価回路

    To ADC

    Unipolar Mode

    3 pF

    RMUXVP

    VN

    Dedicated Inputs 100ΩAuxiliary Inputs 10 kΩ

    Dedicated Inputs 100ΩAuxiliary Inputs 10 kΩ

    UG480_c2_04_062310

    RMUX

    CSAMPLE

    Bipolar Mode

    VP

    VN

    Dedicated Inputs 100ΩAuxiliary Inputs 10 kΩ

    Dedicated Inputs 100ΩAuxiliary Inputs 10 kΩ

    To ADC3 pF

    CSAMPLE

    3 pF

    RMUX

    RMUX

    CSAMPLE

    tACQ 9 RMUX× CSAMPLE×=

    tACQ 9 RMUX RMUX+( )× CSAMPLE×=

    tACQ 9 100× 3× 1012–× 3 ns= =

    tACQ 9 10 103×× 3× 10 12–× 300 ns= =

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG480&Title=7%20%26%2312471%3B%26%2312522%3B%26%2312540%3B%26%2312474%3B%20FPGA%20%26%2312362%3B%26%2312424%3B%26%2312403%3B%20Zynq-7000%20All%20Programmable%20SoC%20XADC%20%26%2312487%3B%26%2312517%3B%26%2312450%3B%26%2312523%3B%2012%20%26%2312499%3B%26%2312483%3B%26%2312488%3B%20MSPS%20%26%2312450%3B%26%2312490%3B%26%2312525%3B%26%2312464%3B-%26%2312487%3B%26%2312472%3B%26%2312479%3B%26%2312523%3B%20%26%2312467%3B%26%2312531%3B%26%2312496%3B%26%2312540%3B%26%2312479%3B%26%2312540%3B%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%28UG480%29&releaseVersion=1.5&docPage=26

  • XADC ユーザー ガイド japan.xilinx.com 27UG480 (v1.5) 2014 年 10 月 21 日

    アナログ入力

    単極入力信号

    単極のアナログ入力信号を測定する場合、ADC は単極入力モードで動作させる必要があ り ます。このモードは、 コンフ ィギュレーシ ョ ン レジスタ 0 (38 ページの 「制御レジスタ」 参照) への書き込みによって選択します。 単極動作を有効にする と、 差動アナログ入力 (VP と VN) の入力電圧範囲は 0V ~ 1.0V になり ます。このモ�