an 569: sdi フライホイール・ビデオ・ デコーダ・...

33
© 2009 年 4 月 Altera Corporation AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン AN-569-1.0 © 2009 年 4 月 AN 569: SDI フライホイール・ビデオ・ デコーダ・リファレンス・デザイン はじめに 貢坤菜鷺佐察査崎策刷済察宰腔購伍﨑湾貢 Altera ® SDI MegaCore ® 斎困策魂査崎策行儼肯晃 SDI (Serial Digital Interface) 斎碕婚剤婚察作刷砦妻梱刷妻唆察挫貢鷺斎困削策瑳刷妻差婚策行 考広肱㌲蕚 SDI 斎碕婚剤婚察作刷砦妻梱刷妻唆察挫購伍済婚砂豪腎苳豪荒 腔帑墫 貢砦妻梱袋偏瑳宰鷺察財策些惚橡弴 鵠紅濠行砦妻梱刷菜咋詐再左腔藻詹 黒合 SDI 碕婚剤婚察作刷砦妻梱刷妻唆察挫購伍塔グ﨟癩砦妻梱刷座婚財策些惚奮袋砦妻梱刷瑳宰 鷺察冴行弁袗 肱伍剤鷺坐策座作抗国砿采察塞墾混作刷座婚財策些惚砦妻梱刷 菜咋詐再左塔貢摂貢細咋再魂行俗6 弁袗泌歙行伍SDI 斎碕婚剤婚察作刷砦妻梱刷妻 唆察挫購伍渡陪砦妻梱刷瑳宰鷺察冴膏塔グ座婚財策些炒况惚99ª行鰔ゖ ゖ広控 鵠紅砿行伍SDI 斎碕婚剤婚察作刷砦妻梱刷妻唆察挫購伍 更行渡陪砦妻梱刷瑳宰鷺察冴 行悼弁袗 行伍砦妻梱刷座婚財策些惚﨟癩 9杭肱広合 午渡陪砦妻梱刷瑳宰鷺察冴 行怙舂貢ケ厠 黒紅妻察座刷昨察彩貢壕控簿合黒鵠凅遍伍塔グ座婚財策些購*晝伍SDI 斎碕 婚剤婚察作刷砦妻梱刷妻唆察挫膏弁袗 肱悼梅 砦妻梱刷瑳宰鷺察冴購錂荒耕紅裟察 瑳合紅購Y賚行謎酷蠡恒穀黒鵠凅遍伍 黒行国耕肱弁袗泌控遅驗 黒鵠膏伍SDI 斎碕婚剤 婚察作刷砦妻梱刷妻唆察挫購奮袋砦妻梱刷瑳宰鷺察冴行悼弁袗 宣総貢慌腔購伍雍㋞貢㌲蕚伍婚策座斎昏察瑳袋偏伍抗国砿鷺斎困削策瑳刷妻差婚策惚藻詹 膏腔藻詹┃貢眛抅行考広肱㌲蕚 機能の説明 1–2 際察沙貢労 1 行伍宣真貢 3 考貢細咋再魂惚蛮拷 SDI 宰再菜削載作刷細咋再魂 (ALT_SDI_FLY_TOP) 貢細咋再魂労惚と SDI 宰鷺菜作Y賚削査察采刷宰碕策査察采 SDI 宰鷺菜作Y賚削査察采刷菜咋宰唆作 SDI 斎碕婚剤婚察作刷砦妻梱刷妻唆察挫

Upload: others

Post on 13-Feb-2020

2 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

© 2009 年 4 月 Altera Corporation

© 2009 年 4 月

AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン

AN-569-1.0

はじめにこのアプリケーション・ノートでは、現在の Altera® SDI MegaCore® ファンクションに基づく

SDI (Serial Digital Interface) フライホイール・ビデオ・デコーダのリファレンス・デザインに

ついて説明します。 SDI フライホイール・ビデオ・デコーダは、ノイズも中断もなしで実際

のビデオ信号ストリーミングを処理するためにビデオ・プロセッサで使用されます。 SDI フライホイール・ビデオ・デコーダは、内部生成ビデオ・タイミングを受信ビデオ・スト

リームに同期させます。そして、ホリゾンタルおよびバーティカル・タイミングをビデオ・

プロセッサ内の他のブロックに供給します。 同期化後に、SDI フライホイール・ビデオ・デ

コーダは、入力ビデオ・ストリームと内部タイミング情報を継続的に比較します。 違いが発

生するたびに、SDI フライホイール・ビデオ・デコーダは、すぐに入力ビデオ・ストリーム

に再同期せずに、ビデオ・タイミングを生成させ続けています。入力ビデオ・ストリーム

に少数の破壊されたデータ・ワードのみが含まれる場合、内部タイミングは通常、SDI フラ

イホイール・ビデオ・デコーダと同期して再開します。 ビデオ・ストリームは異なったソー

スまたは規格に切り替えられる場合、それによって同期化が停止されると、SDI フライホ

イール・ビデオ・デコーダは受信ビデオ・ストリームに再同期させます。

以降の項では、機能の説明、インタフェース信号、およびリファレンス・デザインを使用

することで使用法の手順について説明します。

機能の説明 1–2 ページの図 1 に、以下の 3 つのブロックを包む SDI トップレベル・ブロック

(ALT_SDI_FLY_TOP) のブロック図を示します。

■ SDI トリプル規格レシーバ・トランシーバ

■ SDI トリプル規格レシーバ・プロトコル

■ SDI フライホイール・ビデオ・デコーダ

AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン

Page 2: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

2 ページ 機能の説明

SDI トリプル規格レシーバ・トランシーバトランシーバが入力 rx_protocol_locked ポートがあるので、このリファレン

ス・デザインでは分割トランシーバが使用されます。 rx_protocol_lockedポート

はトランシーバ・コントロール・ロジックへの入力です。このポートのアクティブ

のとき、プロトコル・ブロックが受信ビデオ・ストリームにロックされるのをトラ

ンシーバ・コントロール・ロジックに示して、実行しているレートでトランシーバ

検索アルゴリズムを停止します。 非アクティブのとき、ポートはトランシーバ検索ア

ルゴリズムを再起動します。

SDI フォーマット検出ブロックからの trs_loose_lock信号はトランシーバのロッ

クを制御します。 シングルの有効な TRS (Time Reference Signal) はレシーバが有効な

SDI サンプルを収集していることを示す trs_loose_lock信号をアサートします。

EAV(End of Active Videos) の連続不在の指定された数が検出されるとき、この信号が

ディアサートされます。

図1. SDI トップレベルのブロック図

図 1 の注 :

(1) これらの信号は SDI MegaCore ファンクションのバージョン 9.0 における出力ポートとして実装されます。

Synchronizer

SDI Format Detect

Triple Standard ReceiverProtocol

rx_stdrx_clk

rxdatarx_frx_vrx_hrx_xyz (1)xyz_valid (1)rx_eav (1)rx_trs (1)

SDI FLYWHEEL VIDEO DECODER SDI MEGACORE FUNCTION

TripleStandardReceiver

Transceiver

SDIFlywheel

Four-clockRegister Pipeline

trs_loose_lock

rx_protocol_locked

total_lines_per_framef_rise_linef_fall_linefirst_v_rise_linefirst_v_fall_linesecond_v_rise_linesecond_v_fall_lineeav_locationsav_locationinterlacedtrs_loose_lockframe_locked

rxdata_dlyrx_trs_dlyrx_xyz_dlyxyz_valid_dly

rxdatarx_trsrx_xyzxyz_valid

fly_rxdata_valid

rxdata_valid

rx_std trs_loose_locktrs_lockedframe_locked

clk_75clk_148

rx_clk

fly_clk

fly_video_valid

Clock Multiplexer

ControlState Machine

HorizontalCounter

Vertical Counter

Field Transition Detection Logic

TRS and XYZ Generator

SD Data ValidGenerator

AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン © 2009 年 4 月 Altera Corporation

Page 3: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

機能の説明 3 ページ

トリプルレート検出は、トランシーバが 3G-SDI または SD-SDI モードのいずれかに

動作していることに依存します。SDI 信号がない場合、コアはレートを検出するには

トランシーバが 3G-SDI または SD-SDI モードに設定されます。HD-SDI モードが検出

されると、コアはレートを検出するのにトランシーバを 3G-SDI または SD-SDI モー

ドにリセットし、次に、トランシーバを正しく受け取ることができるように、

HD-SDI モードに再プログラムします。

SDI トリプル規格レシーバ・プロトコルSDI トリプル規格レシーバ・プロトコル・ブロック (SDI_TR_RX_PROTO) は TRS シン

ボルのビデオ・ストリームを検査します。 また、ブロックは現在のワードが EAV また

はアクティブなビデオの開始 SAV(Start of Active Video) のいずれかを示し、XYZ ワード

をデコードして、ビット信号 (F、V、 H) を出力します。

SDI フライホイール・ビデオ・デコーダSDI フライホイール・ビデオ・デコーダは以下のブロックで構成されます。

■ SDI フォーマット検出

■ SD データ有効なジェネレータ

■ クロック・マルチプレクサ

■ 4- クロック・レジスタのパイプライン

■ シンクロナイザ

■ SDI フライホイール

SDI フォーマット検出SDI トリプル規格レシーバ・プロトコル・ブロックはすでに SDI フォーマット・コー

ドを備えています。 ラインとフレーム・タイミングの詳細を編集および変更すること

ができるように、SDI フォーマット検出ブロック (SDI_FORMAT_DETECT) は既存の SDIフォーマット検出コードから強化されます。

SDI フォーマット検出ブロックは受信 SDI ストリームのラインおよびフレーム・タイ

ミングをモニタします。 このブロックはレシーバがストリームにロックされるか、ま

たはロックが解除されているかを示す様々な信号(例えば、trs_loose_lock、 trs_locked、および frame_locked)を生成します。 また、SDI フォーマット検出

ブロックは f_rise_line、f_fall_line などのフレーム情報をデコードします。

1 既存の SDI フォーマット・コードが使用されないため、コードで生成されたロックさ

れた信号に関する rx_status[4:3] ビットを無視します。

SD データ有効なジェネレータSDI MegaCore ファンクションのトリプル規格の種類は SD-SDI データを受信するのに

11 回のオーバサンプリングが必要です。このオーバサンプリング手法に対して、

データ有効なパターンは 1 High サイクル、4 Low サイクル、1 High サイクル、および

5 Low サイクルです。 レシーバが受信 SD-SDI データにロックが解除されているとき、

SD データ有効なジェネレータ・ブロック (SD_DATA_VALID_GEN) はデータ有効な信号

© 2009 年 4 月 Altera Corporation AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン

Page 4: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

4 ページ 機能の説明

を生成します。このデータ信号で、SDI フライホイール・ブロックは、以前の SDレートでの SD-SDI ブラックレベル・ビデオ・データを生成し続けることができま

す。データ有効な信号は 148.5 MHz でローカルの PLL(Phase-Locked Loop)クロック

に同期します。 データ有効なスイッチオーバーのタイミング図について、 1–4 ページ

の図 2 を参照してください。

クロック・マルチプレクサクロック・マルチプレクサ・ブロック (CLOCK_MUX) はクロック・スイッチオーバー

を実行します。 trs_loose_lock、trs_locked、および frame_locked 信号が

High のとき、レシーバが受信データにロックされることを示し、SDI フライホイー

ル・ブロックのクロック・ソースとしてトランシーバのリカバリ・クロック

(rx_clk) を選択します。 任意のロックされた信号が Low のとき、レシーバが受信

データにロックが解除されていることを示し、現在受信したビデオ・レートに基づ

くローカルの PLL クロックを選択します。

図 2 のタイミング図に、レシーバが受信 SD-SDI 入力ストリームにロックが解除され

ているときのクロックおよびデータ有効なスイッチオーバーを示します。

図2. クロックおよびデータ有効なスイッチオーバー

図 2 の注 :

(1) SD データ有効なジェネレータ・ブロックは 148.5 MHz でローカルの PLL クロック (clk_148) に同期する fly_rxdata_valid信号を生成します。

(2) レシーバが受信 SD-SDI にロックされるとき、SDI フライホイール・ブロックはクロック・ソースとして rx_clk を使用し、かつビデオ出力有効な信号として rxdata_validを使用します。

(3) レシーバが受信 SD-SDI にロックが解除されるとき、クロックおよびデータ有効なスイッチオーバーが発生します。

(4) SDI フライホイール・ブロックはクロック・ソースとして clk_148 を使用して、ビデオ出力有効な信号として内部で生成された fly_rxdata_validを使用します。

rx_clk

(1) (2) (3) (4)

rxdata 003FF 00000 0031C

003FF 00000 0031C

80200 10400 80200 10400

80200 10400

10 00

80200 10400

80200

rxdata_valid

clk_148

fly_rxdata_valid

trs_loose_lock

trs_locked

frame_locked

use_rxclk[1:0]

fly_clk

fly_video_out

fly_video_valid

AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン © 2009 年 4 月 Altera Corporation

Page 5: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

機能の説明 5 ページ

trs_locked信号はレシーバが受信データにロックされ、そして rx_clkが安定する

ことを示しますが、SDI フライホイール・ブロックは、rx_clkを選択する前に

frame_locked信号がアサートされることを待ちます。frame_locked 信号がア

サートされるとき、SDI フライホイール・ブロックは、入力ビデオ・ストリームに同

期させるように SDI フライホイール・コントロール・ステート・マシンを開始しま

す。 ラインとフレーム・タイミングの詳細は、同期化のための SDI フライホイール・

ブロックに重要で、役に立ちます。そして、詳細は frame_locked 信号がアサート

されるときにのみ有効です。

4- クロック・レジスタのパイプライン4- クロック・レジスタのパイプライン (4PIPE_REG) は 4 クロック・サイクルで入力

ビデオ・ストリームおよび XYZ デコードされた信号 (rxdata、rx_trs、rx_xyz、および xyz_valid) を遅延します。 入力ビデオ・ストリームおよび XYZ デコードさ

れた信号を遅延することによって、クロック・レジスタ・パイプラインは TRS (EAV)の 初のワードで SDI トリプル規格レシーバ・プロトコル・ブロックからの rx_eav信号をアラインメントします。

初の 3 つのワード(3FF、 000、000)がビデオ・ストリームに固有のパターンを

形成します。遅延された入力ビデオ・ストリームの 初のワード(3FF)にアサート

されたため、rx_eav信号は、現在 rx_eav_first 信号であると考えられます。

図 3 に、SDI フライホイール・ブロックに移動する前に、入力ビデオ・ストリームお

よび XYZ デコードされた信号が 4 クロック・サイクルにより遅延される方法を示し

ます。

シンクロナイザシンクロナイザ・ブロック (SYNC_TO_FLY_CLK) は準安定保護のためのデータを

fly_clk ドメインに同期させます。frame_locked 信号の遅延信号は同期化の間

に、SDI フライホイール・ブロックが安定なラインおよびフレーム・タイミングの詳

細を得ることを確認するのにイネーブル・ラインとして使用されます。

図3. 入力ビデオ・ストリームおよび XYZ デコードされた信号の遅延

図 3 の注 :

(1) 現在、rx_eav_first 信号は TRS (EAV) の 初のワードに付属します。 この信号は SDI トリプル規格レシーバ・プロトコル・ブロックから供給される rx_eav 信号と同じです。

rx_clk

rxdata FFFFF 00000 DA368 80248 80200 10200 00000 C731C 10200FFFFF

FFFFF 00000 DA368 80248 80200 1020010200 00000 C731CFFFFF

rx_trs

rx_xyz

xyz_valid

rx_eav_first

rxdata_dly

rx_trs_dly

xyz_valid

(1)

© 2009 年 4 月 Altera Corporation AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン

Page 6: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

6 ページ 機能の説明

SDI フライホイールSDI フライホイール・ブロックは、ノイズまたは中断される入力ビデオ・データがあ

る状態で、ビデオ・タイミングを提供します。SDI フォーマット検出ブロックはレ

シーバが入力ビデオ・ストリームにロックされることを示すために、

frame_locked信号をアサートするとき、SDI フライホイール・ブロックは入力ビデ

オ・ストリームに内部データを同期させます。同期化後に、生成されたビデオ・タ

イミングは入力ビデオ・ストリーム・タイミングに対応します。SDI フライホイー

ル・ブロックは再び同期化する前に、発生する EAV の連続不在でかなりの数を必要

とすることによってノイズ耐性を提供します。

SDI フライホイール・ブロックは TRS シンボルを生成して、ビデオ・ストリームに

挿入します。入力ビデオ・ストリームにおける損傷された TRS シンボルを修理する

ために、ブロックは入力ビデオ・ストリームのデータを上書きます(ここで、TRSシンボルが発生します)。完全な不在 TRS シンボルが指定したスレッショルドを超え

る場合、SDI フライホイール・ブロックは、ブラック・レベル・ビデオ・データを生

成して、以前のタイミングで入力ビデオ・ストリームの TRS シンボルに代わって、

それらを挿入します。

SDI フライホイール・ブロックには、以下のサブ・ブロックが含まれています。

■ ホリゾンタル・カウンタ (SDI_FLY_HCNT) — 各有効なワードに対してインクリメ

ントされます。また、それは TRS シンボル信号 (fly_trs)、XYZ シンボル信号

(fly_xyz)、およびホリゾンタル・ブランキング信号 (fly_h_blank) を生成し

ます。

■ バーティカル・カウンタ (SDI_FLY_VCNT) — EAV が検出されるときにインクリメン

トします。また、それはバーティカル・ブランキング信号 (fly_v_blank) を生

成します。

■ フィールド遷移検出ロジック (SDI_FLY_FCNT) — インターレース・フレームに対

するフィールド遷移検出ロジックが含まれています。 このロジックは入力ビデ

オ・ストリームにおけるすべての EAV からの F ビットをキャプチャして、ビット

を以前の EAV からの F ビットと比較します。 F ビットが変更したとき、このブ

ロックは新しいフィールドの開始を検出して、fly_field_change信号をア

サートします。また、F ビット (fly_f) も生成します。

■ TRS および XYZ ジェネレータ (SDI_FLY_TRS_XYZ_GEN) — フライホイール・ビデオ・

デコーダの内部タイミングに基づく TRS シンボル (3FF、000、000、XYZ) およ

び XYZ ワードを生成します。そして、それらをビデオ・ストリームに挿入しま

す。 それはレシーバが入力ビデオ・ストリームにロックが解除されるとき、ブ

ラックレベル・ビデオ・データを生成します。

■ コントロール・ステート・マシン (SDI_FLY_CTRL_FSM)— フライホイール・ビデ

オ・デコーダの動作を制御します。 このステート・マシンはメイン・ループおよ

び同期ループに分けられます。

AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン © 2009 年 4 月 Altera Corporation

Page 7: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

機能の説明 7 ページ

図 4 に、メイン・ループの機能を示します。

以下のステップでは、 図 4 でのプロセスについて説明します。

1. FSM (Finite State Machine) は UNLOCKステートで開始します。レシーバが入力ビデ

オ・ストリームにロックされることを示すために、SDI フォーマット検出ブロッ

クが frame_locked信号をアサートするまで、このステートに留まります。 そして、FSM は入力ビデオ・ストリームに同期を試みます。FSM は入力ビデオ・ス

トリームに同期するのに 2 つのフィールド以内(または 1 つのフレーム以内)に

完了します。

図4. メイン・ループ

HSYNC2_CLR_HCNT

FSYNC2_SEARCH_F

HSYNC1_SEARCH_SAV

FSYNC1_SEARCH_EAV

rx_sav & ~fly_sav

~fly_field_change

~fly_2nd_v_change ~frame_locked

UNLOCK

frame_locked

fly_field_change

~frame_locked

~fly_eav | xyz_err

~rx_sav

rx_savfly_sav

fly_eav ~rx_eav

PROGRESSIVE_SEARCH_V

HORT_VERT_SYNC

PROGRESSIVE_SYNC

PROGRESSIVE_SEARCH_2ND_V

LOCK

RP168_SWITCH1

~fly_v_change

fly_eav rx_eavinterlaced

fly_eav rx_eav~interlaced

fly_v_change

~frame_locked | resync

fly_2nd_v_change

frame_locked~resyncswitch_interval

© 2009 年 4 月 Altera Corporation AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン

Page 8: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

8 ページ 機能の説明

2. HSYNC1_SEARCH_SAV ステートでは、FSM は 初に、入力ビデオ・ストリーム

で SAV シンボルを探すことによって、水平に同期します。SAV が受信するたび

に、FSM はホリゾンタル・カウンタ・ブロックにおけるフライホイール・ビデ

オ・デコーダのホリゾンタル・カウンタをクリアします。 クリアリンは、入力ビ

デオ・ストリームの SAV の同じタイミングにフライホイール・ビデオ・デコーダ

が SAV を生成するまで繰り返されます。 フライホイール・ビデオ・デコーダの

SAV と入力の SAV の位置が合っているとき、FSM は入力ビデオ・ストリームに水

平に同期します。

3. FSM は FSYNC1_SEARCH_EAVステートに遷移して、垂直に同期しようとしまし

た。 FSM は、以下の方法の 1 つで垂直的に同期するように新しいフィールドの開

始を探します。

■ インターレース・フレームに対して、FSM はフィールド遷移検出ロジック・ブ

ロックからの fly_field_change 信号を待ちます。 新しいフィールドが検

出されるとき、ステート・マシンはラインおよびフレーム・タイミングに基

づく決定した値でバーティカル・カウンタをロードします。

■ プログレッシブ・フレームに対して、FSM は垂直的に同期させるように立ち下

り V ビットを待っています。 バーティカル・カウンタ・ブロックによって立ち

下り V ビットが検出されるとき、ステート・マシンはラインおよびフレー

ム・タイミングに基づく決定した値でバーティカル・カウンタをロードしま

す。

4. バーティカル・カウンタがロードされると、フライホイール・ビデオ・デコーダ

は入力ビデオ・ストリームに同期されます。 FSM は LOCKステートに移動して、

fly_locked 信号をアサートします。 SDI フォーマット検出ブロックが入力ビデ

オ・ストリームの不一致の F と V ビット、または指定したスレッショルドを超え

る EAV の連続不在が検出されるまで、FSM はロッック状態を保持します。 不一致

のビットまたは不在 EAV が検出されるとき、レシーバは入力ビデオ・ストリーム

にロックが解除されて、FSM は UNLOCK ステートに移動します。

5. FSM は UNLOCKステートに移動すると、フライホイールが再同期するまで、フラ

イホイール・ビデオ・デコーダは内部タイミングに基づく有効な TRS シンボルを

発生させ続けています。 SDI フォーマット検出ブロックは次の新しい入力ビデオ・

ストリームにおけるフレーム・フォーマット変更を検出するまで、FSM は

fly_locked 信号をネゲートしません。 すべてのダウンストリームのビデオ機器

を同期させ続けるので、fly_locked 信号をネゲートしないことは、役に立ちま

す。

AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン © 2009 年 4 月 Altera Corporation

Page 9: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

機能の説明 9 ページ

図 5 に、同期スイッチング・ループの機能を示します。

以下のステップでは、図 5 でプロセスについて説明します。

1. 同期ビデオ・ソースが切り替えられるとき、ホリゾンタル・タイミングとスト

リームのアラインメントを妨害しますが、バーティカル・タイミングが同期され

たままになります。

1 SMPTE RP168 により、同期スイッチングが 1 フィールドあたり 1 つのライン

で発生する可能であることを定義します。 SDI フライホイール・ビデオ・

デコーダはこれらの同期スイッチング・ラインの上に発生するホリゾンタ

ル・オフセットに対応します。そして、オフセットが検出されル場合、そ

れをすぐに受信ビデオ・ストリームに再同期します。

2. 同期スイッチング中に、en_sync_switch パルスをアサートすると、FSM が

RP168_SWITCH1 ステートに移動します。 このステートでは、FSM はその内部の

EAV と入力ビデオ・ストリームにある EAV が同時に発生するかどうかを決定しま

す。 そうしないと、FSM は、入力ビデオ・ストリームで EAV シンボルの位置を合

わせるためにホリゾンタル・カウンタを再ロードします。

同期スイッチング・ループの例について、図 17 および図 19 を参照してください。

図5. 同期スイッチング・ループ

UNLOCK

LOCK

RP168_SWITCH1

frame_locked ~resyncswitch_interval

RP168_SWITCH2 RP168_SWITCH5

RP168_SWITCH3

RP168_SWITCH4

RP168_SWITCH6

~frame_locked

frame_locked rx_eav_first

frame_lockedfly_eav_next

fly_sav_next

~rx_eav_firstrx_eav_first

rx_eav_first

© 2009 年 4 月 Altera Corporation AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン

Page 10: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

10 ページ インタフェース信号

インタフェース信号表 1 に、SDI トップレベル・ブロックの入力ポートと出力ポートを示します。

表1. インタフェース信号 ( その 1 )

ポート 幅 入力 / 出力 説明

rst 1 入力 レシーバおよびフライホイール・ビデオ・デコーダをリセット状態に維持するレセット信号。 rx_serial_refclkクロック・ドメインに同期しなければなりません。

rx_serial_refclk 1 入力 SDI トリプル規格のトランシーバ・トレーニング・クロック。

clk_148 1 入力 ローカル PLL クロック (148.5 MHz)。clk_75 1 入力 ローカル PLL クロック (74.25 MHz)。gxb2_cal_clk 1 入力 Stratix II GX トランシーバのキャリブレーション・ク

ロック。

sdi_rx 1 入力 シリアル入力。

enable_sd_search 1 入力 トリプル規格モードにおける SD 信号の検索を可能にします。

enable_hd_search 1 入力 トリプル規格モードにおける HD 信号の検索を可能にします。

enable_3g_search 1 入力 トリプル規格モードにおける 3G 信号の検索を可能にします。

en_sync_switch 1 入力 ダウンストリームが完全に非破壊的であるように、アライナ、フォーマット検出、およびフライホイール・ブロックをすぐにリアラインメントすることを可能にします。

sdi_reconfig_clk 1 入力 エンベデット・トランシーバ・インスタンスに対するクロック入力。

sdi_reconfig_togxb 4 入力 エンベデット・トランシーバ・インスタンスに対するデータ入力。 Stratix II GX DPRIO ビット、sdi_reconfig_togxb[3]はこのリファレンス・デザインで使用されません。

sdi_reconfig_fromgxb 17 出力 エンベデット・トランシーバ・インスタンスからのデータ出力。Stratix II GX DPRIO ビット、sdi_reconfig_fromgxb[16:1]はこのリファレンス・デザインで使用されません。

sdi_start_reconfig 1 出力 リコンフィギュレーションを開始するのに SDI MegaCore ファンクションからの要求。

sdi_reconfig_done 1 入力 リコンフィギュレーションの完了を示します。

rx_clk 1 出力 トランシーバ・クロック・データ・リカバリ(CDR)クロック。

rx_std (1) 2 出力 受信ビデオ規格。

00 = SD、01 = HD、 10 = 3G

AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン © 2009 年 4 月 Altera Corporation

Page 11: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

インタフェース信号 11 ページ

rx_status (1) 11 出力 レシーバ・ステータス:

rx_status[0]— トランシーバ PLL ロック

rx_status[1]— リセットのレシーバ

rx_status[2]— アラインメント・ロック(TRS が検

出済み、ワード・アラインメントが実行済み)

rx_status[10:3]— このリファレンス・デザインで未使用

rx_ln (1) 22 出力 レシーバ・ライン数の出力。

ビット 11 ~ 21 がこのリファレンス・デザインで使用されません。

trs_loose_lock (1) 1 出力 トランシーバ・コントロール・ステート・マシンは、コアがリセットされるべきであるか、またはコアが別のビデオ規格を検索されるべきであるかどうかを決定するのにこの信号を使用します。シングルと有効なTRS がこの信号をアサートします。EAV の連続不在の数(ユーザー・プログラマブル)がこの信号をディアサートします。

アサートされると、トランシーバ・コントロール・ステート・マシンはロックして、トランシーバ検索アルゴリズムを現在のレートで停止します。ディアサートされると、トランシーバ・コントロール・ステート・マシンはトランシーバ(3G モードに再プログラムされた)をリセットして、検索アルゴリズムを再開します。

trs_locked (1) 1 出力 同じ EAV と SAV タイミングの 6 つの連続ラインはこの信号をアサートします。

frame_locked (1) 1 出力 複数のフレームのなかで、F または V ビット上で、一定になった立ち上がりエッジまたは立ち下がりエッジはこの信号をアサートします。

rx_ap (1) 2 出力 レシーバ・アクティブ・ピクチャーの同期化出力。 ビット 1 がこのリファレンス・デザインで使用されません。

fly_clk 1 出力 フライホイール出力クロック。 trs_loose_lock、trs_locked、および frame_lockedが High のとき、この出力クロックは rx_clkに相当します。 それ以外の場合、それはレシーバ・ビデオ規格に基づくclk_148または clk_75に相当します。

fly_trs (2) 1 出力 フライホイール TRS フラグ。 TRS シンボルの間にアサートされます (3FF、000、 000、XYZ)。

fly_eav_next (2) 1 出力 フライホイール EAV インジケータ・フラグ。 次のワードが EAV の 初のワードである際に、アサートされます。

fly_sav_next (2) 1 出力 フライホイール SAV インジケータ・フラグ。 次のワードが SAV の 初のワードである際に、アサートされます。

fly_xyz_word (2) 1 出力 フライホイール XYZ ワード・フラグ。 現在のワードがTRS の XYZ ワードであるときアサートされます。

表1. インタフェース信号 ( その 2 )

ポート 幅 入力 / 出力 説明

© 2009 年 4 月 Altera Corporation AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン

Page 12: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

12 ページ 使用法

使用法この項では、Stratix II GX Audio Video 開発ボードを使用してフライホイール・ビデオ・

デコーダ・リファレンス・デザインを実証するための要件および関連する手順につ

いて説明します。 この項では、以下の章で構成されています。

■ ハードウェアおよびソフトウェア要件

■ ディレクトリ構造

■ ハードウェア設定

■ リファレンス・デザインのデモンストレーション

ハードウェアおよびソフトウェア要件デモンストレーションでは、以下のハードウェアとソフトウェアが要求されます。

■ Stratix® II GX オーディオ・ビデオ開発ボード

■ SDI MegaCore ファンクション v9.0 以降

■ Quartus II ソフトウェア v9.0 以降

fly_video_out (2) 20 出力 フライホイール出力ビデオ・ストリーム。

fly_video_valid (2) 1 出力 フライホイール出力ビデオ・ストリーム有効な信号。

fly_f (2) 1 出力 フライホイール・フレームの同期化出力。

fly_v_blank (2) 1 出力 フライホイール・バーティカルの同期化出力。

fly_h_blank (2) 1 出力 フライホイール・ホリゾンタルの同期化出力。

fly_vcount (2) 14 出力 フライホイール現在のバーティカル・カウント。

fly_hcount (2) 11 出力 フライホイール現在のホリゾンタル・カウント。

fly_locked (2) 1 出力 フライホイール同期化およびロックされた信号。フライホイール・ビデオ・デコーダが入力ビデオ・ストリームに同期されるときにアサートされます。 レシーバは新しい入力ビデオ・ストリームでフォーマットの変更を検出するときにディアサートされます。

fly_std (2) 2 出力 フライホイール・ビデオ規格。

00 = SD、01 = HD、10 = 3Gformat_change (2) 1 出力 フライホイール・フォーマット変更インジケータ信

号。 新しい入力ビデオ・ストリームのフレーム・フォーマットがフライホイール・ビデオ・デコーダの内部で生成されたフレーム・フォーマットに一致していないときにアサートされます。

表 1の注 :

(1) rx_clk ドメインに同期します。

(2) fly_clk ドメインに同期します。

表1. インタフェース信号 ( その 3 )

ポート 幅 入力 / 出力 説明

AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン © 2009 年 4 月 Altera Corporation

Page 13: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

使用法 13 ページ

ディレクトリ構造図 6 に、リファレンス・デザインのディレクトリ構造を示します。

図6. ディレクトリ構造

flywheelフライホイール・ソース・ファイルを含む。mc_buildデモンストレーションのSDI MegaCoreファンクション・ファイルを含む。

pattern_genSDIパターン・ジェネレータ・ファイルを含む。

sdi_dprio_sivDPRIOStratix IVのDPRIOファイルを含む。

sdi_dprioデモンストレーションのDPRIOファイルを含む。

docリファレンス・デザインの資料を含む。

example

quartusリファレンス・デザインのQuartus IIプロジェクトを含む。

sourceソース・ファイルを含む。

maxiiMAX II .pofファイルを含む。

one_wire_interfaceMAX IIデバイスがStratix II GXデバイスと通信するのを許容するファイルを含む。

topトップレベル・デモンストレーション・デザイン・ファイルを含む。

© 2009 年 4 月 Altera Corporation AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン

Page 14: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

14 ページ 使用法

ハードウェア設定図 7 に、デモンストレーションのハードウェア・システムの設定を示します。

SDI トップレベル・ブロックSDI トリプル標準トランスミッタ、SDI トリプル標準トランスミッタ・プロトコルお

よび SDI フライホイール・ビデオ・デコーダ周辺に包み込む SDI トップレベル・ブ

ロック (ALT_SDI_FLY_TOP) です。

パターン・ジェネレータパターン・ジェネレータは以下のいずれかのテスト・パターンを生成します。

■ 2.97-Gbps 3G-SDI

図7. ハードウェア・システムの設定

SDI Top-Level

tx_format

Receiver Format Validator

TripleStandard

TransmitterTransceiver

Buffer

TestControl

Receiver Format Checker

ReconfigurationControl Logic

J 4 9SDI_IN0

SDI_OUT_P2J 5 2

TripleStandard

TransmitterProtocol

PatternGenerator

20’h00000

STRATIX II GX

seven_seg2 seven_seg1

fly_ffly_vfly_hfly_xyzfly_std

validate_frame_lockedvalidate_rx_format

frame_lockedrx_std

frame_lockedlines_per_frameactive_linesinterlacedsav_location

LED7

LED6

LED5

LED4

LED3

LED2

LED1

LED0

test_fail

fly_locked

frame_locked

rx_clk

test_pass

validate_trs_locked

validate_frame_locked

fly_clk

Local PLL

AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン © 2009 年 4 月 Altera Corporation

Page 15: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

使用法 15 ページ

■ 1.485-Gbps HD-SDI

■ 270-Mbps SD-SDI

表 2 に示すとおりに、テスト・パターン・ジェネレータはさまざまなフォーマット

を生成するようにカスタマイズされます。

SDI トリプル規格トランスミッタ・トランシーバSDI トリプル規格トランスミッタはパターン・ジェネレータからの入力を取り込ん

で、同じテスト・パターンを生成します(2.97-Gbps 3G-SDI、1.485-Gbps HD-SDI、ま

たは 270-Mbps SD-SDI)。 RP168 機能をテストしているときのデッドタイム期間中に、

分割トランシーバおよびプロトコル・コンフィギュレーションを使用して、すべて

ゼロを送信します。

テスト・コントロールテスト・コントロール・ブロックは FSM に基づいています。 この FSM が 2 つのメイ

ン・ループがあります。

■ 非同期スイッチング・ループ

■ 同期スイッチング・ループ

表2. テスト・パターン・ジェネレータで生成されるフォーマット

SMPTE フォーマット

ワード / アクティブなライン

アクティブなライン /フレーム

ワード /総ライン

総ライン /フレーム

フレーム・レート

260 M 1035i 1,920 1,035 2,200 1,125 30

274 M 1080i 1,920 1,080 2,200 1,125 30

2,640 1,125 25

1080p 1,920 1,080 2,200 1,125 60

2,640 1,125 50

2,200 1,125 30

2,640 1,125 25

2,750 1,125 24

295 M 1080i 1,920 1,080 2,376 1,250 25

296 M 720p 1,280 720 1,650 750 60

1,980 750 50

3,300 750 30

3,960 750 25

4,125 750 24

125 M NSTC 1,440 487 1,716 525 30

PAL 1,440 576 1,728 625 25

© 2009 年 4 月 Altera Corporation AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン

Page 16: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

16 ページ 使用法

図 8 に、FSM における非同期スイッチング・ループを示します。

SDI トップレベル・ブロック (ALT_SDI_FLY_TOP) は各ビデオ規格に認識およびロック

するかどうかを判断するために、送信する SD-SDI、HD-SDI、および 3G-SDI を通して

非同期スイッチング・ループをサイクルします。 システム・トップレベル・ファイル

(tr_sdi.v) では、各ビデオ規格の送信フォーマットに対して以下のデフォルト設定が

使用されます。

// user specified Transmit format

parameter [3:0] TX_FORMAT_SD = FORMAT_PAL;

parameter [3:0] TX_FORMAT_HD = FORMAT_GH;

parameter [3:0] TX_FORMAT_3G = FORMAT_I_3G;

図8. 非同期スイッチング・ループの図

LOCKED SD

START SD AGAIN

START SD

REMOVE SD

fly_lockedvalidate_frame_locked

~frame_locked

validate_frame_lockedframe_locked

IDLE

TEST FAIL

timeout

REMOVE HD

START HD

LOCKED HD

format_changefly_lockedvalidate_frame_locked

~frame_locked

validate_frame_lockedframe_locked

START HD AGAIN

REMOVE 3G

START 3G

LOCKED 3G

fly_lockedvalidate_frame_locked

~frame_locked

validate_frame_lockedframe_locked

START 3G AGAIN

timeoutSTART RP168 HD

~fly_locked

~fly_locked

timeout

~fly_locked

~fly_locked

timeout

~fly_locked

~fly_locked

timeoutvalidate_frame_locked

timeoutvalidate_frame_locked

timeoutvalidate_frame_locked

AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン © 2009 年 4 月 Altera Corporation

Page 17: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

使用法 17 ページ

各ビデオ規格に対する以下の TX_FORMAT_XXパラメータを変更することによってサ

ポートされたどんなフォーマットも送信するのを選択することができます。

// This group of parameters defines the transmit format that supported

parameter [3:0] FORMAT_NTSC = 4'b0000; // 25M SD

parameter [3:0] FORMAT_PAL = 4'b0001; // 125M SD

parameter [3:0] FORMAT_AB = 4'b0010; // 260M HD 1035i30

parameter [3:0] FORMAT_C = 4'b0011; // 295M HD 1080i25

parameter [3:0] FORMAT_DE = 4'b0100; // 274M HD 1080i30

parameter [3:0] FORMAT_F = 4'b0101; // 274M HD 1080i25

parameter [3:0] FORMAT_GH = 4'b0110; // 274M HD 1080p30

parameter [3:0] FORMAT_I = 4'b0111; // 274M HD 1080p25

parameter [3:0] FORMAT_JK = 4'b1000; // 274M HD 1080p24

parameter [3:0] FORMAT_LM = 4'b1001; // 296M HD 720p60

parameter [3:0] FORMAT_N = 4'b1010; // 296M HD 720p50

parameter [3:0] FORMAT_O = 4'b1011; // 296M HD 720p30

parameter [3:0] FORMAT_G_3G = 4'b1100; // 3G 1080p60

parameter [3:0] FORMAT_I_3G = 4'b1101; // 3G 1080p50

また、入力ビデオは中断されるか、または取り外されるときに、フライホイール・

ビデオ・デコーダは TRS シンボルを発生する方法をこのテストで示しています。 トランシーバ・コントロール・ステート・マシンは、SDI が正しく受け取られるかどう

か決定するのにストリームにおける TRS 信号の存在または不在を使用します。シン

グルの TRS はレシーバが有効な SDI サンプルを収集していることをトランシーバ・

コントロール・ステート・マシンに示します。 ステート・マシンが指定したスレッ

ショルドを超える任意の TRS シーケンスを検出しない場合にのみ、この信号がデア

サートされます。トランシーバをリセットせずに、このフライホイール・ビデオ・

デコーダは不在 EAV を許容します。システム・トップレベル・ファイル (tr_sdi.v) における TOTAL_CONSECUTIVE_MISSING_EAVパラメータを変更することによって、

スレッショルドを設定できます。

// This parameter allows the missing EAVs to be tolerated

parameter [7:0] TOTAL_CONSECUTIVE_MISSING_EAV = 8'd4;

テスト・コントロール・ブロックの FSM は IDLEステートで開始されます。タイム

アウトの後に、FSM は SD-SDI 出力を送信するためにパターン・ジェネレータおよび

SDI トランスミッタをコンフィギュレーションすることによって、テストを始めま

す。SDI トップレベル・ブロックは受信ストリームに認識およびロックした後、そし

てダウンストリーム・ロジック・レシーバ・フォーマット・バリデータ

(RX_FORMAT_VALIDATE) およびレシーバ・フォーマット・チェッカ

(RX_FORMAT_CHECKER) のブロックは SDI トップレベル・ブロックによって生成され

たフレームのフォーマットを検出して確認します;FSM は LOCKED_SDステートに

移動します。

1–18 ページの図 9 に、フライホイール・ビデオ・デコーダがロックされ、受信入力

ストリームに同期されるときのタイミング図を示します。use_fly 信号はフライホ

イール・ビデオ・デコーダが入力ストリームにある TRS シンボルを内部的に生成さ

れる TRS シンボルに置き換えることを示します;プロセスにおけるノイズで壊され

るどんな TRS シンボルも修理します。

© 2009 年 4 月 Altera Corporation AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン

Page 18: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

18 ページ 使用法

表 3 に、追加テスト・ステータスを記録するのに FSM で使用される 13 ビット・レジ

スタ (result_reg) を示しています。

図9. 受信入力ストリームに同期およびロックしたフライホイール

図 9 の注 :

(1) エンベデット SDI フライホイール・ブロックの入力および出力ポート。

rx_eav_first_in (1)

rx_eav (1)

rx_sav (1)

rx_data_in (1)

fly_trs (1)

fly_eav_next (1)

fly_sav_next (1)

fly_xyz_word (1)

fly_video_out (1)

use_fly (1)

fly_locked (1)

trs_loose_lock

trs_locked

frame_locked

FFFFF 00000 90274 80284 FFFFF 00000 80200 1020010200

FFFFF 00000 90274 80284 FFFFF 00000 80200 1020010200

表3. 13 ビット・レジスタ

ビット 説明

0 SD-SDI 向け。 SDI トップレベル・ブロックによって生成された SD RXフォーマットは TX フォーマットと一致することを示します。

1 SD-SDI 向け。 入力が取り除かれている場合でも、SDI トップレベル・ブロックは以前の SD タイミングで生成し続けることを示します。

2 SD-SDI 向け。同じ規格および同じフォーマット間で切り替えを行う場合、ゼロ割り込みがロックされた信号(例えば、fly_locked信号はアサートされたままで)に対して SDI トップレベル・ブロックで検出されることを示します。

3 HD-SDI 向け。 SDI トップレベル・ブロックによって生成された HD RXフォーマットは TX フォーマットと一致することを示します。

4 HD-SDI 向け。 入力が取り除かれている場合でも、SDI トップレベル・ブロックは以前の HD タイミングで生成し続けることを示します。

5 HD-SDI 向け。 同じ規格および同じフォーマット間で切り替えを行う場合、ゼロ割り込みがロックされた信号(例えば、fly_locked信号はアサートされたままで)の SDI トップレベル・ブロックで検出されることを示します。

6 3G-SDI 向け。 SDI トップレベル・ブロックによって生成された 3G RXフォーマットは TX フォーマットと一致することを示します。

7 3G-SDI 向け。 入力が取り除かれている場合でも、SDI トップレベル・ブロックは以前の 3G タイミングで生成し続けることを示します。

AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン © 2009 年 4 月 Altera Corporation

Page 19: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

使用法 19 ページ

図 10 に、FSM が LOCKED_SD ステートにあるとき、ボードが表すものを示していま

す。

ケーブルを取り外すまで、FSM は LOCKED_SDステート状態を維持します。 そして、

FSM は REMOVE_SDステートに移動して、ケーブルを再接続するのを待っています。 1–20 ページの図 11 に、入力を取り除くとき、ボードが表すものを示しています。

8 3G-SDI 向け。 同じ規格および同じフォーマット間で切り替えを行う場合、ゼロ割り込みがロックされた信号(例えば、fly_locked信号はアサートされたままで)において SDI トップレベル・ブロックで検出されることを示します。

9 HD-SDI 向け。デッドタイム期間中に、ゼロ割り込み(fly_lockedおよび frame_locked信号がアサートされたままで)が検出されることを示します。

10 HD-SDI 向け。 同期スイッチングの後に、ゼロ割り込み(fly_lockedおよび frame_locked信号がアサートされたままで)が検出されることを示します。

11 3G-SDI 向け。デッドタイム期間中に、ゼロ割り込み(fly_lockedおよび frame_locked信号がアサートされたままで)が検出されることを示します。

12 3G-SDI 向け。同期スイッチングの後に、ゼロ割り込み(fly_lockedおよび frame_locked信号がアサートされたままで)が検出されることを示します。

図10. LOCKED_SD ステートの FSM

表 3. 13 ビット・レジスタ

ビット 説明

seven_seg2 seven_seg1

LED7 LED6 LED5 LED4 LED3 LED2 LED1 LED0

The embedded RX locked

fly_lockedHIGH

LED4 flashesrx_clk

The embedded flywheel video decoder synchronized to the input

validate_frame_lockedHIGH

RX frame lockedHIGH

validate_trs_lockedHIGH

LED0 flashesfly_clk

© 2009 年 4 月 Altera Corporation AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン

Page 20: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

20 ページ 使用法

図11. REMOVE_SD ステートの FSM

seven_seg2 seven_seg1

LED7 LED6 LED5 LED4 LED3 LED2 LED1 LED0

The embedded RXlost locked

fly_lockedHIGH

LED4 flashesrx_clk

The embedded flywheel video decoder continues

generating TRS at previous timing

validate_frame_lockedHIGH

validate_trs_lockedHIGH

LED0 flashesfly_clk

AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン © 2009 年 4 月 Altera Corporation

Page 21: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

使用法 21 ページ

図 12 のタイミング図で、入力ストリームが中断または削除されるとき、フライホ

イール・ビデオ・デコーダが入力ストリームに TRS シンボルを継続的に生成と挿入

する方法を示しています。

ケーブルを再接続した後に、エンベデッド・フライホイール・ビデオ・デコーダは

新しい受信入力に再同期されます。 FSM は START_SD_AGAIN ステートに移動しま

す。 現在、図 10 に示すようにボードが出力を表示します。 同じ規格および同じ

フォーマットで入力を取り除くおよび再接続するとき、フライホイール・ビデオ・

デコーダは fly_locked 信号をディアサートしません。この期間中に、FSM は

fly_locked信号を継続的にモニタします。

図12. TRS シンボルを生成し続けるフライホイール・ビデオ・デコーダ

図 12の注 :

(1) 入力ビデオが取り除かれます。

(2) フライホイール・ビデオ・デコーダは以前のタイミングで TRS シンボルを生成し続けます。 現在、得られたビデオ・ストリームにおける視覚的な情報は無効になりますが、TRS シンボルにおけるタイミング情報は有効になります。

(3) 次の EAV で、受信ストリーム(use_fly信号はアサートされたままで)を使用する代わりに、フライホイール・ビデオ・デコーダはブラックレベル・ビデオ・データを生成し開始します。

(4) 4 つ EAV の連続不在が検出される際に、エンベデッド・フォーマット検出ブロックのロックされた信号がディアサートされます。このリファレンス・デザインでは、TOTAL_CONSECUTIVE_MISSING_EAVを 8'd4 に設定します。

(5) fly_locked信号はアサートされたままで、フライホイール・ビデオ・デコーダが以前のタイミングでブラックレベル・ビデオ・データを生成し続けます。

(6) エンベデットされた SDI フォーマット検出ブロックからの信号です。

eav_detect (6)

sav_detect (6)

total_eav_missed (6) 01 02

10200

040300

trs_loose_lock (6)

trs_locked (6)

frame_locked (6)

rx_eav_first_in

rx_eav

rx_sav

rx_data_in

fly_trs

fly_eav_next

fly_sav_next

fly_xyz_word

fly_video_out

use_fly

fly_locked

10200

00000

(1) (4)

(5)(3)(2)

© 2009 年 4 月 Altera Corporation AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン

Page 22: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

22 ページ 使用法

図 13 のタイミング図で、フライホイール・ビデオ・デコーダは同じビデオ規格およ

びフォーマット間で切り替えるとき、新しい受信入力に同期される方法を示します。

この同期化ステートまで、SDI トップレベル・ブロックは受信入力に同期し、入力が

中断または削除されるときに TRS を生成して、同じビデオ規格およびフォーマット

間のスイッチングにゼロ割り込み(fly_locked信号はアサートされたままで)を

生成します。

次に、指定された時間の後に START_HDステートへの FSM 転送です。 START_HD ス

テートは HD-SDI テスト・パターンを送信するために、パターン・ジェネレータおよ

び SDI TX をコンフィギュレーションします。 ビデオ・フォーマットにおける変更が

ある場合、FSM は SDI トップレベル・ブロックによってアサートされた

format_change信号を検出します。

図13. フライホイール・ビデオ・デコーダの同期化̶同じビデオ規格とフォーマット間のスイッチング

図 13の注 :

(1) エンベデット・フォーマット検出ブロックによりラインまたはフレームの数に対する一定の同期パルス (F、V、H) が検出されると、frame_lockedがアサートされて、フライホイール・コントロール・ステート・マシンは新しい受信入力ストリームに再同期し開始します。

(2) フライホイール・ビデオ・デコーダが新しいホリゾンタル・タイミングに同期する前に、以前のホリゾンタル・タイミングで発生し続けています。

(3) フライホイール・ビデオ・デコーダはすぐに、次の EAV で新しいホリゾンタル・タイミングに同期します。

(4) 受信ビデオ・フォーマットで変更がない場合、fly_locked信号は High になったままです。

rx_eav_first_in

rx_eav

rx_sav

rx_data_in FFFFF

FFFFF

00000

00000

90274

90274

80284 10200

10200

FFFFF

FFFFF

00000

00000

90274

90274

10200

1020010200

fly_trs

fly_eav_next

fly_sav_next

fly_xyz_word

fly_video_out

use_fly

fly_locked

trs_loose_lock

trs_locked

frame_locked

(1) (3) (4)(2)

AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン © 2009 年 4 月 Altera Corporation

Page 23: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

使用法 23 ページ

図 14 のタイミング図で、フライホイール・ビデオ・デコーダは異なるビデオ規格お

よびフォーマット間で切り替えるとき、新しい受信入力に同期される方法を示しま

す。

SDI トップレベル・ブロックは HD 入力にロックし、送信フォーマットで生成された

フォーマットを検証すると、FSM は異なるビデオ規格とフォーマット ( 例えば、SD PAL から HD 1080p30 まで ) がある非同期スイッチングが起こるのを示す LOCKED_HDステートに移動します。 ケーブルを取り外すまで、FSM はこのステートの状態を維持

します。 そして、FSM は REMOVE_HD ステートに移動して、ケーブルを再接続するの

を待っています。

FSM は 3G-SDI( 1–16 ページの図 8 を参照)に対して同じテスト・シーケンスを繰り

返します。 3G-SDI テスト・ケースのケーブルを取り除きおよび再接続すると、FSMが同期スイッチング・ループ( 1–24 ページの図 15 を参照)に入ります。 スイッチン

グ・ラインの間、このループは同じビデオ・フォーマットを切り替えることによる

RP168 機能をテストします。完全に非破壊的な状態で切り替えをして、ダウンスト

リーム・ユーザー・ロジックはこの変化を意識していません。fly_lockedおよび

frame_locked信号は同期スイッチングの実行中と実行後にアサート状態を維持し

ます。

図14. フライホイールの同期化̶異なるビデオ規格とフォーマットでのスイッチング

図 14の注 :

(1) 3G レートでの新しい受信入力です。

(2) フライホイール・ビデオ・デコーダは以前の HD レートでブラックレベル・ビデオ・データをまだ生成しています。

(3) フォーマット検出ブロックは連続有効なフレームを検出し、そしてフライホイール・ビデオ・デコーダに対して再同期をするために frame_locked信号をアサートします。受信ビデオ・フォーマットが変更するとき、fly_locked信号がディアサートされます。

(4) フライホイール・ビデオ・デコーダは次の受信 EAV で受信 3G 入力に同期します。

(5) フライホイール・ビデオ・デコーダは受信 3G 入力に同期してロックします。

eav_detect

sav_detect

trs_loose_lock

trs_locked

frame_locked

frame_change

rx_eav_first_in

rx_eav

rx_sav

rx_data_in

fly_trs

fly_eav_next

fly_sav_next

fly_xyz_word

fly_video_out

use_fly

fly_locked

10200 10200 10200 10200 10200 10200

1020010200 10200 10200 10200

(5)(4)(2)

(3)(1)

© 2009 年 4 月 Altera Corporation AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン

Page 24: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

24 ページ 使用法

同期スイッチング・ループ 1–24 ページの図 15 に、FSM における同期スイッチング・ループを示します。

送信 HD-SDI と 3G-SDI を通してサイクルする同期スイッチング・ループは非同期ス

イッチング・ループに似ています。 FSM はデッドタイム期間を開始して、

HD_START_DEAD_TIMEステートに移動します。 デッドタイム期間中に、FSMはSDIトリプル規格トランスミッタ・ブロックを制御して、レシーバ側にある信号損失の状

況を模擬するのにすべてゼロを送信します。

HD 1080p30 に対して、デッドタイム期間は 6µs であり、信号損失がライン 7 で行い

ます。そして、スイッチング領域は 625 と 1,070 ピクセルの間です。

6 µs の後、FSM は HD_END_DEAD_TIMEステートに移動して、突然スイッチングを

実行します。 パターン・ジェネレータからの TX データの遅延バージョンを使用する

ために、SDI トリプル規格トランスミッタ・プロトコル・ブロックがマシンで制御さ

れます。SDI トップレベル・ブロックはデッドタイム期間後で入力ストリームの遅延

バージョンを受信します。ビデオ・ソース 1 からビデオ・ソース 2 への切り替えの

シナリオが FSM で模擬されます。これで、図 16 に示すようにビデオ・ソース 2 のス

トリームはいくつかのクロック・サイクルで遅れます。 また、FSM はトップレベル・

ブロックのための en_sync_switch パルスも生成します。

図15. 同期スイッチング・ループの図

HD ENDDEAD TIME

SWITCH 3G

HD STARTDEAD TIME

DEASSERT HD SWITCH

6 μs dead time

optional 10 μs (can be a single pulse) to deassert

en_sync_switch

timeout

START RP168 HD

TEST PASS

dead

DEASSERT 3G SWITCH

3G STARTDEAD TIME

3G ENDDEAD TIME

format_change & fly_locked &

validate_frame_locked &fly_std[1] & dead

END TEST

TEST FAIL

3 μs dead time

optional 5 μs (can be a single pulse) to deassert

en_sync_switch

results_reg[12:0] = 0

results_reg[12:0] ≠ 0

図16. ビデオ・ソース 1からビデオ・ソース 2 へのスイッチング

Switch point

Video source 1

Video source 2

DATA IN

DATA OUT

Switch video source 1 to 2

EAV SAVANC ANC ANC ANCSAV SAV SAVEAV EAV EAVACTIVE PICTURE ACTIVE PICTURE ACTIVE PICTURE

EAV SAVANC ANC ANC ANCSAV SAV SAVEAV EAV EAVACTIVE PICTURE ACTIVE PICTURE ACTIVE PICTURE

EAV SAVANC ANC ANC ANCSAV SAV SAVEAV EAV EAVACTIVE PICTURE ACTIVE PICTURE ACTIVE PICTURE

EAV SAVANC ANC ANC ANCSAV SAV SAVEAV EAV EAVACTIVE PICTURE ACTIVE PICTURE ACTIVE PICTURE

AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン © 2009 年 4 月 Altera Corporation

Page 25: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

使用法 25 ページ

スイッチングはビデオ・ソース 1 からビデオ・ソース 2 に行います。ここで、ビデ

オ・ソース 2 の rx_eav信号は fly_eav信号の後でアサートされます。 図 17 のタ

イミング図に、スイッチングの実行方法を示します。

SWITCH_3Gステートに移動する前に、FSM は同期スイッチングの実行中または実行

後に fly_locked および frame_locked 信号を継続的にモニタします。

図17. ビデオ・ソース 1からビデオ・ソース 2 への HD 同期スイッチング

図 17の注 :

(1) フライホイール・ビデオ・デコーダは受信 HD 入力にロックします。

(2) HD の場合には 6 us デッドタイム期間です。 すべてのゼロはレシーバによって受け取られますが、フライホイール・ビデオ・デコーダは、TRS タイミングを生成し続けています。

(3) デッドタイム期間の終わりおよびビデオ・スイッチ・ポイントです。 ロックされた信号なしでフライホイール・ビデオ・デコーダをすぐに新しい TRS アラインメントに再アライメントするように、フライホイール・ビデオ・デコーダはアサートされた en_sync_switchパルスを 3 つのライン(スイッチライン)にラッチします。

(4) 新しい EAV は fly_eav の後にアサートされます。 ホリゾンタル・タイミングおよびストリームのアラインメントの外乱です。

(5) 同期スイッチング・ライン(スイッチ・インターバルが High のとき)間に、フライホイール・ビデオ・デコーダは EAV を入力ビデオ・ストリームから出力ビデオ・ストリームに直接渡すように設計されています。

(6) フライホイール・ビデオ・デコーダはすぐに、次の SAV で新しいホリゾンタル・タイミングに再同期されます。

dead_time

abrupt_switch

en_sync_switch

switchline

rx_eav

rx_sav

rx_data_in

fly_eav

fly_sav

fly_video_out

use_fly

use_rx

fly_locked

trs_loose_lock

trs_locked

frame_locked

line

switch_interval

lock (1)

RP168_switch1 (1)

RP168_switch2 (1)

RP168_switch3 (1)

RP168_switch4 (1)

RP168_switch5 (1)

RP168_switch6 (1)

10200

10200 10200 10200 10200102001020010200

00000 81000

81000

10200 10200 10200 10200 10200 10200

7 1098

(1) (2) (3) (4) (5) (6)

© 2009 年 4 月 Altera Corporation AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン

Page 26: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

26 ページ 使用法

次に、FSM は SWITCH_3Gステートに移動します。 SDI トップレベル・ブロックは 3G入力にロックし、送信フォーマットで生成されたフォーマットを検証すると、マシ

ンはデッドタイム期間を開始します。 FSM は SDI トリプル規格トランスミッタ・ブ

ロックを制御して、レシーバ側にある信号損失の状況を模擬するのにすべてゼロを

送信します。

3G 1080p50 に対して、デッドタイム期間は 3 µs であり、信号損失がライン 7 で行い

ます。そして、スイッチング領域は 625 と 1,070 ピクセルの間です。

3 µs の後、FSM は END_3G_DEAD_TIMEステートに移動して、突然スイッチングを

実行します。 FSM は、ビデオ・ソース 2 からビデオ・ソース 1 へのスイッチングのシ

ナリオを模倣することによって、次の EAV を以前のタイミングより早く発生させる

ようにパターン・ジェネレータを制御します。ここで、図 18 に示すとおり、ビデ

オ・ソース 2 はいくつかのクロック・サイクルで遅れます。

スイッチングはビデオ・ソース 2 からビデオ・ソース 1 に行います。ここで、ビデ

オ・ソース 1 の rx_eav信号は fly_eav信号より早くアサートされます。

図18. ビデオ・ソース 2からビデオ・ソース 1 へのスイッチング

Switch point

Video source 1

Video source 2

DATA IN

DATA OUT

Switch video source 2 to 1

EAV SAVANC ANC ANC ANCSAV SAV SAVEAV EAV EAVACTIVE PICTURE ACTIVE PICTURE ACTIVE PICTURE

EAV SAVANC ANC ANC ANCSAV SAV SAVEAV EAV EAVACTIVE PICTURE ACTIVE PICTURE ACTIVE PICTURE

EAV SAVANC ANC ANC ANCSAV SAV SAVEAV EAV EAVACTIVE PICTURE ACTIVE PICTURE ACTIVE PICTURE

EAV SAVANC ANC ANC ANCSAV SAV SAVEAV EAV EAVACTIVE PICTURE ACTIVE PICTURE ACTIVE PICTURE

AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン © 2009 年 4 月 Altera Corporation

Page 27: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

使用法 27 ページ

1–27 ページの図 19 のタイミング図に、スイッチングの実行方法を示します。

また、マシンは SDI トップレベル・ブロックに対する必要な en_sync_switchパ

ルスを生成します。 再び、FSM は同期スイッチング中および同期スイッチング後に、

fly_lockedおよび frame_locked信号を継続的にモニタします。

図19. ビデオ・ソース 2からビデオ・ソース 1 への 3G 同期スイッチング

図 19の注 :

(1) フライホイール・ビデオ・デコーダは受信 3G 入力にロックします。

(2) 3G の場合には 3 us デッドタイム期間です。 すべてのゼロがレシーバによって受け取られますが、フライホイール・ビデオ・デコーダは、TRS タイミングを生成し続けています。

(3) ビデオ・スイッチ・ポイントおよびデッド期間の終了。ロックされた信号なしでフライホイール・ビデオ・デコーダをすぐに新しい TRS アラインメントに再アライメントするように、フライホイール・ビデオ・デコーダは en_sync_switchパルスを3 つのライン(スイッチライン)にラッチします。

(4) 新しい EAV は fly_eav 信号より早くアサートされます。ホリゾンタル・タイミングおよびストリームのアラインメントの外乱です。

(5) 同期スイッチング・ライン(スイッチ・インターバルが High のとき)間に、フライホイール・ビデオ・デコーダは EAV を入力ビデオ・ストリームから出力ビデオ・ストリームに直接渡すように設計されています。

(6) フライホイール・ビデオ・デコーダはすぐに、次の SAV で新しいホリゾンタル・タイミングに再同期されます。

dead_time

abrupt_switch

en_sync_switch

switchline

rx_eav

rx_sav

rx_data_in

fly_eav

fly_sav

fly_video_out

use_fly

use_rx

fly_locked

trs_loose_lock

trs_locked

frame_locked

line

switch_interval

lock (1)

RP168_switch1 (1)

RP168_switch2 (1)

RP168_switch3 (1)

RP168_switch4 (1)

RP168_switch5 (1)

RP168_switch6 (1)

10200

10200 10200 10200 10200102001020010200

00000 81000

81000

10200 10200 10200 10200 10200 10200

7 1098

(1) (2) (3) (4) (5) (6)

© 2009 年 4 月 Altera Corporation AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン

Page 28: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

28 ページ 使用法

同期スイッチング・ループは、スイッチが同期スイッチングによるホリゾンタル障

害を検討した直後に、SDI トップレベル・ブロックがホリゾンタル・タイミングを再

同期化するかどうかをチェックします。

後に、すべてのテストのステータスをチェックすることによってプロセスを終了

します。図 20 に示すようにすべてのテストが合格する場合、LED3 を点灯させ、そ

して 1 つ以上のテストが失敗する場合、LED7 を点灯させます。

ローカル PLLローカル PLL ブロック (FLY_CLK_GEN) は SDI トップレベル・ブロックに対して、 74.25 MHz (HD-SDI) および 148.5 MHz (SD-SDI と 3G-SDI) を供給します。 SDI トップレ

ベル・ブロックはレシーバが入力ビデオ・ストリームにロックされるときにのみこ

のローカル・クロックを使用します。

リコンフィギュレーション・コントロール・ロジックリコンフィギュレーション・コントロール・ロジック・ブロック

(ALT2GXB_RECONFIG) は SDI トップレベル・ブロックのレシーバ部分のリコンフィ

ギュレーションを処理します。

レシーバ・フォーマット・バリデータレシーバ・フォーマット・バリデータ (RX_FORMAT_VALIDATE) は SDI トップレベル・

ブロックにエンベデッドされたフォーマット検出ブロックと同じです。 レシーバ・

フォーマット・バリデータは SDI トップレベル・ブロックによって生成される SDIストリームのラインおよびフレーム・タイミングをモニタします。 受信ストリームが

ロックされるか、またはロックが解除されるかを示すために様々なロックされた信

号を生成します。 また、レシーバ・フォーマット・チェッカ・ブロックに対するフ

レーム・フォーマット情報もデコードします。

図20. すべてのテストが合格されているテストの終わり

seven_seg2 seven_seg1

LED7 LED6 LED5 LED4 LED3 LED2 LED1 LED0

The embedded RX locked

fly_lockedHIGH

LED4 flashesrx_clk

The embedded flywheel videodecoder synchronized to the input

validate_frame_lockedHIGH

validate_trs_lockedHIGH

LED0 flashesfly_clk

RX frame lockedHIGH

Test Pass

AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン © 2009 年 4 月 Altera Corporation

Page 29: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

使用法 29 ページ

レシーバ・フォーマット・チェッカレシーバ・フォーマット・チェッカ・ブロック (RX_FORMAT_CHECKER) により、レ

シーバ・フォーマット・バリデータ・ブロックでデコードされたラインおよびフ

レーム・タイミングに基づく SDI トップレベル・ブロックによって生成される SDIストリームのビデオ・フォーマットが決まります。 それは受信ビデオ・フォーマット

を TX フォーマットと比較します。

リファレンス・デザインのデモンストレーションリファレンス・デザインを実行するには、以下の手順を実行します。

1. ボード接続を設定します。テスト・パターン・トランスミッタ出力、

SDI_OUT_P2 (BNC J52) を SDI トップレベル・ブロックのレシーバ入力、SDI_IN0 (BNC J49) に接続します。

2. Quartus II ソフトウェアを起動します。

a. File メニューの Open Project をクリックし、/quartus/tr_sdi.qpf に移動して、

Open をクリックします。

b. Processing メニューで、Start Compilation をクリックします。

3. Quartus II の生成された SRAM オブジェクト・ファイル (.sof)、 /quartus/tr_sdi.sof をダウンロードします。

4. LED が図 21 に示すとおりに表示されると、BNC J49 コネクタからケーブルを取り

外します。

1 始動してから 3 秒以降にのみ、ケーブルを取り外します。

5. BNC J49 コネクタからケーブルを取り外します。LED が 図 22 に示すとおりに表示

されるはずです。

図21. SD-SDI にロックした場合

seven_seg2 seven_seg1

LED7 LED6 LED5 LED4 LED3 LED2 LED1 LED0

LED4 flashesrx_clk

LED0 flashesfly_clk

© 2009 年 4 月 Altera Corporation AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン

Page 30: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

30 ページ 使用法

6. ケーブルを BNC J49 コネクタに再接続して、LED が図 21 に示すように表示される

までを待ってください。

7. 数秒後に、テストがトランスミット HD-SDI を通じてサイクルします。LED が 図 23 に示すとおりに表示されるはずです。

1 LED が図 23 に示すように表示されない場合、ケーブルを取り外して、再接

続します。

8. BNC J49 コネクタからケーブルを取り外して、LED が図 24 に示すように表示され

るまでを待ってください。

図22. 以前の SD レートでデータを生成し続ける SDI トップレベル・ブロック

図23. HD-SDI にロックした場合

図24. 以前の HD レートでデータを生成し続ける SDI トップレベル・ブロック

seven_seg2 seven_seg1

LED7 LED6 LED5 LED4 LED3 LED2 LED1 LED0

LED4 flashesrx_clk

LED0 flashesfly_clk

seven_seg2 seven_seg1

LED7 LED6 LED5 LED4 LED3 LED2 LED1 LED0

LED4 flashesrx_clk

LED0 flashesfly_clk

seven_seg2 seven_seg1

LED7 LED6 LED5 LED4 LED3 LED2 LED1 LED0

LED4 flashesrx_clk

LED0 flashesfly_clk

AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン © 2009 年 4 月 Altera Corporation

Page 31: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

使用法 31 ページ

9. 次に、ケーブルを BNC J49 コネクタに再接続して、LED が再び図 23 に示すように

表示されるまでを待ってください。

10. 数秒後に、テストがトランスミット 3G-SDI を通じてサイクルします。 現在、LEDが図 25 に示すとおりに表示されるはずです。

11. BNC J49 コネクタからケーブルを取り外して、LED が図 26 に示すように表示され

るはずです。

12. 次に、ケーブルを BNC J49 コネクタに再接続して、LED が再び図 25 に示すように

表示されるまでを待ってください。

13. 数秒後で、テストは 初の送信 HD-SDI によって同期スイッチング・ループ

(RP168) に入ります。 現在、LED が図 27 に示すとおりに表示されるはずです。

図25. 3G-SDI にロックした場合

図26. 以前の 3G レートでデータを生成し続ける SDI トップレベル・ブロック

seven_seg2 seven_seg1

LED7 LED6 LED5 LED4 LED3 LED2 LED1 LED0

LED4 flashesrx_clk

LED0 flashesfly_clk

seven_seg2 seven_seg1

LED7 LED6 LED5 LED4 LED3 LED2 LED1 LED0

LED4 flashesrx_clk

LED0 flashesfly_clk

© 2009 年 4 月 Altera Corporation AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン

Page 32: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

32 ページ 使用法

14. テストが同期スイッチングを自動的に実行します。SDI トップレベル・ブロック

からの fly_lockedおよび frame_locked信号は同期スイッチング中および同

期スイッチングの後に継続的にモニタされます。 テストの終わりで、テスト・ス

テータスがレコードされて、チェックされます。

15. 3G-SDI を送信し続けて、LED が図 28 に示すように表示されます。

16. 同様に、テストが同期スイッチングを自動的に実行します。 SDI トップレベル・

ブロックからの fly_lockedおよび frame_locked 信号は同期スイッチング中

および同期スイッチングの後に継続的にモニタされます。 テストが完了したら、

テスト・ステータスがレコードされて、チェックされます。

図27. (RP 168) HD-SDI にロックした場合

図28. (RP 168) 3G-SDI にロックされる

seven_seg2 seven_seg1

LED7 LED6 LED5 LED4 LED3 LED2 LED1 LED0

LED4 flashesrx_clk

LED0 flashesfly_clk

seven_seg2 seven_seg1

LED7 LED6 LED5 LED4 LED3 LED2 LED1 LED0

LED4 flashesrx_clk

LED0 flashesfly_clk

AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン © 2009 年 4 月 Altera Corporation

Page 33: AN 569: SDI フライホイール・ビデオ・ デコーダ・ …...trs_locked信号はレシーバが受信データにロックされ、そしてrx_clkが安定する ことを示しますが、SDI

改訂履歴 33 ページ

17. テストが成功すると、LED が図 29 に示すように表示されます。

改訂履歴表 4 に、このアプリケーション・ノートの改訂履歴を示します。

図29. テスト・パス

seven_seg2 seven_seg1

LED7 LED6 LED5 LED4 LED3 LED2 LED1 LED0

LED4 flashesrx_clk

LED0 flashesfly_clkTest Pass

表4. 改訂履歴

日付およびリビジョン 変更内容 概要

2009 年 4 月、

バージョン 1.0

初版。 —

© 2009 年 4 月 Altera Corporation AN 569: SDI フライホイール・ビデオ・デコーダ・リファレンス・デザイン