bc11 - cac cong nghe thiet ke ic

53
Báo cáo 11 Các nhà cung cấp công nghệ thiết kế, đo lường và thử nghiệm IC 1. Các nhà cung cấp công cụ EDA 1.1. Mentor Graphics 1.1.1 Overview Mentor Graphics® is a technology leader in electronic design automation (EDA), providing software and hardware design solutions that enable companies to send better electronic products to market faster and more cost-effectively. The company offers innovative products and solutions that help engineers overcome the design challenges they face in the increasingly complex worlds of board and chip design -where deep submicron (DSM) technology and System-on-Chip (SoC) design multiply the challenges of getting great product ideas to market. - Publicly held (NASDAQ: MENT) - Founded 1981, headquartered in Wilsonville, Oregon - 3,500 employees - Revenue in last reported 12 months: approximately $600 million - World-class research and development - High-touch, global distribution channel - sites in 66 locations worldwide - Strategic partnerships with leading electronics manufacturers, semiconductor and electronic design suppliers for development of new design solutions and methodologies - World Wide Web address - www.mentor.com 1

Upload: thinh-vu-dinh

Post on 21-Apr-2017

219 views

Category:

Documents


6 download

TRANSCRIPT

Page 1: BC11 - Cac Cong Nghe Thiet Ke IC

Báo cáo 11Các nhà cung cấp công nghệ thiết kế, đo lường và thử nghiệm IC

1. Các nhà cung cấp công cụ EDA 1.1. Mentor Graphics

1.1.1 Overview

Mentor Graphics® is a technology leader in electronic design automation (EDA), providing software and hardware design solutions that enable companies to send better electronic products to market faster and more cost-effectively. The company offers innovative products and solutions that help engineers overcome the design challenges they face in the increasingly complex worlds of board and chip design -where deep submicron (DSM) technology and System-on-Chip (SoC) design multiply the challenges of getting great product ideas to market.

- Publicly held (NASDAQ: MENT) - Founded 1981, headquartered in Wilsonville, Oregon - 3,500 employees - Revenue in last reported 12 months: approximately $600 million - World-class research and development - High-touch, global distribution channel - sites in 66 locations worldwide - Strategic partnerships with leading electronics manufacturers,

semiconductor and electronic design suppliers for development of new design solutions and methodologies

- World Wide Web address - www.mentor.com

Areas of Focus:

System-on-Chip VerificationMentor Graphics provides its customers with critical tools for solving the increasingly complicated problems of verifying that today's complex chip designs actually function as intended. With its market-leading positions in HDL simulation, hardware/software co-verification, multi-core embedded system debugging, design-for-test, and emulation, Mentor helps customers tame the design challenges they are facing today, and the ones they are beginning to face with tomorrow's designs.

Best-in-class products include: Seamless® for early and accurate hardware and software co-verification; the Nucleus® real-time operating system, the code|lab™ development environment for embedded systems; XRAY®, the software

1

Page 2: BC11 - Cac Cong Nghe Thiet Ke IC

debugger for pre- and post-silicon; FormalPro™ for formal verification; Platform Express™ for rapid configuration of SoC designs; a full suite of design-for-test tools including the groundbreaking TestKompress™, which dramatically reduces the cost of test ; and VStation™ and Celaro™ for hardware emulation.

HDL and FPGA DesignWith its market leadership in VHDL and mixed HDL simulation, FPGA synthesis, and design capture and management, Mentor Graphics is the market's single vendor source for an integrated solution for multi-million-gate field-programmable gate array (FPGA) design. In addition, Mentor has point-tool excellence in high-end HDL design with simulation and design capture and management.

Best-in-class products include: ModelSim® digital simulation; HDL Designer Series™ for design entry, analysis and management; and Precision Synthesis™ to maximize performance of programmable logic devices and next-generation field-programmable systems-on-chip.

Physical Design and AnalysisThe relentless drive to DSM technology has opened the door to a host of challenging physical effects that designers need to work around before they can realize their designs in silicon. With a leading position in physical verification, Mentor provides a suite of tools that allow designers to probe their designs to discover and correct the critical errors that occur in shrinking geometries.

Best-in-class products include: Calibre®, the fastest and most accurate physical verification tool for deep-submicron designs; Calibre OPC and PSM for sub-wavelength optical process correction and phase-shift masking; the Calibre MDP product line for converting IC layout into mask writer format; ADVance MS™ for mixed-signal design; Eldo™ transistor-level simulation and EldoRF for radio frequency analysis; and IC Station® for full-custom IC design and visualization.

Board and System DesignMentor provides the tools and libraries used by many of the world's biggest system designers today. With recent investments in this product line, Mentor is poised to help its customers transition to next-generation system design tools and methodologies that will assist customers needing to rapidly create designs even under the pressure of exploding design complexity.

Best-in-class products include: the Board Station® series, the enterprise design environment without limits; Expedition™ Series, the design environment for the individual or small group designer; and AutoActive® RE, the best-in-class routing environment bringing immediate productivity gains integrated in

2

Page 3: BC11 - Cac Cong Nghe Thiet Ke IC

Expedition and Board Station; and the DMS products for design data management.

Consulting, Services and SupportOrganized into four Knowledge CentersSM, Mentor's Consulting division has the breadth and depth of expertise to assess each customer's situation, sort through the options and recommend the best set of infrastructure and methodology actions to deploy. These four Knowledge Centers are: System-on-Chip, Design Reuse, System Verification and High Performance (PCB) Systems. In addition to leading customers through the deployment of their recommended solutions, Mentor Consulting provides the added benefit of the transfer of knowledge through its unique Knowledge-SourcingSM model. Knowledge Sourcing focuses on solving the customer's immediate design challenges while simultaneously empowering their organization to solve similar challenges in the future.

Mentor's Education Services is dedicated to providing the best and most comprehensive training for improving electronic design productivity. Education Services offers a broad range of courses to equip customers with the right skills, at precisely the right time.

Mentor's award-winning Customer Support organization delivers knowledgeable technical help through a choice of communication channels, including web-based support, as well as ongoing software enhancements to protect customers' software investment.

For more information, please contact:

Ry SchwarkMentor Graphics503-685-7789

Dean RodgersWeber Shandwick503-552-3720

Mentor Graphics, Seamless, XRAY,, ModelSim, Calibre, IC Station, Board Station, Nucleus and AutoActive are registered trademarks of Mentor Graphics Corporation. Precision Synthesis, FormalPro, Platform Express, TestKompress, ADVance MS, Eldo, code|lab, VStation and Expedition are trademarks of Mentor Graphics Corporation. Knowledge Centers and Knowledge-Sourcing are service marks of Mentor Graphics Corporation.

1.1.2. Products by Category PCB Systems

   Automatic routing Design tools

 PCB home RF/Mixed signal

3

Page 4: BC11 - Cac Cong Nghe Thiet Ke IC

 Digital high-speed Integration, interfaces & viewers Layout

 Simulation and analysis QuickUse Part Request and

Tracking System 

Data Management Systems

   Data management systems & libraries

 QuickUse Development System

 Design-for-Test

   Scan & ATPG Embedded Deterministic Test Memory Test

 Logic BIST Boundary Scan

 FPGA / PLD

   Integrated Design Methodology Design Entry & Management Design creation

 Synthesis Digital Simulation

 System Design & Verification

 

 Platform Design Hardware/Software Co-Verification Design Entry & Management Accelerated system verification

 Formal Verification Design-For-Test Emulation Services SpeedGate DSV

 IC Design & Verification

 

 Analog/Mixed signal Custom IC Design High capacity circuit simulation Extraction

 Physical verification & manufacturability

 Physical optimization Place & Route Resolution enhancement

technologies   (OPC, PSM)

 Harness Systems

   Complete Harness Flow Solutions Logical Harness Design

 Harness Manufacture Harness Analysis

 Embedded Systems

   Compilers Debuggers Embedded Systems home

 Processors Real-time operating systems

4

Page 6: BC11 - Cac Cong Nghe Thiet Ke IC

1.2 Cadence1.2.1 Overview

As market demand drives electronics companies to pack more performance and functionality into chips manufactured at ever-smaller geometries, designers begin to hit a wall of complexity—especially as we enter the nanometer era. Today's semiconductors and electronic systems are so complex that creating them would be impossible without electronic design automation (EDA).

Cadence Design Systems is the world's largest supplier of EDA technologies and engineering services. Cadence helps its customers break through their challenges by providing a new generation of electronic design solutions that speed advanced IC and system designs to volume.

1.2.2. TechnologyFUNCTIONAL VERIFICATIONBy employing the world's first single-kernel architecture, the Cadence Incisive™ functional verification platform delivers the fastest and most efficient way to verify large, complex chips.

The challenges facing verification teams have grown in parallel with the size and complexity of chips and embedded software. Dealing with today's multimillion-gate designs—and the inefficiencies of multiple, unrelated toolsets—you struggle to squeeze in enough cycles to provide reasonable assurance that functional bugs will not surface in silicon. To effectively verify highly complex digital, SoC, and mixed-signal ICs requires replacing the current fragmented process with a new-generation approach that unifies tools, standards, and methodologies. And, to avoid putting your design schedules at risk, you need an approach you can phase in over time.

The Incisive platform employs a single-kernel architecture that overcomes fragmentation by unifying multiple verification techniques around a single engine. It natively supports Verilog, VHDL, SystemC, PSL/OVL, SystemVerilog and analog/mixed-signal verification. The same platform delivers Acceleration-on-Demand, transaction-level support, HDL analysis (linting), coverage, debug and analysis, and test generation. Not only is Incisive designed so you can adopt these technologies incrementally, but it can also deliver the speed and efficiency required to compress overall verification time by as much as 50 percent.

6

Page 7: BC11 - Cac Cong Nghe Thiet Ke IC

Incisive verification platform

DESIGN TASKSBlock verificationBlock verification ensures functional correctness of a design by verifying base design units as they are being developed. Block verification is typically performed in tandem with block development, using the same design languages to encourage tighter integration throughout the design and provide more reliable verification results.

Systems verificationSystems verification provides early functional design confidence and assures verification reuse throughout the block-level verification process by developing top-down verification along with the design. It is typically performed in tandem with system development using the same design languages to encourage tighter integration throughout the design and provide more reliable verification results.

Building an emulation environmentAs designs grow larger and more complex, design teams must work with numerous emerging technologies. Companies designing chips for high-end wireless, multimedia and networking, applications face large gate-counts, extremely long simulation run-times, and complex protocols requiring many verification cycles to validate. Cadence Palladium™ helps address these challenges and accelerate time-to-market for leading-edge products.

FPGA Full Chip VerificationFPGA Full Chip Verification delivers shorter development times by finding bugs before the chip is on the board. Today's advanced FPGAs are too complicated to be effectively debugged with probes on a board. The Incisive

7

Page 8: BC11 - Cac Cong Nghe Thiet Ke IC

Unified Simulator allows designers to find obscure timing bugs and functional errors in the simulator using the full power of assertions and SimVision to gain visibility that is impossible in the lab.

DIGITAL IC DESIGNThe Cadence Encounter™ digital IC design platform provides the proven tools and methodologies for implementing exceedingly complex, high-performance chips.

Before the advent of nanometer-scale process nodes, conventional design tools let you achieve fairly predictable results—and schedules. Not anymore. With today's geometries, the percentage of total delay due to wire delay increases significantly. This makes traditional linear design flows obsolete, because you cannot afford to go all the way back and change the architecture or logic each time you encounter a problem at the physical implementation level. Nanometer design also exacerbates physical effects known to introduce significant problems—notably signal integrity (SI) effects and IR (voltage) drop. Violations can surface late in the design cycle, delaying completion by weeks and requiring tedious manual repairs.

Implementing nanometer designs requires a new-generation approach that puts "wires first" and incorporates several new technologies and methodologies that reduce errors and prevent excessive iterations. In addition, it requires a new metric for speed, area, power, and test. Quality of Silicon (QoS) is the new generation standard for measuring these qualities after wires are completed in the design.

The Encounter platform replaces traditional linear design flows with a completely new design strategy that minimizes time to wires and full-chip iteration time. The platform also ensures the highest QoS. It provides a nanometer router that optimizes wire creation for performance and manufacturability; a unified database with massive capacity of up to 50 million gates; and efficient extensibility. Highly accurate silicon virtual prototyping technology also makes it fast and easy to model how very complex, high-performance chips will work in silicon. This prototyping allows you to explore the effects of changes and implement placement, floorplanning, and other critical back-end functions—in a fraction of the time required using physical design tools.

8

Page 9: BC11 - Cac Cong Nghe Thiet Ke IC

Encounter digital IC design platform

CUSTOM IC DESIGNSilicon that's right, on time. The Virtuoso® platform enables the world's fastest, most silicon-accurate analog, custom digital, RF, and mixed-signal design.

Today's advanced custom design teams are tackling designs on an order of magnitude more complex than just a few years ago. They must do so while addressing a growing number of physical effects in the package, power grid, interconnect, devices, and substrate. However, the design teams efficiency and effectiveness have been fundamentally limited by inadequate design environments.

The Virtuoso platform is a comprehensive system that enables design teams to deliver silicon that meets all specifications, as well as their schedules. It includes a specification-driven environment, multi-mode simulation, accelerated layout, advanced silicon analysis, and a full-chip integration environment. The Virtuoso platform enables a "meet-in-the-middle" methodology that combines the speed of top-down design with the silicon accuracy of bottom-up design.

9

Page 10: BC11 - Cac Cong Nghe Thiet Ke IC

Virtuoso custom design platform diagram

DESIGN FOR MANUFACTURING Cadence design for manufacturing (DFM) technologies enable you to verify and optimize layouts in digital and custom IC designs, while providing a reliable way to achieve manufacturing sign-off before tape-out.

To successfully get nanometer-scale designs to market, semiconductor companies must address a growing array of challenges—from ever-more stringent design rules to increasing chip layout complexity. You must also contend with the physical effects that become much more troublesome at these smaller geometries. Complex combinations of voltage drop, signal cross-coupling, and circuit parasitics interact to stretch design cycles and force re-spins. Process variations across the die, wafer, and batch affect yield, performance, and reliability. In addition, burgeoning volumes of parasitic data strain storage facilities and choke chip analysis software.

Cadence recognizes these challenges and has created the most comprehensive Design for Manufacturing (DFM) solutions in the industry. By addressing the two key aspects of the design flow—physical verification and sign-off electrical verification—the Cadence DFM solution offers excellent choices for verifying and optimizing layouts in both digital and custom IC designs. It also provides you a reliable way to achieve manufacturing sign-off before tape-out.

ALLEGRO SYSTEM INTERCONNECT DESIGN PLATFORM The Cadence Allegro system interconnect design platform reduces costs and accelerates time to market by enabling a constraint-driven collaborative design across IC, package, and PCB domains.Note: The silicon-package-board product line is now contained in the Allegro platform, and all product names have been changed. Please check the pre-platform technologies reference grid to view name changes.

The system interconnect is the logical, physical, and electrical connection of a signal, its associated return path, and the power delivery system. Design teams

10

Page 11: BC11 - Cac Cong Nghe Thiet Ke IC

face unprecedented challenges in designing the system interconnect of today's complex designs. With the growing integration of ICs, chip I/Os and package pin counts are rapidly increasing. Gigahertz-speed data rates also translate into blisteringly fast PCBs and systems. At the same time, the average PCB size is decreasing and power delivery requirements are heating up as chips transistor counts skyrocket.

The need to solve these complex problems and deal with increasing time-to-market pressures makes the traditional approach—designing systems components in isolation—obsolete. Achieving working system interconnect in complex systems requires a new generation approach, one that allows design teams to focus on achieving efficiencies in the system interconnect spanning all three system domains.

Using the platform's co-design methodology, engineers can quickly optimize the system interconnect--between I/O buffers and across ICs, packages, and PCBs - eliminating hardware re-spins and reducing both hardware costs and design cycles. The constraint-driven Allegro flow includes advanced capabilities for design capture, signal integrity, and physical PCB design.

From designs for high-speed, high-performance products to commodity markets, Cadence provides easy integration with existing technology, allowing you to incrementally enhance your existing design flow with updates and best-of-breed technology to support all market sectors. And because it is supported by the Cadence Encounter and Virtuoso platforms, the Allegro co-design methodology enables effective design chain collaboration.

Silicon design-in kitsIn addition to providing the industry's dominant technologies for constraint-driven IC packaging and high-speed PCB design and analysis, Cadence also pioneered the concept of silicon design-in kits. Silicon design-in kits speed time to profit because they allow IC companies to shorten new device adoption time and systems companies to accelerate PCB system design cycles.An integrated technology platform supports the VSIC model, IP availability, and silicon design-in technology

11

Page 12: BC11 - Cac Cong Nghe Thiet Ke IC

1.2.3 Design SolutionPCB DesignHigh-speed PCB Design & AnalysisIC Packaging & AnalysisOrcad PCB Design

PCB Design

Your designs are growing in complexity. Effective collaboration between teams is paramount to your success. Based on industry-leading Allegro® layout, SPECCTRA® autorouter, and Concept HDL® schematic capture, Cadence offers two highly integrated, front-to-back design solutions. Our studio series is ideally suited for small to medium sized teams who require an initial cost conscience solution - with the opportunity to scale as technology challenges increase. The expert series is a complete solution for advanced high-speed, constraint-driven PCB designs. PCB Design Expert features Constraint

12

Page 13: BC11 - Cac Cong Nghe Thiet Ke IC

Manager, the only constraint management solution that allows you to manage electrical constraints across the design flow as one seamless concurrent process.

PCB Design Studio

Scalable, cost-conscious PCB design suite for small to medium team environments

PCB Design Expert

Advanced, constraint-driven, high-speed PCB design suite for large design teams

High speed PCB Design

Contemporary high-speed designs introduce contemporary high-speed design challenges - timing analysis, crosstalk, and power delivery to name a few. Today's cutting-edge designs require these challenges be addressed collectively and continuously across the entire design flow from parts selection and electrical constraints development, to driving the constraints downstream to the layout process. SPECCTRAQuest™ SI Expert and PCB Design Expert provide a complete and integrated environment for the simultaneous design and analysis of high-speed digital systems. Constraint Manager makes this collective process possible by providing a unified spreadsheet interface for capturing, managing, and verifying electrical constraints across the entire design flow.

SPECCTRAQuest SI Expert

Integrated design and analysis environment for high-speed digital PCBs

PCB Design Expert

High-speed design suite integrated with Constraint Manager

IC Packaging & Analysis

13

Page 14: BC11 - Cac Cong Nghe Thiet Ke IC

Today it's not uncommon to have design teams develop IC, package, and PCB systems alone in a vacuum - oblivious to the impacts. This uncoordinated approach results in costly and time-consuming iterations. Advanced Package Engineer (APE) and Advanced Package Designer (APD) are the first tools to address this problem. These complementary tool suites institute groundbreaking techniques for integrating electrical analysis and physical design through all the phases of development - providing the only true silicon-package-board design solution on the market today. And because APD automatically generates all data needed for PCB-level floorplanning and layout, users have an efficient handoff to PCB system-level designers for superior team design capabilities.

IC Packaging

Centerpiece to the Cadence PCB design silicon-package-board solution

PCB Design Expert

Integrated design and analysis environment for IC packaging capabilities

Orcad PCB Design

14

Page 15: BC11 - Cac Cong Nghe Thiet Ke IC

Orcad® PCB solutions are the industry's de facto standard for delivering unprecedented value in easy-to-use design tools. Orcad® Unison Suite (illustrated above) is a bundled PCB design solution for individuals working on prototypes or limited-production boards. Featuring Orcad Capture® schematic, the world's most popular design entry tool, PSpice® analog and mixed-signal simulator, Orcad Layout® place and route, and the advanced SPECCTRA® autorouter, Orcad Unison Suite is an affordable solution to complete PCB designs. As your team or business needs expand, Orcad Unison offers convenient scalability options to additional Orcad products. You can also keep your investment and resources intact by upgrading to Cadence Allegro® solutions.

SPECCTRA

The market-leading solution for interconnect routing

Orcad Unison Suite

Integrated suite of newly enhanced Orcad products

1.2.4 Core technologyAnalog/Digital VerificationConstraint ManagementLibrary ManagementSignal & Power Integrity

Analog/Digital Verification

15

Page 16: BC11 - Cac Cong Nghe Thiet Ke IC

Cadence offers electrical engineers an array of verification solutions from the advanced, full-featured capabilities of NC-Sim - an industry leader is digital simulation, to the world's most popular analog and mixed-signal solution, PSpice® simulator. PCB Analog and Mixed-signal Expert (based on Analog Workbench) is the recognized leader in analog and mixed-signal PCB simulation. New PSpice Advanced Analysis option brings features originally available in the Analog Workbench design environment (Unix) to PSpice users on Windows®. And PSpice Studio combines PSpice A/D with PSpice Advanced Analysis giving design engineers significant improvements in design performance, cost effectiveness, and reliability. Without question, Cadence offers a powerful arsenal of verification technologies for both mainstream and high-end PCB design.

PCB Analog and Mixed-signal Expert

Advanced high-speed verification

PSpice Simulator

A full-featured simulator for both analog and mixed-signal designs

Constraint Management

16

Page 17: BC11 - Cac Cong Nghe Thiet Ke IC

With growing high-speed content and shrinking design cycle times, designers of complex high-speed PCBs face a new challenge - high-speed constraints. In fact, the percentage of nets on a board that have high-speed constraints is growing rapidly with some boards having more than 75% of nets being constrained. Cadence is the first to develop a truly integrated, hierarchical constraint management system that is consistent across the entire design flow. Working throughout the design process, Constraint Manager provides a consistent way to create, manage, and validate design intent. It presents electrical constraints in a unified, spreadsheet-like interface that can be accessed from Concept® HDL Expert schematic capture, SPECCTRAQuest™ SI Expert signal analysis, Allegro® Expert PCB layout, and Advanced Package Designer.

Concept HDL Expert

Robust and highly integrated schematic capture for high-speed PCB

Constraint Manager

Constraint Manager functionality based in Allegro Expert

Library Management

Visibility into consistently reliable component data increases the chances of getting a quality product to market on time. Enforcing best practices during the development, validation, and management of component data also ensures integrity and maintains the reliability of all part data. Equally important is reducing the manual re-entry of data. This is achieved by leveraging Internet-derived manufacturing specifications through a process that is fast, efficient, and requires very little effort to deploy. Cadence offers library professionals the confidence of an uninterrupted transition throughout the entire design flow with our comprehensive library management solution.

17

Page 18: BC11 - Cac Cong Nghe Thiet Ke IC

PCB Librarian

Development of library parts required for PCB design

PCB Design Expert

Development, verification and management of parts for PCB design

Signal & Power Integrity

Contemporary high-speed issues - timing analysis, signal integrity, crosstalk, power delivery, and EMI can no longer be addressed individually. Today's cutting-edge designs require these issues be addressed collectively and continuously throughout the design process. The integrated design and analysis environment of SPECCTRAQuest™ SI Expert allows electrical engineers to explore and resolve these challenges in all stages of the design cycle - including design and analysis of IC Packaging. SPECCTRAQuest Power Integrity, an add-on option to SPECCTRAQuest SI Expert, is an integrated environment for power delivery systems design. It combines proven technology from Sun Microsystems into the Cadence design and analysis environment to address power delivery issues in high-speed PCB systems design.

SPECCTRAQuest SI Expert

Integrated design and analysis environment for high-speed digital PCBs

SPECCTRAQuest Power Integrity

Quantifies and controls noise when addressing power delivery issues

18

Page 19: BC11 - Cac Cong Nghe Thiet Ke IC

19

Page 20: BC11 - Cac Cong Nghe Thiet Ke IC

1.3. Silvaco1.3.1 OverviewSilvaco International is a leading provider of electronic design automation (EDA) software for analog and mixed-signal integrated circuit design. Founded in 1984, the company delivers proven products for TCAD process and device simulation, Spice parameter extraction, circuit simulation, and custom IC design/verification. The company integrates these best-in-class products with experienced support and engineering services to provide complete analog semiconductor process, device and design automation solutions in CMOS, Bipolar, SiGe and compound technologies. Worldwide customers include leading fabless semiconductor companies, integrated semiconductor manufacturers, foundries, universities and designers of analog integrated circuits who require the utmost accuracy.

The company is privately held, internally funded, debt-free, and owns all of its office buildings. It is headquartered in Santa Clara, California, with 11 offices worldwide to support its international customer base with knowledgeable and experienced applications engineers.

1.3.2. Solution

Products

Silvaco delivers a comprehensive set of EDA tools that enable companies around the world to design analog and mixed-signal integrated circuits. Semiconductor technology engineers use our TCAD products to develop and optimize their semiconductor processes. IC designers use our IC CAD products to design and simulate analog circuits. Closure between manufacturing and

20

Page 21: BC11 - Cac Cong Nghe Thiet Ke IC

design depends on accurately extracted device models and accurate circuit simulation.

TCADSilvaco TCAD tools start with understanding the physics of the basic semiconductor, dielectric, and conducting materials. The Virtual Wafer Fab technology simulation environment enables the ATHENA process technology simulators and the ATLAS device technology simulators to prepare, run, optimize, and analyze semiconductor experiments to achieve optimal process recipes and device targets.

Product Family:

VWF:  Integrated TCAD Environment

ATHENA:  Process Simulation  Framework

ATLAS: Device Simulation Framework

MERCURY: Fast Device  Simulation Framework

Design Flows:

TFT Technology

SOI Technology

SiGe/SiGeC Technology

ANALOG & MIXED SIGNALSilvaco analog and mixed signal tools include SmartSpice Analog Circuit Simulator for the highest accuracy, convergence, and performance, and the world’s largest selection of modeling solutions—foundry-supplied models, free

21

Page 22: BC11 - Cac Cong Nghe Thiet Ke IC

downloadable Verilog-A source models, UTMOST Modeling Software, and complete model extraction services

Product Family:

UTMOST:  III Spice Modeling Software

Gateway:  Schematic Editor

Expert:   Layout Editor

SmartSpice:    Circuit Simulator

Guardian:   Physical Verification    products

CLEVER :    Physics-based Parasitic Extractor

CUSTOM IC CADSilvaco’s custom IC design environment is a complete, integrated design flow with Gateway schematic editor for design capture, Verilog for fast digital and mixed-signal simulation, SmartSpice circuit simulator for analog accuracy, Expert layout editor with PCELLs, Guardian DRC /LVS/LPE for physical verification and CLEVER physics-based parasitic extractor all supported by foundry process design kits (PDK)s.

22

Page 23: BC11 - Cac Cong Nghe Thiet Ke IC

Product family:

CELEBRITY: IC Design and Verification Platform

Gateway :    Schematic Editor

Expert:    Layout Editor

Guardian: Physical Verification products

HIPEX:  Full-Chip Parasitic Characterization

PARASITIC EXTRACTIONSilvaco’s parasitic extractors use physics-based 2D and 3D field solvers to directly convert mask data and relevant process information into SPICE netlists, back annotated with interconnect capacitance and resistance parasitics at your choice of accuracy and capacity.

Product Family:

DISCOVERY  Physics-Based Parasitic Extraction Tools

EXACT   Interconnect Parasitic Characterization

QUEST    High Frequency Parasitic  Extraction

CLEVER Physics-based Parasitic  Extractor

STELLAR    Characterization of Standard cell   Parasitics

LOGIC VERIFICATIONOriginally developed by Simucad in 1986 and acquired by Silvaco in 2003, the SILOS Verilog Simulator is an easy-to-use IEEE-1364-2001 compliant simulator that provides today’s most productive logic design environment. HyperFault Mixed-Level Fault Simulator is a Verilog IEEE-1364-2001 compliant fault simulator that analyses test vectors’ ability to detect faults.

23

Page 24: BC11 - Cac Cong Nghe Thiet Ke IC

Product Family:

Harmony-AMS  Analog/Mixed-Signal Simulator

SILOS  Verilog Simulator

TurboLint   Programmable HDL  Checker

HyperFault    Mixed-Level  Fault Simulator

1.3.3 Products   

 

TCAD Driven CAD Environment

This fully integrated set of software tools brings the power of physical semiconductor technology into all phases of the IC design process including: simulation of process and device technologies, generation and development of Spice models, extremely accurate characterization of interconnect parasitics, physically-based reliability modeling, and traditional CAD.

The integration of all of these capabilities into a unified framework provides designers with immediate feedback describing the impact of changes at any stage of the design cycle on the performance, manufacturability and reliability of the complete design

24

Page 25: BC11 - Cac Cong Nghe Thiet Ke IC

From this page you can view product information, download brochures in pdf format or browse a collection of free downloadable posters.

TCADProcess Technology Simulation  

ATHENA Process Technology Simulation Elite 2D Deposition and Etch Simulator

MC   Depo/Etch   2D Monte Carlo Deposition and Etch SimulatorSSuprem4 1D/2D Process Simulation Software for Silicon Technologies

  MC   Implant 1D/2D Monte Carlo Implantation Simulator

Flash - 1D/2D Compound Semiconductor Process Simulator

Optolith 2D Optical Lithography Simulator SSuprem3 1D Process Simulation SPDB Silvaco's Process Database

Device Technology SimulationATLAS Device Technology Simulation

S-Pisces 2D Silicon Device Simulation TFT   2D Amorphous and Polycrystalline Device SimulatorFerro Ferro Electric Field Dependent Permitivity Model

Blaze Device Simulator for Advanced Materials Laser Semiconductor Laser Diode SimulationSiGe Silicon Germanium ModuleSIC Silicon Carbide ModuleVCSELS

Blaze3D Device Simulator for Advanced Materials Common modules

Quantum Simulation Models for Quantum Confinement EffectsLuminous Optoelectronic Device SimulatorGiga Non-Isothermal Device Simulation MixedMode Combined Device and Circuit Simulator

Device 3DTFT   3D Amorphous and Polycrystalline Device Simulator

Fast FET Simulation  MERCURY Fast FET Simulation

FastBlaze Ultra-Fast MESFET and HEMT Device Simulator Mocasim Monte Carlo Transport Parameter Generator

 

25

Page 26: BC11 - Cac Cong Nghe Thiet Ke IC

Analog Solutions Parameter Extraction and Spice Modeling  

UTMOST Data Acquisition & Parameter ExtractionSPAYN Statistical Parameter & Yield Analysis S3245A MOS Noise AmplifierS3447A SOI Noise Amplifier

Circuit Simulation  

SmartSpice Multi-platform Advanced Analog Circuit Simulator

VERILOG-A SmartSpice VERILOG-A Interface SPICE Models  

VBIC Gummel-Poon Replacement Mextram General Purpose Bipolar Model Modella Lateral PNP Bipolar ModelHICUM High Speed Bipolar Model BSIM3v3.2.4 Industry Standard Deep Sub-Micron MOSFET Model

BSIM4 v2.1 Industry Standard Sub-0.13 Micron MOSFET Model

BSIM3SOI PD v2.2.2 Low-Power MOSFET Model

BSIM3SOI FD v2.1 Low-Power MOSFET ModelBSIM3SOI DD v2.1 Low-Power MOSFET ModelHiSIM Surface Potential-Based MOSFET ModelEKV v2.6 Low Powe MOSFET Model Spice Modeling Services  

 

CADInterconnect Parasitic Extraction  

DISCOVERY Interconnect Parasitic Extraction EXACT Interconnect Characterization CLEVER Custom Cell LPE QUEST High Frequency Parasitic Extraction

CELEBRITY Circuit Design and Verification Scholar Schematic Editor Expert Advanced Custom ULSI Layout Editor

Savage Design Rule CheckerMaverick Hierarchical Netlist Extractor

26

Page 28: BC11 - Cac Cong Nghe Thiet Ke IC

1.4 Xilink1.4.1. Overview

While the rest of the industry was moving towards layoffs and shaking-off excessive inventory, Xilinx was busy innovating, collaborating, and introducing new products to market. Unlike many of our counterparts, Xilinx viewed the downturn as an opportunity to focus on research and development, streamline operations, and deliver new products that would change the FPGA landscape.

This last year, Xilinx decisively separated itself from our competition. For the first time in our history, we secured over 50% of the PLD market share and are bigger than all other public PLD companies combined. We avoided layoffs and were ranked by Fortune magazine as the sixth best company to work for.

Through the power of innovation and partnerships, Xilinx also took the FPGA-based value chain to a new level. By teaming with technology leaders in silicon fabrication, design automation, system level tools, IP, and design services, we delivered a complete value chain and strengthened our position as a strategic partner for our customers. Delivering this complete value chain enables the fastest innovation while reducing total development and system costs for our customers. It also reduces time to market and increases time in market for our customer's products.

In March 2002, through partnering with industry leaders IBM, WindRiver Systems, and Conexant, Xilinx delivered the Virtex-II Pro™ programmable system solution. The solution is the first of its kind and is the most flexible tool ever invented for a designer. The Virtex-II Pro FPGA includes programmable logic fabric with high-speed embedded PowerPC processors and integrated 3.125 gigabit RocketIO™ serial transceivers supported by leading design tools. Recent additions to the family and lower price points have now made the Virtex-II Pro solution the de-facto standard for all programmable logic users.

The Virtex-II Pro solution responds to the issues facing design teams and their corporations. By delivering both high-performance processing and high bandwidth connectivity on a single device, many design challenges associated with integration, high-speed interfacing, high performance processing, and new design methodologies are effectively solved. The rapid rate of change in technology and standards demands a solution that is completely flexible and reduces inventory risks and NRE costs - the Virtex-II Pro solution delivers.

Xilinx is a company built on delivering maximum customer value and ongoing innovation throughout all of our product lines. In this last year alone, Xilinx revamped all of its products from the new Spartan®-IIE cost-optimized FPGA solution to the CoolRunner®-II RealDigital CPLD solution, the Virtex-II Pro platform for programmable systems, and the Virtex®-II EasyPath solution for

28

Page 29: BC11 - Cac Cong Nghe Thiet Ke IC

cost management. We also introduced the world's fastest and most productive software tool suite with our ISE 4.2i software release, numerous intellectual property cores, and the technical training necessary to decrease time-to-knowledge for the rapid assimilation of this new technology. We continue to focus on raising the bar by adding more value in every category of the value chain.

Through the years, Xilinx has evolved into a solutions company rather than remaining just a chip company. We can only be better tomorrow than we are today by working closely with our customers and anticipating their needs. Xilinx's job is to continue to expand our capabilities and our partnerships, so we can continue to be a strategic partner for our client companies.

Xilinx is an innovation engine and our employees are the keys to our innovation. Such innovation requires personnel policies that allow employees to make their own decisions and take risks. Our company values and corporate culture promote teamwork and very open communication. We know that keeping employees satisfied leads directly to innovation, customer satisfaction, and ultimately, increased profits. Our employees are inspired and know they make a real difference.

This unique work environment has resulted in breakthrough technology, marketing and community achievements. For example, Xilinx continues to support local schools through our Stock for Students program and made a $1 million donation to the American Red Cross. Also, Xilinx was the first semiconductor company to simulcast training in North America and Europe through industry events like Programmable World 2002.

With a combination of innovative products, world-class partners, inspired employees and the recognition of the balance between business and community, our clients have taken Xilinx solutions, management, and employees to heart. This is a reminder that good people ultimately do come in first when they are inspired and empowered to be leaders.

1.4.2. Solution and Products

Silicon Products & SolutionsVirtex-4 FPGAs Spartan-3 FPGAsEasyPath Solutions Spartan-IIE FPGAsVirtex-II Pro & Virtex-II Pro X FPGAs

CPLD Portfolio

Virtex-II FPGAs Configuration SolutionsRocketPHY 10 Gbps Transceivers  

Services

29

Page 30: BC11 - Cac Cong Nghe Thiet Ke IC

Xilinx Design Services XPA Packaged SolutionsEducation Services Premium SupportTitanium Dedicated Engineering 3rd Party Resources

Design ResourcesIP Center ISE Design ToolsMemory Corner ChipScope ProDSP Central PlanAhead FloorplannerProcessor Central Development Boards Connectivity Central Embedded Software Design

  High Speed Serial Solutions    

Corporate SolutionsQuality Low Cost SolutionsPb-Free Solutions Product Demos, featuringProcess Technology   Dr. Chris Dick on DSPEnvironmental, Health & Safety    

30

Page 31: BC11 - Cac Cong Nghe Thiet Ke IC

2. Các nhà cung cấp công nghệ đo lường thử nghiệm IC2.1 Agilent Technologies2.1.1. Giíi thiÖu vÒ h·ng Agilent Technologies

Agilent Technologies lµ mét trong nh÷ng h·ng hµng ®Çu trong c¸c lÜnh vùc viÔn th«ng vµ khoa häc phôc vô ®êi sèng. Tõ lÜnh vùc viÔn th«ng c¸p quang vµ kh«ng d©y ®Õn nghiªn cøu Y häc vµ Nghiªn cøu kh¸m ph¸, Agilent ®a c¸c s¶n phÈm vµ c«ng nghÖ míi nhÊt cña m×nh tíi kh¸ch hµng trªn toµn thÕ giíi. C¸c c«ng ty hµng ®Çu thÕ giíi nh: c¸c nhµ s¶n xuÊt

thiÕt bÞ viÓn th«ng, c¸c nhµ cung cÊp dÞch vÞ Internet, vµ c¸c c«ng ty chuyªn vÒ lÜnh vùc ho¸ dîc, thiÕt bÞ y tÕ vµ c¸c ngµnh, lÜnh vùc réng lín kh¸c dùa trªn vµ ®Æt niÌm tin vµo trªn 20.000 c¸c thiÕt bÞ thö nghiÖm, thiÕt bÞ ®o lêng, thiÕt bÞ gi¸m s¸t, c¸c s¶n phÈm b¸n dÉn, c¸c c«ng cô ph©n tÝch ho¸ häc ®Ó chî gióp c¸c ®iÒu khiÓn giao tiÕp vµ khoa häc phôc vô ®êi sèng. Vµ tÊt c¶ c¸c c¸c nghiªn cøu, c¸c thiÕt bÞ, c¸c c«ng nghÖ vµ c¸c cèng hiÕn cña Agilent lu«n mong mái ®em ®Õn cho nh©n lo¹i mét thÕ giíi hiÖn ®¹i h¬n.

Agilent ®· cung cÊp s¶n phÈm cho h¬n 40

quèc gia trªn thÕ giíi vµ ph¸t triÓn s¶n xuÊt c¸c s¶n phÈm cña m×nh t¹i Mü, Trung Quèc, §øc, NhËt B¶n, Singapore, Australia vµ Anh Quèc. Ngoµi c¸c trô së phßng thÝ nghiÖm chÝnh t¹i Palo Alto, California, Agilent cßn cã c¸c phßng thÝ nghiÖm kh¸c ®Æt ngay t¹i Mizonkuchi, Japan; South Queensferry, Scotland; vµ B¾c Kinh, Trung Quèc.

Víi xÊp xØ 43.000 nh©n viªn trªn thÕ giíi, h·ng Agilent ®ang kh«ng ngõng phôc vô c¸c kh¸ch hµng trªn 120 quèc gia. H¬n mét nöa kho¶n l·i dßng (net revenue) Agilent thu ®îc lµ ngoµi níc Mü.

Tinh thÇn tiªn phong cña Agilent ®· ®îc x¸c

®Þnh ngay tõ nh÷ng n¨m 40, khi hai kü s - Bill Hewlett vµ Dave Packard - s¸ng t¹o ra t-¬ng lai ngay trong garage « t« cña hä.

Vµo n¨m 1999, Agilent ®· t¸ch ra khái Hewlett-Packard nhng Agilent vÉn duy tr× c¸c nghuyªn t¾c cña hai nhµ s¸ng lËp. H·ng vÉn tiÕp tôc ®æi míi c¸c lÜnh vùc ho¹t ®éng vµ ®¹t ®îc nhiÒu thµnh c«ng trong nhiÒu lÜnh vùc gãp phÇn h×nh thµnh nªn thÕ giíi hiÖn ®¹i.

Tong khi chóng t«i ph¸t triÓn bá xa h·ng HP, chóng t«i vÉn

31

Page 32: BC11 - Cac Cong Nghe Thiet Ke IC

Chóng t«i míi thiÕt lËp c¸c trô së ë Palo Alto, California, ®©y còng chÝnh lµ trô së ®Çu tiªn cña Hewlett-Packard, n¬i mµ HP vËn hµnh bé m¸y nghiªn cøu, ph¸t triªn vµ s¶n xuÊt.

tiÕp tôc ®i theo con ®êng ®· ®îc Bill vµ Dave v¹ch ra: toµn vÑn, ®oµn kÕt, niÒm tin, t«n träng, tinh thÇn tËp thÓ.

S¶n phÈm vµ dÞch vô

Agilent Technologies lµ c«ng ty hµng ®Çu trong lÜnh vùc thiÕt kÐ, ph¸t triÓn, chÕ t¹o vµ cung cÊp c¸c hÖ thèng tÝch hîp c¸c gi¶i ph¸p kiÓm tra ®iÖn tö vµ quang häc, c¸c thiÕt bÞ ®o lêng vµ gi¸m s¸t.

C¸c s¶n phÈm kiÓm tra vµ ®o lêng

Agilent lµ lùa chän hµng ®Çu cho c¸c c«ng ty trong c«ng nghiÖp b¸n dÉn, ®iÖn tö, truyÒn th«ng. Agilent cung cÊp c¸c gi¶i ph¸p kiÓm tra toµn diÖn, bao gåm c¸c hÖ thèng kiÓm tra, c¸c thiÕt bÞ kiÓm tra tù ®éng, c¸c c«ng cô phÇn mÒm, c¸c thiÕt bÞ kiÓm so¸t vµ qu¶n lý ®iÖn tö. C¸c lÜnh vùc kiÓm tra vµ ®o lêng

chÝnh bao gåm:

TruyÒn th«ng kh«ng d©y: KiÓm tra c¸c tr¹m di ®éng, Bluetooth, kiÓm tra nhËn vµ ph¸t tÝn hiÖu, tèi u m¹ng truyÒn th«ng.

M¹ng truyÒn th«ng:Ph©n tÝch giao thøc, ISDN, c¸p quang, LAN/WAN, IP, Ethernet, Internet, Fax/Voice/Video, ph©n tÝch truyÒn th«ng, kiÓm tra gi¸m s¸t cµi ®Æt.

TruyÒn th«ng b¨ng réng, vÖ tinh, c¸p vµ TV:DSL, vÖ tinh, TV c¸p, truy xuÊt b¨ng th«ng réng, kiÓm tra tÝn hiÖu video sè ..

Bé ®Õm, bé sinh hµm, ®ång hå ®o LCR, ®ång hå ®a tham sè:Bé ®Õm, bé thu d÷ liÖu, ®ång hå ®a tham sè / v«n kÕ, LCR vµ ®iÖn trë kÕ ...

KiÓm tra vµ gi¸m s¸t lçi c¸c gi¶i ph¸p sè vµ t¬ng tù:M¸y dß xung, bé ph©n tÝch logic, bé sinh d÷ liÖu, kiÓm tra thiÕt kÕ ...

32

Page 33: BC11 - Cac Cong Nghe Thiet Ke IC

C¸c thiÕt bÞ vµ hÖ thèng truyÒn th«ng b»ng ¸nh s¸ng:KiÓm tra c¸c cÊu kiÖn quang häc, bé chuyÓn ®æi quang häc, ph©n tÝch truyÒn th«ng, thiÕt bÞ ®o bíc sãng, chuyÓn m¹ch

C¸c thiÕt bÞ vµ hÖ thèng vi sãng:Bé khuyÕch ®¹i, bé ph©n tÝch nhiÔu, bé sinh tÝn hiÖu, bé ph©n tÝch m¹ng vµ tÝn hiÖu.

KiÓm tra c¸c s¶n phÈm b¸n dÉn vµ m¹ch in:KiÓm tra b»ng quang häc vµ tia X, kiÓm tra m¹ch trong khi ho¹t ®éng, kiÓm tra chøc n¨ng, kiÓm tra tham sè, kiÓm tra bé nhí, kiÓm tra IC kh«ng d©y, kiÓm tra chip tÝch hîp.

C¸c gi¶i ph¸p ®o lêng ®Æc biÖt: ®Þnh vÞ kho¶ng c¸ch chÝnh x¸c, tÊn sè / thêi gian, c¬ khÝ / vËt lý:Bé ph©n tÝch tÝn hiÖu ®éng, bé nhiÔu lase, c¸c hÖ thèng c©n chØnh, bé tÇn sè chuÈn.

Phô tïng, c¸p:Phô tïng, gi¾c c¾m, xe kiÓm tra lu ®éng ...

C¸c s¶n phÈm sinh ho¸ häc

Agilent lµ nhµ cung cÊp hµng ®Çu c¸c thiÕt bÞ cho c«ng nghiÖp sinh dîc häc, m«i trêng vµ c«ng nghiÖp ho¸ chÊt trªn toµn thÕ giíi. C«ng ty cung cÊp toµn bé c¸c thiÕt bÞ, hÖ thèng còng nh dÞch vô cho phÐp thu thËp vµ ph©n tÝch c¸c kÕt qu¶ nghiªn cøu sinh häc (gien) còng nh ho¸ häc, tõ lÊy mÉu cho tíi ph©n tÝch vµ lËp b¸o c¸o. Agilent lu«n cè g¾ng cung cÊp c¸c thiÕt bÞ cã hiÖu suÊt

cao, chi phÝ thÊp vµ dÔ sö dông so víi c¸c s¶n phÈm th«ng thêng cïng lo¹i.

C¸c gi¶i ph¸p truyÒn th«ng

Agilent Technologies cung cÊp c¸c c«ng nghÖ, c¸c tiÖn Ých còng nh c¸c dÞch vô t vÊn - chuyªn gia cho phÐp c¸c c«ng ty hµng ®Çu vÒ truyÒn th«ng n¾m b¾t c¬ héi vµ ®ñ søc c¹nh tranh trong m«i trêng khèc liÖt. Víi kinh nghiÖm lau n¨m vµ c«ng

33

Page 34: BC11 - Cac Cong Nghe Thiet Ke IC

nghÖ hµng ®Çu, Agilent cã thÓ gióp c¸c c«ng ty gi¶m gi¸ thµnh, t¨ng lîi nhuËn vµ chiÕm lÜnh thÞ trêng.

C¸c s¶n phÈm b¸n dÉn

Agilent lµ nhµ cung cÊp hµng ®µu c¸c gi¶i ph¸p b¸n dÉn cho truyÒn th«ng v« tuyÕn vµ h÷u tuyÕn, xö lý th«ng tin, h×nh ¶nh, ®Þnh vÞ quang häc vµ c¸c s¶n phÈm chiÕu s¸ng thÓ r¾n, bao gåm c¸p quang, adapter nãng, hång ngo¹i, gi¶i ph¸p kÕt nèi

di ®éng, chuyÓn m¹ch quang, IC líp vËt lý vµ IC líp giao thøc, vi sãng ...

2.1.2 Products

Test & Measurement EquipmentBasic & General Purpose Instruments RF & Microwave Instruments & Systems Wireless Communications Oscilloscopes, Logic Analyzers & Digital Test Test Systems & System Components

Test & Measurement Software and Connectivity EDA Software Precision Measurement - Distance, Time, Frequency, Optics, Physical Lightwave & Photonic Measurement Solutions Wireline Communications Test

Test Accessories, Cabinets, Cables Repair, Calibration & Applications Services Used Agilent T&M Equipment Discontinued Test & Measurement Equipment [Discontinued]

Semiconductor ProductsASICs Camera Modules and Imaging Products Fiber Optics Fibre Channel Adapters Illumination and Color Management

Infrared and Barcode LEDs (Light Emitting Diodes) Motion Control Optical Navigation Optocoupler

Physical Layer ICs Protocol IC RF & Microwave Switch & Bridge ICs (Infiniband, PCI Express)

Life Sciences/ChemicalCapillary Electrophoresis Columns & Accessories Data Systems DNA Microarrays

GC & GC/MS ICP-MS Lab-on-a-Chip LC & LC/MS

Services Technical Support UV-Vis

Communications Management & Test SystemsOSS Network, Revenue & Wireline Communications Lightwave & Photonic

34

Page 35: BC11 - Cac Cong Nghe Thiet Ke IC

Service Management Solutions Wireless Communications

Test Enterprise Solutions

Measurement Solutions Communications Services and Support

Automated Test Equipment (ATE)Semiconductor Test Equipment Flat Panel Display Test System-on-a-Chip (SOC) Test on the 93000 SOC Series Memory Test Parametric Test

RFIC Test Semiconductor Test Services Printed Circuit Board Test and Inspection Automated Optical Inspection Automated X-ray Inspection

In-circuit Test Lead-Free Test and Inspection Manufacturing Test Software Solutions PCB Test and Inspection Services

35

Page 36: BC11 - Cac Cong Nghe Thiet Ke IC

2.2 National Instrument2.2.1. Overview National Instruments is a technology pioneer and leader in virtual instrumentation -- a revolutionary concept that has changed the way engineers and scientists approach measurement and automation. Leveraging the PC and its related technologies, virtual instrumentation increases productivity and lowers costs for customers worldwide through easy-to-integrate software, such as the NI LabVIEW graphical development environment, and modular hardware, such as PXI modules for data acquisition, instrument control and machine vision. NI customers include engineers, scientists, and technical professionals in a wide range of industries. From testing DVD recorders to researching advanced medicines, customers use NI software and hardware to deliver a diverse set of products, faster and at a lower cost.

2.2.2 SolutionsNI offers a wide assortment of tools, bundles, and services to help you build the best solution for your application needs.

Industry Solutions -- Learn how engineers in your industry are solving applications using our tools. Get a jump start on your next application using our common configuration product bundles.

Aerospace Automotive Life Sciences

Industrial Measurements and Control

Communication s

Electronics

Semiconductor

Academic Programs -- Check out our special academic products and programs for educators and researchers. Share ideas and courseware with others in the academic community.

Alliance Program -- Search for a systems integrator to build you a customized solution. Browse for third-party systems, services, and products based on our tools.

Customer Solutions -- Read technical accounts of real-world solutions from our customers.

ELECTRONICSFrom research and development to manufacturing and ATE applications, LabVIEW, PXI, data acquisition, and signal conditioning help you develop complete, customized measurement and automation solutions.

Recommended ConfigurationsGet a head start on creating your electronics test solution with the NI Sound

36

Page 37: BC11 - Cac Cong Nghe Thiet Ke IC

Power System, a low-cost, ready-to-run solution for noise emission testing in free-field test environments.

NI Display Test -- based on NI hardware and software products such as PXI, IMAQ Vision, TestStand, and LabVIEW, you can quickly and accurately inspect flat panel displays. With NI's flexible computer-based approach, you can easily adapt to changing market and technological demands.

Electronics Customer Applications

Electronics solutions developed by National Instruments Alliance Program members:

- Alfautomazione - Multimedia Test System

- t.e.s.t TCM - Temperature Chamber Monitoring SystemTempVIEW - Full Surface Thermal Imaging SystemVektrex DTR6/8 - Automated Recorder Test System

SEMICONDUCTORFrom circuit and device testing to factory automation applications, LabVIEW, image acquisition, data acquisition, and signal conditioning help you develop complete, customized measurement and automation solutions.

Recommended ConfigurationsGet a head start on creating your semiconductor test solution. We've developed configurations for the following semiconductor test applications:

- Wafer Thickness Test

- Semiconductor Test

- Thin-Film Deposition Control

- Semiconductor Customer Applications

Semiconductor solutions developed by National Instruments Alliance Program members:

- t.e.s.t TCM - Temperature Chamber Monitoring System

- Intelligent Process Control - Materials and Chemical Processing Solution TempVIEW - Full Surface Thermal Imaging System

Prober Drivers - Electroglas prober driver by Cal-Bay Systems -- LabVIEW driver that

supports the most utilized commands for Electroglas 20xx series probe stations

- Cascade Prober Driver by MicroSys - Karl Suss prober driver by Bloomy Controls - Lucas Signatone Prober Driver by ABCDEFirm --The LabVIEW Prober

Interface was written by ABCDEF specifically for control of the Signatone probers. The Interface is compatible with National Instruments LabVIEW 6.0 and higher. DDE, GPIB and ActiveX

37

Page 38: BC11 - Cac Cong Nghe Thiet Ke IC

versions are available. The DDE and ActiveX versions allow the computer controlling the prober to also perform test functions. Alternatively, a separate computer with a GPIB interface allows greater power dedicated to the test processing.

2.2.3 Products and Service

Measurement and Automation Software

Data Acquisition (DAQ)

Modular Instruments

Real-Time Measurement and Control

Signal Conditioning

Switches

Industrial Control and Distributed I/O

Machine Vision

Motion Controllers and Motor Drives

PXI and CompactPCI

GPIB, Serial, and Instrument Control

Sound and Vibration Measurement and Analysis

VXI and VME

38

Page 39: BC11 - Cac Cong Nghe Thiet Ke IC

Industrial Communications

Services and Training

Temperature Measurements

Reconfigurable I/O Control and Acquisition

Academic Products

39

Page 40: BC11 - Cac Cong Nghe Thiet Ke IC

2.3 Qual mark2.3.1 OverviewSPECIFIC SOLUTIONS TO MEET SPECIFIC NEEDS

QualMark Corporation was founded specifically to provide the electronic manufacturing industry with Accelerated Testing equipment and services that result in bringing product to market on time with reduced design and warranty costs, and improved reliability.

KNOWLEDGE LEADER IN ACCELERATED TESTING METHODS

QualMark products and services are designed and refined as a result of continual and extensive research and development. With more than 4,000 tests conducted in QualMark's lab facilities, and installation and maintenance of over 500 chambers in 18 countries, QualMark has earned the position as the Knowledge Leader in Accelerated Testing Methods.

MAKING SURE YOU KEEP PACE WITH TECHNOLOGY ADVANCEMENTS

Some of the biggest manufactures of consumer products, some members of the Fortune 500 and the Fortune 1000 such as Dell, Compaq, Ericsson, Hewitt Packard, IBM, Samsung, and Microsoft, have partnered with QualMark to implement and manage corporate-wide testing programs - Programs driven by market demand to provide reliable products whose timely releases keep pace with technology advancements.

Learn more about QualMark's Accelerated Testing product and services.

2.3.2 Solution and productsQualMark was founded specifically to provide the industry with Accelerated Testing systems that have a unique combination of high rate, liquid nitrogen cooled thermal systems and wide bandwidth, and six degree of freedom vibration. Throughout the years our continuous engineering efforts have improved the vibration and thermal characteristics of the systems while making them more economical to operate.

QUALMARK TYPHOON CHAMBERS

QualMark's line of Typhoon Chambers incorporate liquid nitrogen cooling into a high ramp rate thermal system, with an integral six degree of freedom repetitive shock vibration system. From our smallest Typhoon-1.5 system, with a 18" x 18" vibration table, to our largest Typhoon-4.0, with a 48" x 48" vibration table, they all use QualMark's control system to guarantee ease of use. We also offer customer size and customer configured systems.

A size to fit your needsTyphoon-1.5 with a 18" x 18" vibration tableTyphoon-2.0 with a 24" x 24" vibration tableTyphoon-2.5 with a 30" x 30" vibration table

40

Page 41: BC11 - Cac Cong Nghe Thiet Ke IC

Typhoon-3.0 with a 36" x 36" vibration tableTyphoon-4.0 with a 48" x 48" vibration table

THE FLEXIBILITY OF A VIBRATION ONLY SYSTEMS

If you need a table-top vibration system for troubleshooting or depot repair, or want to add a small vibration system to an existing thermal chamber, then look at our Omni Vibration Table Top (OVTT) system. This 18" x 18" vibration table is housed in a patented sound reducing enclosure, making it suitable for use in a typical lab, but it can also be operated inside of a thermal chamber.

41