ザイリンクス xapp1097 : artix-7 fpga gtp トラン …...artix-7 gtp...

70
XAPP1097 (v1.0) 2013 12 5 japan.xilinx.com 1 © Copyright 2013 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brands included herein are trademarks of Xilinx in the United States and other countries. All other trademarks are the property of their respective owners. 概要 放送業界向けの映像機器には、 SMPTE (Society of Motion Picture and Television Engineers) SDI (Serial Digital Interface) 規格が広く使用されています。 このインターフェイスは、 放送局スタジオや映像制作 会社で使用されており、 非圧縮のデジタル映像と多重音声チャネルなどの埋め込まれた補助データを共 に伝送し ます。 ザイリンクスの SMPTE SD/HD/3G-SDI LogiCORE™ IP は、 デバイ ス固有の制御機能を持たない一般 的な SDI 受信/送信データパスです。 このアプ リ ケーシ ョ ン ノ ー ト では、 完全な SDI インターフェイス を構築するために、 Artix®-7 FPGA GTP ト ランシーバーと SMPTE SD/HD/3G-SDI LogiCORE IP 合わせて使用するための制御ロジッ クを含むモジュールを提供します。 また、 ザイ リ ンクスの Artix-7 FPGA AC701 評価ボード を使用する SDI の設計例をい く つか紹介し ます。 このアプリケーション ノート で使用される用語については、 66 ページの 「用語解説」 を参照してくだ さい。 SMPTE 規格は 69 ページの 「参考資料」 に一覧表示し、 SMPTE の資料番号も記載しています。 はじめに ザイリンクスの SMPTE SD/HD/3G-SDI LogiCORE IP (以下、SDI コアという ) は、Artix-7 FPGA GTP ト ラ ン シーバー と 接続す る こ と で、 SMPTE SD-SDIHD-SDI、 および 3G-SDI 規格をサポートする SDI インターフェイスを実装できます。 SDI コアと GTP ト ランシーバーを接続して完全な SDI イン ターフェイスを実装するには、 ロジックを追加する必要があります。 このアプリケーション ノートで は、 こ の付加的な制御 ロ ジ ッ ク と イ ン タ ー フ ェ イ ス ロジックについて説明し、必要となる制御モジュー ルおよびインターフェイス モジュールを Verilog VHDL の両言語で提供し ます。 デバ イ ス固有の制御 ロ ジ ッ ク の主な機能は次の と お り です。 GTP ト ラ ン シーバーの リ セ ッ ト ロジック 3 つの SDI 規格をサポートするために、GTP RX/TX シリアル ク ロ ッ ク 分周器を動的に切 り 換え る 機能 HD-SDI および 3G-SDI 規格の 2 つの異な る ビ ッ ト レート をサポートするために、 TX の基準ク ロ ッ ク を動的に切 り 換え る機能 1.485Gb/s および 1.485/1.001Gb/s (HD-SDI モー ド の場合) 2.97Gb/s および 2.97/1.001Gb/s (3G-SDI モー ド の場合) SD-SDI モードの場合にデータを回復させるデータ リカバリ ユニ ッ ト RX で受信しているビッ ト レート (1/1 ビット レート信号または 1/1.001 ビット レ ー ト 信号) を判 断する RX ビット レ ー ト 検出機能 このアプリケーション ノ ー ト は、 GTP ト ランシーバー用制御モジュールのインスタンスと SDI コアの インスタンス、およびそれらに必要な接続を含むラッパー ファイルも提供しています。 これを利用する こ と で、 SDI インターフェイスを簡単に構築できます。 このアプリケーション ノート で使用する用語を次に示します。 1 の簡略ブ ロ ッ ク 図では、 さ ま ざ ま な コ ンポーネン ト を組み合わせて SDI インターフェイスを形成していることを示しています。 SDI コアとは、 CORE Generator™ ツールまたは Vivado® IP カタログで生成される SMPTE SD/HD/3G-SDI コアのことをいいます。 アプリケーション ノート : Artix-7 ファミリ XAPP1097 (v1.0) 2013 12 5 Artix-7 FPGA GTP ト ランシーバーを使用した SMPTE SDI インターフェイスの実装 著者 : John Snow

Upload: others

Post on 24-Apr-2020

70 views

Category:

Documents


1 download

TRANSCRIPT

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 1

© Copyright 2013 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brands included herein are trademarks of Xilinx in the United States and other countries. All other trademarks are the property of their respective owners.

概要 放送業界向けの映像機器には、SMPTE (Society of Motion Picture and Television Engineers) SDI (SerialDigital Interface) 規格が広く使用されています。 このインターフェイスは、 放送局スタジオや映像制作

会社で使用されており、 非圧縮のデジタル映像と多重音声チャネルなどの埋め込まれた補助データを共

に伝送します。

ザイ リ ンクスの SMPTE SD/HD/3G-SDI LogiCORE™ IP は、 デバイス固有の制御機能を持たない一般

的な SDI 受信/送信データパスです。 このアプリ ケーシ ョ ン ノートでは、完全な SDI インターフェイス

を構築するために、 Artix®-7 FPGA GTP ト ランシーバーと SMPTE SD/HD/3G-SDI LogiCORE IP を合わせて使用するための制御ロジッ クを含むモジュールを提供します。 また、 ザイ リ ンクスの Artix-7FPGA AC701 評価ボードを使用する SDI の設計例をいくつか紹介します。

このアプ リ ケーシ ョ ン ノートで使用される用語については、 66 ページの 「用語解説」 を参照して くだ

さい。 SMPTE 規格は 69 ページの 「参考資料」 に一覧表示し、 SMPTE の資料番号も記載しています。

はじめに ザイ リ ンクスの SMPTE SD/HD/3G-SDI LogiCORE IP (以下、SDI コアという ) は、Artix-7 FPGA GTPト ランシーバーと接続する こ とで、 SMPTE SD-SDI、 HD-SDI、 および 3G-SDI 規格をサポートする

SDI インターフェイスを実装できます。 SDI コアと GTP ト ランシーバーを接続して完全な SDI イン

ターフェイスを実装するには、 ロジッ クを追加する必要があ り ます。 このアプ リ ケーシ ョ ン ノー ト で

は、 この付加的な制御ロジッ ク と インターフェイス ロジッ クについて説明し、必要となる制御モジュー

ルおよびインターフェイス モジュールを Verilog と VHDL の両言語で提供します。

デバイス固有の制御ロジッ クの主な機能は次のとおりです。

• GTP ト ランシーバーのリセッ ト ロジッ ク

• 3 つの SDI 規格をサポートするために、GTP RX/TX シ リ アル ク ロ ッ ク分周器を動的に切り換える

機能

• HD-SDI および 3G-SDI 規格の 2 つの異なるビッ ト レート をサポートするために、 TX の基準ク

ロ ッ クを動的に切り換える機能

• 1.485Gb/s および 1.485/1.001Gb/s (HD-SDI モードの場合)

• 2.97Gb/s および 2.97/1.001Gb/s (3G-SDI モードの場合)

• SD-SDI モードの場合にデータを回復させるデータ リ カバリ ユニッ ト

• RX で受信しているビッ ト レート (1/1 ビッ ト レート信号または 1/1.001 ビッ ト レート信号) を判

断する RX ビッ ト レート検出機能

このアプリ ケーシ ョ ン ノートは、 GTP ト ランシーバー用制御モジュールのインスタンス と SDI コアの

インスタンス、およびそれらに必要な接続を含むラ ッパー ファ イルも提供しています。 これを利用する

こ とで、 SDI インターフェイスを簡単に構築できます。

このアプリ ケーシ ョ ン ノートで使用する用語を次に示します。図 1 の簡略ブロッ ク図では、 さまざまな

コンポーネン ト を組み合わせて SDI インターフェイスを形成しているこ とを示しています。

• SDI コアとは、 CORE Generator™ ツールまたは Vivado® IP カタログで生成される SMPTESD/HD/3G-SDI コアのこ とをいいます。

アプリケーシ ョ ン ノート : Artix-7 ファ ミ リ

XAPP1097 (v1.0) 2013 年 12 月 5 日

Artix-7 FPGA GTP ト ランシーバーを使用した SMPTE SDI インターフェイスの実装著者 : John Snow

はじめに

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 2

• 制御モジュールとは、 GTP ト ランシーバーが SMPTE SDI コアを使用して SDI インターフェイス

を実装する際に必要となるさまざまなデバイス固有の機能を実装するモジュールです。 このアプリ

ケーシ ョ ン ノートでは、 制御モジュールをソース コード形式で提供します。

• SDI ラ ッパーは、 SDI コアや制御モジュールをインスタンシエート して相互接続するためのラ ッ

パー モジュールです。 このアプリ ケーシ ョ ン ノートでは、 SDI ラ ッパーをソース コード形式で提

供します。

• GTP ラ ッパーは、 7 Series FPGAs Transceivers Wizard (CORE Generator および Vivado IP カタロ

グで利用可能) で生成された GTP ト ランシーバー用のラ ッパー ファ イルです。

• GTP コモン ラ ッパーは、 7 Series FPGAs Transceivers Wizard で生成された GTP ト ランシーバー

コモン ブロ ッ ク用のラ ッパー ファ イルです。 このラ ッパー ファ イルには、 クワ ッ ド内の GTP ト ラ

ンシーバーにシ リ アル ク ロ ッ クを供給する 2 つの PLL が含まれています。

図 1 について説明します。

1. これらの 40 ビッ ト バスは、実際には 4 つのバスで構成されており、10 ビッ ト幅のバスがぞれぞれ

異なる SDI データ ス ト リームを伝搬します。アクティブなデータ ス ト リーム数、つま りバス数は、

SDI モードによって異なり ます。 たとえば、 SD-SDI モードでは 10 ビッ トのデータ ス ト リームが

1 つしかアクティブになり ませんが、 HD-SDI モードではそれが 2 つアクティブになり ます。

2. オプシ ョ ンのオーディオ エンベッダーは単独コアであ り、 SDI コアには含まれていません。 また、

このアプリ ケーシ ョ ン ノートでは説明していません。

X-Ref Target - Figure 1

図 1 : 完成した SDI RX/TX インターフェイスのブロック図

X1097_01_103013

SDI Out

BUFG

BUFG

SMPTESDI Core

Optional AudioEmbedder

(2)

SDI Wrapper GTP Wrapper

Artix-7 FPGA

ControlModule

Reference ClockSource

Cable Driver

txusrclk

rxusrclk

txusrclk2

rxusrclk2

txdata

rxdata

Resets and Control

Status

txoutclk

rxoutclk

Cable Equalizer

SDI InEQ

40 (1)

148.5 MHz 148.5/1.001 MHz

32

2

20

40 (1)

TX Clock

RX Clock

TX Video

RX Video

TX ST352 PID

RX ST352 PID

TX SDI Mode

TX Control

RX Status

RX SDI Mode

40 (1) 40 (1)

32

2

20

GTP CommonWrapper

Artix-7 GTP ト ランシーバーを使用して SDI インターフェイスを実現

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 3

SDI ラ ッパーには、制御モジュールのインスタンス と SDI コアのインスタンスが 1 つずつ含まれていま

す。 SDI コアには、 SDI RX データパス と SDI TX データパスの両方が含まれます。 通常、 ラ ッパー モジュールは同じ GTP ト ランシーバー内の GTP RX/TX ユニッ トへ接続されますが、 この場合はこれに

該当しません。 異なる GTP ト ランシーバーの RX/TX ユニッ ト を同じ SDI ラ ッパーへ接続できます。

SDI RX のみ、 あるいは SDI TX のみ必要な場合は、合成段階で制御モジュールと SDI コアの未使用部

分が 適化によって除去されます。

このアプリ ケーシ ョ ン ノートでは、SDI コアを使用する 2 つのデモ アプリ ケーシ ョ ン例を紹介します。

これらのアプ リ ケーシ ョ ンは AC701 評価ボード を使用して実行されます。 SDI の物理的なインター

フェイス と して、 Inrevium 社製 SDI FPGA メザニン カード (FMC) も必要です。

Artix-7 GTP ト ランシーバーを使用して SDI インターフェイスを実現

このセクシ ョ ンでは、 『7 シ リーズ FPGA GTP ト ランシーバー ユーザー ガイ ド』 (UG482) を補足する

内容を提供します。 特に SDI アプリ ケーシ ョ ンにおいて重要な GTP ト ランシーバーの特長および動作

要件について説明します。

このアプリ ケーシ ョ ン ノートの GTP ト ランシーバー ポートに関しては、『7 シ リーズ FPGA GTP ト ラ

ンシーバー ユーザー ガイ ド』 で使用されている命名規則に従います。この規則は、ポートのベース ネー

ムにのみ使用します。 GTP ラ ッパーの作成に 7 Series FPGAs Transceivers Wizard (以下、 ウ ィザード

という ) を使用した場合、 すべての入力ポートには、 名前の後ろに _in が追加され、 すべての出力ポー

トには _out が追加されます。 たとえば、 このアプ リ ケーシ ョ ン内で txrate ポート名について言及する

場合、 GTP ラ ッパー内での実際の名前は、 GTP ト ランシーバーの txrate ポートでは txrate_in とな り ま

す。

バージ ョ ン 3.0 以降のウ ィザードでは、 上位 GTP ラ ッパーのすべての GTP ポート名が小文字で示さ

れますが、 これは Vivado ツールを使用した場合のみです。 ISE® ツール バージ ョ ンのウ ィザードでは、

ポート名はすべて大文字で示されます。 このアプリ ケーシ ョ ン ノートの GTP ポート名はすべて小文字

で示されています。デモンス ト レーシ ョ ンのソース コード ファ イルは、 Vivado および ISE の両ツール

と互換性があるバージ ョ ンで提供されます。 また、GTP ポート名についてはツールに応じて適宜大文字

または小文字が使用されます。

バージ ョ ン 3.0 以降のウ ィザードでは、 GTP クワ ッ ド用の 2 つの PLL を含む GTP コモン ラ ッパーは

独立しており、 メ インの GTP ラ ッパーには含まれません。 これは、 Vivado ツールの場合のみで、 ISEツールには該当しません。

GTP ト ランシーバーを使用するアプリ ケーシ ョ ンには、 さまざまなク ロ ッ クがあ り ます。 データ ス ト

リームにデータを追加した り削除してク ロ ッ クを補正できない SDI プロ ト コルの場合は、 アプ リ ケー

シ ョ ン内でク ロ ッ クがどのよ うに生成および使用されるかに細心の注意が必要です。 GTP ト ランシー

バーを駆動するには基準クロ ッ クが必要です。 GTP クワ ッ ドにある PLL (位相ロッ ク ループ) が基準ク

ロ ッ ク を使用して、 各 ト ランシーバーの受信部および送信部用のシ リ アル ク ロ ッ ク を生成し ます。

4 ページの 「GTP 基準クロ ッ ク」 で詳し く説明するよ うに、 GTP ト ランス ミ ッ ターのシ リ アル ビッ ト

レートは、供給される基準クロ ッ クの整数倍とな り ます。 さ らに、 SDI ト ランス ミ ッ ター データパスの

入力に与えられるビデオ データ レートは、 GTP ト ランス ミ ッ ターで使用される基準クロ ッ ク周波数と

正確に一致する (または正確な整数倍となる) 必要があ り ます。したがって、送信されるビデオ ス ト リー

ムのデータ レートへ周波数が確実に固定するよ うに、 ト ランス ミ ッ ターの基準クロ ッ クを生成する設計

を行う必要があ り ます。

GTP ト ランス ミ ッ ターは、 その txoutclk ポートへ供給されるデータのワード レート と まった く同じ周

波数のク ロ ッ クを txdata に出力します。 この txoutclk は、 シ リ アル ク ロ ッ クが PLL によってワード

レート と等し くなるよ うに分周されるこ とで、GTP ト ランス ミ ッ ター内で生成されます。大抵のアプリ

ケーシ ョ ンでは、 GTP ト ランシーバーからの txoutclk は、 グローバル (BUFG) または リージ ョナル

(BUFR) ク ロ ッ ク バッファーを介し、 その後 SDI ト ランス ミ ッ ター データ パスおよび GTP ト ランス

ミ ッ ターの txusrclk および txusrclk2 ク ロ ッ ク入力へのクロ ッ ク供給に使用されます。 txoutclk から直

接派生したクロ ッ ク以外も、SDI ト ランス ミ ッ ター データパスおよび GTP ト ランス ミ ッ ターの txusrclkポート と txusrclk2 ポー ト のク ロ ッ ク ソース と して使用できます。 GTP ト ランス ミ ッ ターの浅い TXバッファーは、 txdata ポートに供給されるデータ と GTP ト ランス ミ ッ ターの内部クロ ッ ク間の位相差

Artix-7 GTP ト ランシーバーを使用して SDI インターフェイスを実現

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 4

を許容します。 しかし、 入力されるデータ と GTP ト ランス ミ ッ ターの内部クロ ッ ク周波数 (txoutclk で表される) 間の周波数差は、即座に TX バッファーのアンダーフロー/オーバーフローを引き起こすため、

GTP ト ランス ミ ッ ターで生成されるシ リ アル ビッ ト ス ト リームにエラーが生じます。したがって、GTPト ランス ミ ッ ターの txdata ポートへ供給されるデータ レート (txusrclk および txusrclk2 ク ロ ッ クの周

波数で表される) と GTP ト ランス ミ ッ ターの内部データ レート ( ト ランス ミ ッ ターの基準クロ ッ クで設

定され、 xoutclk 周波数で表される) は、 正確に一致させる必要があ り ます。

ただし、 GTP レシーバーの基準クロ ッ クは入力される SDI 信号のビッ ト レート と正確な関係を持つ必

要はあ り ません。 これは、GTP レシーバーのクロ ッ ク データ リ カバリ (CDR) ユニッ トが、基準クロ ッ

ク周波数による設定どおりに公称ビッ ト レートから 大 ±1250ppm でビッ ト レート を受信できるため

です。 このため、入力される SDI 信号と正確な周波数関係を持たないローカルのオシレーターでレシー

バー基準クロ ッ クが生成可能になり ます。 GTP レシーバーは、 入力される SDI ビッ ト レートに周波数

ロッ ク された リ カバリ ク ロ ッ クを生成します。 このク ロ ッ クは、 GTP ト ランシーバーの rxoutclk ポー

トの出力です。 このアプリ ケーシ ョ ン ノートで後ほど詳し く説明しますが、 rxoutclk は、 HD-SDI およ

び 3G-SDI 信号を受信する際の真のリ カバリ ク ロ ッ クであ り、 SD-SDI 信号を受信する際のクロ ッ クで

はあ り ません。 通常、 rxoutclk は、 グローバルまたはリージ ョナル バッファーを介し、 その後 GTP レシーバーの rxusrclk および rxusrclk2 ポートへ供給されて、SDI レシーバー データパス用のクロ ッ ク と

して使用されます。

SDI アプ リ ケーシ ョ ンには、 も う 1 つク ロ ッ クが必要です。 これはフ リーランニングの固定周波数ク

ロ ッ クであ り、DRP ト ランシーバーの DRP (ダイナミ ッ ク リ コンフ ィギュレーシ ョ ン ポート ) 用クロ ッ

ク と して使用されます。通常、 これと同じクロ ッ クが SDI ラ ッパーの制御モジュールに供給され、 タイ

ミ ング制御に使用されます。 ザイ リ ンクスでは 10MHz 以上にするこ とを推奨しています。 このクロ ッ

クの周波数は、SDI アプリ ケーシ ョ ンのその他のクロ ッ クやデータ レートに対して特定の関連性を持つ

必要はあ り ません。 SDI モードを変更した際に、 このクロ ッ クの周波数を変更しないでください。 常に

同じ公称周波数で動作する必要があ り ます。 また、 SDI アプリ ケーシ ョ ンがアクティブな間は停止させ

るこ と もできません。 このクロ ッ クは、 デバイス内のすべての SDI インターフェイスで使用可能です。

GTP 基準クロック

Artix-7 FPGA GTP ト ラ ンシーバーは、 ク ワ ッ ド に分け られています。 各ク ワ ッ ド には 4 つの

GTPE2_CHANNEL ト ラ ンシーバー プ リ ミ テ ィ ブ、 および 2 つの PLL (PLL0 と PLL1) を含む

GTPE2_COMMON プリ ミ ティブが 1 つあ り ます (図 2 を参照)。 これら 2 つの PLL からのクロ ッ クの

みが、そのクワッ ドにある 4 つのレシーバーと 4 つのト ランシーバーすべてに対してシ リ アル ク ロ ッ ク

と して使用できます。後で説明しますが、これによって SDI アプリ ケーシ ョ ンに一部の限界が生じます。

クワ ッ ド内の各レシーバーおよびト ランシーバー ユニッ トでは、 PLL0 または PLL1 のいずれかからの

ク ロ ッ クを使用するかを個別に設定できます。 さ らに、 これらのユニッ トはシ リ アル ク ロ ッ ク ソース

を PLL0 と PLL1 間で動的に切り替え可能です。 この動的切り換え機能は、 SDI アプリ ケーシ ョ ンに特

に有用です。

Artix-7 GTP ト ランシーバーを使用して SDI インターフェイスを実現

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 5

一般的な SDI アプ リ ケーシ ョ ンでは、 5 つの異なるビッ ト レー ト をサポートするために GTP ト ラン

シーバーが必要です。

• 270Mb/s (SD-SDI の場合)

• 1.485Gb/s (HD-SDI の場合)

• 1.485/1.001Gb/s (~ 1.4835Gb/s) (HD-SDI の場合)

• 2.97Gb/s (3G-SDI の場合)

• 2.97/1.001Gb/s (~ 2.967Gb/s) (3G-SDI の場合)

GTP ト ランシーバーの RX 部に含まれる CDR ユニッ トは、 基準周波数から 大 ±1250ppm のビッ ト

レート を受信できます。 HD-SDI の 2 つのビッ ト レートの差は正確に 1000ppm であ り、同様に 2 つの

3G-SDI ビッ ト レートの差も 1000ppm であるため、 1 つの基準クロ ッ ク周波数を用いて 5 つすべての

SDI ビッ ト レート を受信できます。

一方 、 GTP ト ランシーバーの TX 部では、 5 つすべての SDI ビッ ト レート をサポートするために 2 つの基準周波数が必要です。 これは、 ト ランス ミ ッ ターは通常は供給される基準クロ ッ ク周波数の正確な

整数倍でのみ送信可能であるためです。 このため、 ほとんどの SDI アプリ ケーシ ョ ンでは、 2 つの基準

X-Ref Target - Figure 2

図 2 : GTP ト ランシーバーのクワッ ド コンフ ィギュレーシ ョ ン

X1097_02_081513

RX TX

GTPE2_CHANNEL

RX TX

GTPE2_CHANNEL

PLL0

RX TX

GTPE2_CHANNEL

GTPE2_COMMON

REFCLK Distribution

PLL1

RX TX

GTPE2_CHANNEL

IBUFDS_GTE2 IBUFDS_GTE2

Artix-7 GTP ト ランシーバーを使用して SDI インターフェイスを実現

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 6

ク ロ ッ クを GTP クワ ッ ドへ供給します。 これらのクロ ッ クのいずれか一方を RX の基準クロ ッ ク と し

て使用し、 両方を TX の基準ク ロ ッ ク と して使用します。 通常、 2 つの基準ク ロ ッ クの組み合わせは、

148.5MHz と 148.5/1.001MHz です。

GTP の基準クロ ッ ク ソースは、アプリ ケーシ ョ ンによって大き く異な り ます。レシーバーの基準クロ ッ

ク ソースは、入力される SDI ビッ ト レート と正確に一致する必要がないため、ローカルのオシレーター

で対応できます。 一方、 GTP ト ランス ミ ッ ターのライン レートは、 常に基準ク ロ ッ ク周波数の整数倍

であるため、 ト ランス ミ ッ ターの基準クロ ッ ク周波数は、送信されるデータのデータ レート と正確な関

係を持つ必要があ り ます。 ほとんどの場合、 ト ランス ミ ッ ターの基準ク ロ ッ クはゲンロ ッ ク PLL で生

成されるため、 スタジオのビデオ基準信号から GTP ト ランス ミ ッ ター ライン レート を生成します。 ア

プリ ケーシ ョ ン ノートに含まれる SDI パススルー デモンス ト レーシ ョ ンなどの特殊な場合、 ト ランス

ミ ッ ター ライン レートは、SDI 信号を受信している GTP レシーバーのリ カバリ ク ロ ッ クから生成され

ます。 そのよ う な場合、 ト ランス ミ ッ ターの基準ク ロ ッ ク と して使用する前に、 外部 PLL を使用して

リ カバリ ク ロ ッ クのジッ ターを軽減する必要があ り ます。

一般的な SDI アプリ ケーシ ョ ンでは、SDI インターフェイスを実装している各クワッ ド内で 2 つの基準

ク ロ ッ クの一方を PLL0 へ接続し、 も う一方を PLL1 へ接続します。 ク ワ ッ ド内にある各ト ランシー

バーの RX ユニッ トは、いずれかの PLL からのクロ ッ クを使用するよ うに設定できます。TX ユニッ ト

は、 そのと きに必要なビッ ト レートに基づいて、 PLL0 からのクロ ッ ク と PLL1 からのクロ ッ クを動的

に切り換えるこ とができます。 GTP txsysclksel ポート を使用して TX ユニッ トのク ロ ッ ク ソースを 2つの PLL から選択します。 図 3 に、 この一般的な SDI アプリ ケーシ ョ ンのコンフ ィギュレーシ ョ ンを

示します。 この図では、 インプリ メンテーシ ョ ンで動的に使用されないマルチプレクサーを配線に置き

換えており、 クワ ッ ド間の基準クロ ッ ク配線は表示していません。

Artix-7 GTP ト ランシーバーを使用して SDI インターフェイスを実現

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 7

また、 各 GTP RX および TX ユニッ トには、 選択したク ロ ッ クを指定可能な 2 の累乗の整数値で分周

するシ リ アル ク ロ ッ ク分周器があ り ます。 これによって、 たとえば、 クワ ッ ド内のすべての RX ユニッ

トは片方の PLL からの同じ ク ロ ッ ク周波数を使用しながら も、 異なるシ リ アル ク ロ ッ ク分周値を用い

て異なるライン レートで動作できるよ うにな り ます。 3G-SDI ビッ ト レートは HD-SDI ビッ ト レート

の 2 倍の速度となるため、 この機能は SDI インターフェイスに非常に有効です。270Mb/s SD-SDI の場

合、 GTP ト ランシーバーは 11 倍のオーバーサンプリ ング テクニッ クによって 3G-SDI ラ イン レート

で動作します。 このため、各 RX ユニッ ト内で 2 の累乗値で指定した異なる 2 つの分周器を使用するこ

とで、片方の PLL から供給される 1 つの RX ク ロ ッ ク周波数ですべての SDI ビッ ト レート を受信可能

です。 また、 TX ユニッ トでも、 2 の累乗値で指定した異なる 2 つの分周器を用いてクロ ッ ク ソースを

分周できるため、 基準クロ ッ ク周波数を 2 つ使用するだけですべての SDI ビッ ト レートの送信が可能

です。 RX および TX ユニッ トのシ リ アル ク ロ ッ ク分周器の値は、 各 GTP ト ランシーバーの rxrate および txrate ポート を利用して動的に変更可能です。

図 3 に示すコンフ ィギュレーシ ョ ンは、 ほとんどの SDI アプ リ ケーシ ョ ンに 適なソ リ ューシ ョ ンで

す。 その理由は、 次のとおりです。

• レシーバーは、 1 つの固定された基準クロ ッ ク周波数からすべての SDI ビッ ト レート を受信でき、

片方の PLL がクワ ッ ド内のすべてのレシーバーへ基準クロ ッ クから生成されたシ リ アル ク ロ ッ ク

を供給します。

X-Ref Target - Figure 3

図 3 : SDI 用の GTP 基準クロックのインプリ メンテーシ ョ ン (一般的な場合)

RX

TX

rxrate

txraterxrate

GTPE2_COMMON

PLL1

PLL0

148.5 MHz

148.5/1.001 MHz

IBUFDS_GTE2

IBUFDS_GTE2

GTPE2_CHANNEL

GTPE2_CHANNEL

txsysclksel

GTPE2_CHANNEL

GTPE2_CHANNEL

RX

TX

txraterxrate

txsysclksel

RX

X1097_03_073113

TX

txraterxrate

txsysclksel

RX

TX

÷

÷

÷

÷

÷

÷

÷

÷

txratetxsysclksel

Artix-7 GTP ト ランシーバーを使用して SDI インターフェイスを実現

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 8

• ト ランス ミ ッ ターは、 サポート されているすべての SDI ビッ ト レートで送信するのに必要な 2 つのシ リ アル ク ロ ッ クを得るため、 2 つの PLL からのクロ ッ クを動的に切り換えるこ とができます。

• クワ ッ ド内の 4 つのレシーバーと 4 つのト ランス ミ ッ ターは完全に独立しており、それぞれ異なる

SDI ビッ ト レートで動作でき、 ほかの RX や TX ユニッ トへ干渉するこ とな く ビッ ト レート を動

的に切り換えるこ と も可能です。

• ゲンロ ッ ク機能を搭載したアプリ ケーシ ョ ンでは、同期リ ファレンス入力信号から必要な 2 つの基

準クロ ッ ク周波数を 新のゲンロ ッ ク PLL が同時に提供できます。

SDI アプリ ケーシ ョ ンによっては、 SDI ト ランス ミ ッ ターが同じ公称ビッ ト レートで送信していても、

わずかに異なるビッ ト レートで動作する場合があ り ます。 これは、 各 TX のビッ ト レートが、 関連す

る SDI RX で受信される SDI のビッ ト レート と正確に一致しなければならない SDI ルーターでよ く見

られます。同じ公称ビッ ト レートで送信する 2 つのト ランス ミ ッ ターのビッ ト レートは、実際、数 ppm異なり ます。このよ うなアプ リ ケーシ ョ ンは Artix-7 FPGA の GTP クワ ッ ド構造でのサポートが難しい

ため、各クワッ ドで使用できる PLL が多い GTX/GTH ト ランシーバーを備えた 7 シ リーズ デバイスを

使用するか、 または PICXO (Phase Interpolation Control Oscillator) を GTX/GTH ト ランシーバーに転

用させた 7 シ リーズ デバイスを使用する方がよ り適しているこ とがあ り ます。

すべてのレシーバーでは片方の PLL からの割り込みなしのシ リ アル ク ロ ッ クが必要となるため、 SDIルーターのよ う なアプ リ ケーシ ョ ンで TX シ リ アル ク ロ ッ クを供給するにはクワ ッ ド内のも う片方の

PLL しか利用できません。 したがって、 このよ うなアプリ ケーシ ョ ンでは、 各 TX ユニッ トに個別のシ

リ アル ク ロ ッ クが供給される、 次の 2 つの GTP クワ ッ ド構成しかサポート しません。

• 片方の PLL からのシ リ アル ク ロ ッ クを使用する 4 つのレシーバー、およびも う片方の PLL からの

シ リ アル ク ロ ッ クを使用する 1 つのト ランス ミ ッ ター (図 4 を参照)

• それぞれが別の PLL からのシ リ アル ク ロ ッ クを使用する 2 つのト ランス ミ ッ ター、 およびアク

ティブなレシーバーはなし (図 5 を参照)X-Ref Target - Figure 4

図 4 : GTP クワッ ド内の 4 つの RX と 1 つの TX

TX

RX

txrate

rxrate

GTPE2_COMMON

PLL1

PLL0

SDI TX REFCLK

148.5 MHz

IBUFDS_GTE2

IBUFDS_GTE2

GTPE2_CHANNEL

GTPE2_CHANNEL

GTPE2_CHANNEL

GTPE2_CHANNEL

RX

rxrate

X1097_04_073113

RX

rxrate

RX

÷

÷

÷

÷

÷

rxrate

Artix-7 GTP ト ランシーバーを使用して SDI インターフェイスを実現

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 9

リセッ ト

『7 シ リーズ FPGA GTP ト ランシーバー ユーザー ガイ ド』 (UG482) で説明されているよ うに、 GTP トランシーバーには固有のリセッ ト要件があ り ます。 GTP ト ランシーバーでは、 2 つの PLL のリセッ ト 、

gttxreset、または gtrxreset と、rxrate など一部の GTP ポートの動的変更による リセッ ト間におけるシー

ケンスに注意が必要です。 これらのイベン ト をすべて適切に調整しなければ、 GTP ト ランシーバーが

SDI に対して正し く機能しない、 つま り、 FPGA を リ コンフ ィギュレーシ ョ ンするこ とでしか回復でき

ない状態になる可能性があ り ます。このアプ リ ケーシ ョ ン ノートで提供する制御モジュールは、GTP トランシーバーが確実かつ適切に動作するよ う これらの要件をすべて満たします。

GTP 初期化シーケンス

FPGA コ ンフ ィ ギ ュ レーシ ョ ン直後、 SDI 制御モジ ュールが GTP ト ラ ンシーバーの

GTPE2_COMMON PLL および RX 部と TX 部の初期化シーケンスを実行します。RX および TX の初

期化シーケンスは共通です。制御モジュールには、GTP ト ランシーバーの RX 部および TX 部に対して

別々に初期化シーケンスを実行する個別のステート マシンがあ り ます。 次の手順は、 RX 初期化シーケ

ンスについて説明しています。 TX 初期化シーケンスの手順は、 gttxreset、 tx_refclk_stable、 および

txresetdone 信号がそれぞれ gtrxreset、 rx_refclk_stable、および rxresetdone 信号に置き換わる以外はこ

れと同じです。

1. FPGA コンフ ィギュレーシ ョ ン後に 500ns 以上待機したら、 pllreset 信号および gtrxreset 信号をア

サート します。

2. rx_refclk_stable 入力がアサート されるまで待機し、 pllreset をネゲート します。

3. plllock 信号がアサート されるまで待機し、 gtrxreset 信号をネゲート します。

4. rxresetdone 信号がアサート されるまで待機し、 初期化シーケンスが完了したこ とを示します。

GTP の txuserrdy 入力および rxuserrdy 入力は適切に制御する必要があ り ます。 これらの信号は共に

SDI ラ ッパーによって生成されます。 SDI ラ ッパーは、 gttxreset がネゲー ト される と、 txuserrdy をtxusrclk の 5 サイクル間アサート します。同様に、 gtrxreset がネゲート される と、 rxuserrdy を rxusrclkの 5 サイ クル間アサート します。

条件が満たされるまで初期化シーケンスが待機している手順 2 、手順 3 、および手順 4 では、 タイムア

ウ ト カウンターが動作しています。 待機条件が満たされる前にタイムアウ ト カウンターが終了する と、

ステート マシンがタイムアウ ト ステートに遷移し、 リ ト ラ イ カウンターをインク リ メン ト してから初

期化シーケンスに戻り、 シーケンスを再開します。 タイムアウ トが多数発生したこ とが原因でリ ト ラ イ

カウンターが 大カウン トに達する と、初期化シーケンスにエラーが発生し、 ステート マシンがエラー

ステートに遷移して初期化シーケンスのエラーを示します。 リ ト ラ イ可能な 大回数は、 SDI ラ ッパー

のパラ メーター /ジェネ リ ッ クで指定します。

X-Ref Target - Figure 5

図 5 : GTP クワッ ド内の 2 つの独立した TX

TX

TX

txrate

txrate

GTPE2_COMMON

PLL1

PLL0

TX REFCLK 1

TX REFCLK 2

IBUFDS_GTE2

IBUFDS_GTE2

GTPE2_CHANNEL

GTPE2_CHANNEL

X1097_05_073113

÷

÷

Artix-7 GTP ト ランシーバーを使用して SDI インターフェイスを実現

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 10

PLL リセッ ト

FPGA コンフ ィギュレーシ ョ ン後に自動的に実行される初期化シーケンスでの リ セッ ト だけでな く、

GTPE2_COMMON 内の片方の PLL へ供給される基準クロ ッ クに対して周波数が変更された場合や割

り込みが発生した場合は常に、 その PLL を リセッ トする必要があ り ます。 この リセッ トは、 対象とな

る PLL を基準クロ ッ クに対して強制的に再度ロッ クする目的で必要です。 PLL リ セッ ト を実行するた

めに、 GTP ラ ッパーの pll0reset 入力と pll1reset 入力が SDI 制御モジュールによって制御されます。

ユーザー アプリ ケーシ ョ ンは pll0reset または pll1reset を直接アサートできません。 SDI 制御モジュー

ルが単独で pll0reset 信号および pll1reset 信号を制御する必要があ り ます。 一方、 ユーザー アプリ ケー

シ ョ ンでは、PLL リセッ トが必要なタイ ミ ングを判断し、対象となる PLL のリセッ ト 、およびその PLLからのシ リ アル ク ロ ッ クを使用する GTP RX および/または TX ユニッ トすべてのリセッ ト を要求しま

す。

SDI 制御モジュールには、 GTP RX (rx_gtp_full_reset) および GTP TX (tx_gtp_full_reset) の完全な リ

セッ ト を要求するためにアプリ ケーシ ョ ンが使用する 2 つの入力があ り ます。 これらの入力のいずれか

をアサートする と、制御モジュールのリセッ ト ステート マシンが適宜 GTP ト ランシーバーの RX 部ま

たは TX 部の完全な初期化シーケンスを実行します (関連する PLL のリセッ ト を含む)。 PLL が使用す

る基準クロ ッ クに割り込みが発生したり、 変更が加えられた場合は常にこれらの初期化シーケンスが実

行されるよ う、ユーザー アプリ ケーシ ョ ンで rx_gtp_full_reset 入力および tx_gtp_full_reset 入力を正し

く制御する必要があ り ます。

制御モジュールへの rx_refclk_stable 入力および tx_refclk_stable 入力が正し く制御されるかど うかは

ユーザー アプ リ ケーシ ョ ンが担います。 これらの入力信号は、 PLL への基準クロ ッ クが安定している

場合にのみアサート しなければな り ません。 すでに説明したとおり、 これらの入力が PLL リ セッ ト の

ネゲー ト 前にアサー ト される まで、 初期化シーケンスは待機し ます。 rx_refclk_stable 入力または

tx_refclk_stable 入力のネゲートによって、 対象となる PLL のリセッ トが開始されるわけではあ り ませ

ん。 制御モジュールへの rx_gtp_full_reset 入力および tx_gtp_full_reset 入力がアサート される こ とに

よってのみ、PLL リセッ トは開始します。rx_refclk_stable および tx_refclk_stable は、rx_gtp_full_resetまたは tx_gtp_full_reset のアサートによって初期化シーケンスが開始された後にのみ使用します。

GTP TX のリセッ ト

GTP の TX 部のリセッ トが必要となる状況は次の 3 つです。

• GTP TX へシ リ アル ク ロ ッ クを供給する PLL がリセッ ト される場合は、 gttxreset ポート を使用し

て TX 部を必ずリセッ ト します。 このリセッ トは、SDI 制御モジュールによる FPGA コンフ ィギュ

レーシ ョ ン後、 およびユーザー アプ リ ケーシ ョ ンで SDI 制御モジュールへの tx_gtp_full_reset がアサート されるたびに自動的に実行され、 この結果、 PLL および GTP TX の両方がリセッ ト され

ます。

• GTP gttxreset 入力は、 txsysclksel ポートが動的に変更されている間アサートする必要があ り ます。

txsysclksel ポート を使用し、 GTPE2_COMMON ブロッ クにある 2 つの PLL のいずれを GTP TXのシ リ アル ク ロ ッ ク ソース と して使用するかを選択します。 ク ワ ッ ドにある各 GTP ト ランシー

バーは、固有の txsysclksel ポート を備えており、 2 つの PLL のシ リ アル ク ロ ッ ク ソースを個別に

切り替えるこ とができます。 txsysclksel ポートはアプリ ケーシ ョ ンで直接制御できません。 SDI 制御モジュールが、 tx_m 入力の変更を受けて GTP ト ランシーバーの txsysclksel ポート を動的に変

更します。 制御モジュールは、 tx_m 入力への変更を検出する と まず gttxreset 信号をアサート し、

その後 txsysclksel を変更してから gttxreset をネゲー ト します。 このシーケンスは、 GTP ト ラン

シーバーが txresetdone 出力をアサー ト して終了し ます。 この時点で SDI 制御モジュールは、

tx_change_done 出力をアサート して txsysclksel が変更されたこ とを示します。

• txrate 入力ポートが動的に変更される と、GTP TX は GTP ト ランシーバー自身によって必ず自動的

にリセッ ト されます。 txrate は、 GTP TX のシ リ アル ク ロ ッ ク分周器を制御します。ユーザー アプ

リ ケーシ ョ ンでは txrate を直接変更できません。 tx_mode 入力ポートの変更を受け、適切な場合は

SDI 制御モジュールが txrate を変更します。

Artix-7 GTP ト ランシーバーを使用して SDI インターフェイスを実現

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 11

さ らに、ユーザー アプリ ケーシ ョ ンは、SDI 制御モジュールの tx_gtp_reset 入力ポート をアサート して

GTP TX のリセッ ト を要求できます。 これによ り、 GTP TX が使用する PLL を リセッ トするこ とな く

gttxreset シーケンスが開始されます。

GTP リセッ ト 、txsysclksel および txrate の動的変更などの動作はすべて、互いに干渉しないよ うに SDI制御モジュールの TX 制御ステート マシンによって調整されます。このよ うな干渉を回避するこ とが重

要なため、ユーザー アプリ ケーシ ョ ンではこれらを直接制御しないよ うにし、SDI 制御モジュールに制

御させます。

SDI ラ ッパーには TX 部のリセッ ト入力が 3 つあ り ます。

• tx_rst : High にアサート される と、 SDI コアにある SDI TX データパスを リセッ ト します。

• tx_gtp_full_reset : High にアサート される と、 TX に関連する PLL を リセッ ト してから、 GTP のTX 部 (gttxreset) を リセッ ト します。 2 つのリセッ トのこのよ うなシーケンスによ り、 PLL リセッ

トが完了して PLL が基準クロ ッ クにロ ッ ク されるまで gttxreset は完了しません。

• tx_gtp_reset : High にアサート される と、 GTP ト ランシーバーの TX 部のみを リセッ ト します。

gttxreset シーケン スの開始時に PLL がロ ッ ク されていない場合、 PLL がロ ッ ク される まで

gttxreset シーケンスは完了しません。

GTP RX のリセッ ト

RX リ セッ トは TX リ セッ ト よ り も複雑であるため、 さ らに注意深く制御する必要があ り ます。 TX 部と同様に、ユーザー アプリ ケーシ ョ ンでは、 このセクシ ョ ンで説明したすべての動作が互いに干渉しな

いよ うに SDI 制御モジュールによって慎重に調整されます。

GTP RX 部のリセッ トが必要となる状況は次のとおりです。

• GTP RX へシ リ アル ク ロ ッ クを供給する PLL がリセッ ト される場合は、 gtrxreset ポート を使用し

て RX 部を必ずリセッ ト します。この リセッ トは、SDI 制御モジュールによる FPGA コンフ ィギュ

レーシ ョ ン後、 およびユーザー アプリ ケーシ ョ ンで SDI 制御モジュールへの rx_gtp_full_reset がアサート されるたびに自動的に実行され、 この結果、 PLL および GTP RX の両方がリセッ ト され

ます。 何らかの理由で gtrxreset 信号を使用し て GTP RX を リ セ ッ ト する場合は、 『7 シ リ ー

ズ FPGA GTP ト ランシーバー ユーザー ガイ ド』 (UG482) に記載されているよ うに特定のシーケ

ンスを実行する必要があ り ます。 このシーケンスには、 シーケンスの一部を実行中に DRP ポート

を使用して DRP アドレス 0x011 のビッ ト 11 をク リ アした後、 元の値に戻すこ となどが含まれま

す。 通常の SDI 動作では、 このビ ッ ト を 1 にします。 GTP ラ ッパーにあるステー ト マシンは、

gtrxreset がアサート される と常にこの完全なシーケンスを実行します。

• rxrate ポートが動的に変更される場合は、 『7 シ リーズ FPGA GTP ト ランシーバー ユーザー ガイ

ド』 で rxrate 変更の事例で記載されているよ うに特定のシーケンスが必ず必要にな り ます。 この

シーケンスには、 シーケンスの一部を実行中に DRP アドレス 0x011 のビッ ト 11 をク リ アするこ

となどが含まれます。GTP ト ランシーバーの PMA 部は、 この rxrate 変更シーケンスの実行中にリ

セッ ト されます。 GTP ラ ッパーにあるステート マシンは、 rxrate が変更される と常にこの完全な

シーケンスを実行します。

• GTP RX の CDR 設定が変更される場合は、 gtrxreset ポート を使用して RX 部を必ずリセッ ト しま

す。 現在の SDI モード (SD-SDI、 HD-SDI、 または 3G-SDI) に基づいて正し く GTP CDR を設定

するために SDI 制御モジュールは次の 2 つの方法を用います。RX モードが SD-SDI の場合、GTPト ランシーバーの rxcdrhold ポートがアサート されます。 SD-SDI または 3G-SDI モードのいずれ

かから HD-SDI モードに切り替える場合、 も し くは HD-SDI モードから SD-SDI または 3G-SDIモードに切り替える場合、 GTP ト ランシーバーの RXCDR_CFG 属性が DRP を介して動的に変更

されます。rxcdrhold または RXCDR_CFG 属性のいずれかが変更される と、その後に gtrxreset シー

ケンスが続きます。

さ らに、ユーザー アプリ ケーシ ョ ンは、SDI 制御モジュールの rx_gtp_reset 入力ポート をアサート して

GTP RX のリセッ ト を要求できます。 これによ り、 GTP RX が使用する PLL を リセッ トするこ とな く

gtrxreset シーケンスが開始されます。

Artix-7 GTP ト ランシーバーを使用して SDI インターフェイスを実現

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 12

SDI アプリ ケーシ ョ ンで GTP DRP の使用が必要になるシーケンスには、 gtrxreset、 rxrate の変更、 お

よび RXCDR_CFG 属性の変更の 3 つがあ り ます。これらの各シーケンスはそれぞれ別のステート マシ

ンで制御されます。 gtrxreset および rxrate の変更は、 GTP ラ ッパー内の個別ステート マシンが制御し

ます。 RXCDR_CFG の変更については、 SDI 制御モジュールが対応します。 GTP ラ ッパー内にある 2つのステート マシンはそれぞれの DRP バスの動作を互いに調整しないため、 gtrxreset シーケンスおよ

び rxrate 変更シーケンスが重複し得る場合は互いに干渉する可能性があ り ます。GTP ラ ッパーには、こ

れらシーケンスの重複を回避したり、RXCDR_CFG を変更するために SDI 制御ステート マシンが実行

する DRP サイクルの干渉を回避する方法が本来備わっていません。GTP ラ ッパーの gtrxreset 入力がア

サート される と、 rxrate 変更ステート マシンなどその他のステート マシンが DRP の読み出しまたは書

き込みサイクル中であっても、 GTP ラ ッパー内にある gtrxreset ステート マシンは非同期 GTP DRP 信号の完全な制御とみなします。 このため、 gtrxreset、 rxrate の変更、 および RXCDR_CFG 属性の変更

は、 慎重に調整する必要があ り ます。 このよ う な調整は、 SDI 制御モジュールが実行します。 つま り、

ユーザー アプリ ケーシ ョ ンで gtrxreset のアサートや rxrate ポートの変更を直接実行しないこ とが重要

です。 ユーザー アプ リ ケーシ ョ ンでは、 このよ う な動作はすべて SDI 制御モジュールを介して要求す

る必要があ り ます。 直接実行する と、 DRP アドレス 0x011 のビッ ト 11 が 0 に変更され、 FPGA がリ

コンフ ィギュレーシ ョ ンされるまで 0 のまま とな り ます。結果、 FPGA がリ コンフ ィギュレーシ ョ ンさ

れるまで、 GTP RX は SDI 信号を受信できなくな り ます。

GTP ラ ッパーの rxrate 変更ステート マシンのデザインは、 FPGA コンフ ィギュレーシ ョ ン後の初期化

シーケンス中 rxrate ポートに対して別の要件を課します。GTP ラ ッパーへの rxrate ポートは、GTP RXの初期化シーケンスが完了するまで、値をすべて 0 に保持する必要があ り ます。 rxrate 変更ステート マシンの rxrate 変更検出ロジッ クは、 比較レジスタで 000 を指定して FPGA コンフ ィギュレーシ ョ ンか

ら生成されます。 FPGA コンフ ィギュレーシ ョ ン完了直後の rxrate の値が 000 でない場合、 GTP RX初期化シーケンスが完了していなくても rxrate 変更シーケンスが開始されます。そして、rxrate 変更シー

ケンスおよび gtrxreset シーケンスが互いに干渉し、 GTP RX は SDI を受信できない状態に放置される

可能性があ り ます。 FPGA がリ コンフ ィギュレーシ ョ ンされるまで、 GTP RX はこの状態に留まってし

まいます。

このよ うな状況を回避するために、GTP RX の初期化シーケンスが完了するまで SDI 制御モジュールは

GTP rxrate ポートの値をすべて 0 にします。ただし、これには間接的な作用が伴います。rxrate は、GTPト ランシーバーによって生成された rxoutclk の周波数を決定する GTP RX シ リ アル ク ロ ッ ク分周器を

制御します。 rxoutclk は、 SDI コアの RX ロジッ クすべてにク ロ ッ クを供給するために使用します。

rxrate がすべて 0 に設定されている場合、分周値が 1 のシ リ アル ク ロ ッ ク分周器が選択されます。 この

分周値は、通常の SDI 動作中で使用されるこ とはあ り ません。通常の SDI 動作では、 rxrate ポート を常

に使用してクロ ッ ク分周値に 2 または 4 が選択されます。 したがって、 FPGA コンフ ィギュレーシ ョ ン

直後に発生する GTP RX の初期化シーケンスでは、 rxoutclk の周波数が 297MHz になり ます。 通常動

作では、 rxoutclk の周波数が 148.5MHz を超えるこ とはあ り ません。 一般に、 rxoutclk には 148.5MHzという ク ロ ッ ク周期制約が適用され、 rxoutclk がこのクロ ッ ク周期を超えて動作するこ とはないと想定

して FPGA デザインは実装されます。 Artix-7 FPGA は 297MHz の周波数で実行する SDI コアに対応

できる十分な速度を備えていないため、297MHz のクロ ッ ク周期制約を rxoutclk に適用するオプシ ョ ン

は用意されていません。この問題を回避するため、FPGA コンフ ィギュレーシ ョ ンが終了してから rxrateが有効な値に正し く変更されて rxoutclk が 148.5MHz 以下の速度で動作するまで、 SDI 制御モジュー

ルは SDI コアの RX 部を リセッ トに保持します。

SDI ラ ッパーには RX 部のリセッ ト入力が 3 つあり ます。

• rx_rst : High にアサート される と、 SDI コアにある SDI RX データパスを リセッ ト します。

• rx_gtp_full_reset : High にアサート される と、 RX に関連する PLL を リセッ ト してから、 GTPト ランシーバーの RX 部 (gtrxreset) を リセッ ト します。 2 つのリセッ トのこのよ うなシーケン

スによ り、 PLL リセッ トが完了して PLL が基準クロ ッ クにロ ッ ク されるまで gtrxreset は完了

しません。

• rx_gtp_reset : High にアサート される と、 GTP ト ランシーバーの RX 部 (gtrxreset) のみを リ

セッ ト します。 gtrxreset シーケンスの開始時に PLL がロ ッ ク されていない場合、 PLL がロ ッ

ク されるまで gtrxreset シーケンスは完了しません。

Artix-7 GTP ト ランシーバーを使用して SDI インターフェイスを実現

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 13

SDI ラ ッパーのリセッ ト接続

各 GTP クワ ッ ド内の 2 つの PLL にはそれぞれのリセッ ト と、SDI ラ ッパーに正し く接続する必要があ

る GTP ラ ッパーのステータス ポートがあ り ます。すでに説明したとおり、FPGA コンフ ィギュレーシ ョ

ン完了後、 SDI ラ ッパーが GTP ト ランシーバーの RX 部および TX 部の初期化シーケンスを実行しま

す。 PLL はこのシーケンス中にリセッ ト されます。 また、 基準クロ ッ クに対して割り込みが発生したり

周波数が変更された場合も、 PLL を リセッ トする必要があ り ます。

SDI イ ンターフェ イ スの実装に使用される ク ワ ッ ド内の各 ト ラ ンシーバーには個別の SDI 制御モ

ジュールを利用します。 これらの各モジュールは、 PLL のリセッ トおよびモニター用の入力ポート と出

力ポート を提供します。同じクワッ ド内にある複数のト ランシーバーが SDI インターフェイスに使用さ

れる場合、 GTP ラ ッパーおよび SDI ラ ッパーの PLL ポート を正し く接続する必要があ り ますが、 これ

らの接続要件はアプリ ケーシ ョ ンによって異なり ます。 このセクシ ョ ンでは、 SDI アプリ ケーシ ョ ンの

PLL 使用モデルについて説明します。 これらの使用モデルでは、 SDI ラ ッパーの次の信号を利用してい

ます。

• gtp_rxpllreset : GTP RX で使用される PLL を リセッ トするために用いる SDI ラ ッパーからの出力

ポートです。

• gtp_txpllreset : GTP TX で使用される PLL を リセッ トするために用いる SDI ラ ッパーからの出力

ポートです。

• gtp_rxplllock : pll0lock または pll1lock GTP コモン ラ ッパー出力によって適宜駆動される SDIラ ッパーへの入力ポートです。

• gtp_common_wrapper : pll0lock または pll1lock GTP ト ランシーバー出力によって適宜駆動され

る SDI ラ ッパーへの入力ポートです。

• rx_refclk_stable : GTP RX シ リ アル ク ロ ッ クを供給している片方の PLL への基準クロ ッ クが安定

している場合にのみ High にアサートする SDI ラ ッパーへの入力ポートです。

• tx_refclk_stable : GTP TX シ リ アル ク ロ ッ クを供給している片方の PLL または両方の PLL への

基準クロ ッ クが安定している場合にのみ High にアサートする SDI ラ ッパーへの入力ポートです。

• rx_gtp_full_reset and rx_gtp_reset : ユーザー アプリ ケーシ ョ ンから SDI ラ ッパーへの RX 部のリ

セッ ト要求入力です。

• tx_gtp_full_reset and tx_gtp_reset : ユーザー アプリ ケーシ ョ ンから SDI ラ ッパーへの TX 部のリ

セッ ト要求入力です。

SDI アプリケーシ ョ ンの GTP PLL の使用例

使用モデル 1 : クワッ ド内で 1 つのト ランシーバーがアクテ ィブで、 TX が PLL0 および PLL1 の両方を使用する場合

クワ ッ ド内で 1 つのト ランシーバーがアクティブであ り、 TX ユニッ トが PLL0 および PLL1 を動的に

切り替える場合、 SDI 制御モジュールの RX 部は GTP RX で使用される PLL を制御する必要があ り ま

す。 SDI 制御モジュールの TX 部は片方の PLL のリセッ ト を制御しますが、 ロ ッ ク ステータスについ

ては両 PLL を監視します。 図 6 に接続図を示します。 この図では、 PLL0 が GTP RX および GTP TXの両方で使用されるコモン PLL と して示され、PLL1 が GTP TX でのみ使用される 2 つ目の PLL と し

て示されています。 同様に、 PLL1 をコモン PLL と して使用し、 PLL0 を GTP TX でのみ使用される 2つ目の PLL とするこ と もできます。

Artix-7 GTP ト ランシーバーを使用して SDI インターフェイスを実現

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 14

次の接続が必要です。

• SDI ラ ッパーの gtp_rxpllreset 出力は、 RX が使用する PLL の pllreset に接続します。

• SDI ラ ッパーの gtp_txpllreset 出力は、 片方の PLL の pllreset に接続します。

• SDI ラ ッパーの gtp_rxplllock 入力は、 RX が使用する PLL の plllock に接続します。

• SDI ラ ッパーの gtp_txplllock 入力は、 plllock の両信号のロジッ ク OR によって駆動します。

• RX が使用する PLL への基準クロ ッ ク ソースが安定している場合にのみ、 SDI ラ ッパーの

rx_refclk_stable 入力を High にアサート します。

• 片方の PLL (RX が使用しない PLL) への基準クロ ッ ク ソースが安定している場合にのみ、 SDIラ ッパーの tx_refclk_stable 入力を High にアサート します。

• 基準クロ ッ クに対する変更や割り込みが発生したためコモン PLL を リセッ トする必要がある場合

は、 SDI ラ ッパーの rx_gtp_full_reset 入力をアサート してコモン PLL と GTP RX の両方を リセッ

ト します。 また、 SDI ラ ッパーの tx_gtp_reset 入力もアサート しても う一方の PLL を リセッ トせ

ずに GTP TX を リセッ ト します。

• 基準クロ ッ クに対する変更や割り込みが発生したため TX のみが使用する PLL を リセ トする必要

がある場合は、 SDI ラ ッパーの tx_gtp_full_reset 入力をアサート して PLL および GTP TX の両方

を リセッ ト します。

使用モデル 2 : クワッ ド内で 1 つのト ランシーバーがアクテ ィブで、 RX および TX が別の PLL を使用する場合

クワ ッ ド内で 1 つのト ランシーバーがアクティブであ り、 GTP RX および TX がそれぞれ別の PLL を使用する場合の接続図を図 7 に示します。 この図では、 PLL0 が GTP RX のシ リ アル ク ロ ッ ク ソース

と して示され、 PLL1 が GTP TX のシ リ アル ク ロ ッ ク ソース と して示されています。ただし、 PLL1 はGTP RX に使用され、 PLL0 は GTP TX に使用される という簡単な構成です。

X-Ref Target - Figure 6

図 6 : PLL の使用モデル 1

ExternalRefclk

Source

clock_stable

IBUFDS_GTE2

pll0lock

pll0reset

gtp_rxplllock

gtp_rxpllreset

rx_refclk_stable

IBUFDS_GTE2

pll1lockpll1reset

gtp_txplllock

gtp_txpllreset

tx_refclk_stable

SDI Wrapper

GTP Quad

gtp_gtrxreset

gtp_gttxreset

gtrxreset

gttxreset

serial clock

PLL0

serial clock

PLL1

RX

TX

rx_gtp_full_reset

tx_gtp_full_reset

Reset PLL0, GTP RX, & GTP TX

Reset PLL1 & GTP TX

tx_gtp_reset

rx_gtp_resetReset GTP RX

Reset GTP TX

ExternalRefclk

Source

clock_stable

X1097_06_090613

Artix-7 GTP ト ランシーバーを使用して SDI インターフェイスを実現

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 15

次の接続が必要です。

• SDI ラ ッパーの gtp_rxpllreset 出力は、 RX が使用する PLL の pllreset に接続します。

• SDI ラ ッパーの gtp_txpllreset 出力は、 TX が使用する PLL の pllreset に接続します。

• SDI ラ ッパーの gtp_rxplllock 入力は、 RX が使用する PLL の plllock によって駆動します。

• SDI ラ ッパーの gtp_txplllock 入力は、 TX が使用する PLL の plllock によって駆動します。

• RX が使用する PLL への基準クロ ッ ク ソースが安定している場合にのみ、 SDI ラ ッパーの

rx_refclk_stable 入力を High にアサート します。

• TX が使用する PLL への基準クロ ッ ク ソースが安定している場合にのみ、 SDI ラ ッパーの

tx_refclk_stable 入力を High にアサート します。

• 基準クロ ッ クに対する変更や割り込みが発生したため RX が使用する PLL を リセッ トする必要が

ある場合は、 SDI ラ ッパーの rx_gtp_full_reset 入力をアサート して PLL および GTP RX の両方を

リセッ ト します。

• 基準クロ ッ クに対する変更や割り込みが発生したため TX が使用する PLL を リセッ トする必要が

ある場合は、 SDI ラ ッパーの tx_gtp_full_reset 入力をアサート して PLL および GTP TX の両方を

リセッ ト します。

使用モデル 3 : クワッ ド内で 1 つのト ランシーバーがアクテ ィブで、 RX および TX が同じ PLL を使用する場合

クワ ッ ド内で 1 つのト ランシーバーがアクティブであ り、 GTP RX および TX が同じ PLL を使用する

場合、SDI 制御モジュールの RX 部を用いてその PLL を リセッ ト します。ただし、GTP RX および GTPTX の両方が PLL のロ ッ ク ステータスを監視する必要があ り ます (図 8 を参照)。 この図では PLL0 が使用されていますが、 PLL1 を利用するこ と もできます。

X-Ref Target - Figure 7

図 7 : PLL の使用モデル 2

ExternalRefclk

Source

clock_stable

IBUFDS_GTE2

pll0lock

pll0reset

gtp_rxplllock

gtp_rxpllreset

rx_refclk_stable

IBUFDS_GTE2

pll1lockpll1reset

gtp_txplllock

gtp_txpllreset

tx_refclk_stable

SDI Wrapper

GTP Quad

gtp_gtrxreset

gtp_gttxreset

gtrxreset

gttxreset

serial clock

PLL0

serial clock

PLL1

RX

TX

rx_gtp_full_reset

tx_gtp_full_resetReset GTP TX

Reset PGTP TX tx_gtp_reset

rx_gtp_resetReset PLL0 & GTP RX

Reset PLL1 & GTP TX

ExternalRefclk

Source

clock_stable

X1097_07_081613

Artix-7 GTP ト ランシーバーを使用して SDI インターフェイスを実現

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 16

次の接続が必要です。

• SDI ラ ッパーの gtp_rxpllreset 出力は、 PLL の pllreset に接続します。

• SDI ラ ッパーの gtp_txpllreset 出力は未接続にします。

• SDI ラ ッパーの gtp_rxpllock 入力は、 PLL の plllock によって駆動します。

• SDI ラ ッパーの gtp_txplllock 入力は、 PLL の plllock によって駆動します。

• PLL への基準クロ ッ ク ソースが安定している場合にのみ、SDI ラ ッパーの rx_refclk_stable 入力を

High にアサート します。

• SDI ラ ッパーの tx_refclk_stable 入力は High に接続します。

• 基準クロ ッ クに対する変更や割り込みが発生したため PLL を リセッ トする必要がある場合は、SDIラ ッパーの rx_gtp_full_reset 入力をアサート して PLL と GTP RX の両方を リセッ ト します。また、

SDI ラ ッパーの tx_gtp_reset 入力もアサート して GTP TX を リセッ ト します。

使用モデル 4 : 1 つのクワッ ド内で複数のト ランシーバーがアクテ ィブで、 すべての RX が同じ PLL を使用し、 すべての TX が 2 つの PLL を使用する場合

この使用モデルは、 クワッ ド内で複数のト ランシーバーがアクティブで、いずれも SDI インターフェイ

スを実装している一般的な例です。 クワッ ド内のアクティブな GTP RX ユニッ トはすべて同じ PLL からのシ リ アル ク ロ ッ クを使用します。 ク ワ ッ ド内のアクティブな GTP TX ユニッ トはすべて、 2 つの

PLL を動的に切り替えます。図 9 に、この使用例のモジュールを示します。SDI ラ ッパーの 1 つが PLLマスターと して指定され、 PLL リセッ ト を制御します。

X-Ref Target - Figure 8

図 8 : PLL の使用モデル 3

ExternalRefclk

Source

clock_stable

IBUFDS_GTE2

pll0lock

pll0reset

gtp_rxplllock

gtp_rxpllreset

rx_refclk_stable

gtp_txplllock

gtp_txpllreset

tx_refclk_stable

SDI Wrapper

GTP Quad

gtp_gtrxreset

gtp_gttxreset

gtrxreset

gttxreset

serial clock

PLL0

RX

TX

rx_gtp_full_reset

tx_gtp_full_reset

Reset PLL0, GTP RX, & GTP TX

Low

tx_gtp_reset

rx_gtp_resetReset GTP RX

Reset GTP TX

High

No Connect

X1097_08_081613

Artix-7 GTP ト ランシーバーを使用して SDI インターフェイスを実現

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 17

次の接続が必要です。

• PLL マスター SDI ラ ッパーの gtp_rxpllreset 出力は、RX ユニッ トが使用する PLL の pllreset に接

続します。 その他の SDI ラ ッパーの gtp_rxpllreset 出力は未接続にします。

• PLL マスター SDI ラ ッパーの gtp_txpllreset 出力は、 も う一方の PLL の pllreset に接続します。そ

の他の SDI ラ ッパーの gtp_txpllreset 出力は未接続にします。

• すべての SDI ラ ッパーの gtp_rxplllock 入力は、 RX ユニッ トが使用する PLL の plllock によって

駆動します。

X-Ref Target - Figure 9

図 9 : PLL の使用モデル 4

ExternalRefclk

Source

clock_stable

IBUFDS_GTE2

pll0lock

pll0reset

gtp_rxplllock

gtp_rxpllreset

rx_refclk_stable

IBUFDS_GTE2

pll1lockpll1reset

gtp_txplllock

gtp_txpllreset

tx_refclk_stable

SDI Wrapper

GTP0

gtp_gtrxreset

gtp_gttxreset

gtrxreset

gttxreset

serial clock

PLL0

serial clock

PLL1

RX

TX

rx_gtp_full_reset

tx_gtp_full_reset

Reset PLL0, All GTP RX, & All GTP TX

Reset PLL1 & All GTP TX

tx_gtp_reset

rx_gtp_resetReset GTP0 RX

Reset GTP0 TX

ExternalRefclk

Source

clock_stable

gtp_rxplllock

gtp_rxpllreset

rx_refclk_stable

gtp_txplllock

gtp_txpllreset

tx_refclk_stable

SDI Wrapper

GTP1

gtp_gtrxreset

gtp_gttxreset

gtrxreset

gttxreset

RX

TX

rx_gtp_full_reset

tx_gtp_full_reset

No Connect

tx_gtp_reset

rx_gtp_resetReset GTP0 RXHigh

No Connect

Reset GTP1 TX

High

X1097_09_090713

Artix-7 GTP ト ランシーバーを使用して SDI インターフェイスを実現

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 18

• すべての SDI ラ ッパーの gtp_txplllock 入力は、 plllock の両信号のロジッ ク OR によって駆動しま

す。

• RX が使用する PLL への基準クロ ッ ク ソースが安定している場合にのみ、 PLL マスター SDI ラ ッ

パーの rx_refclk_stable 入力を High にアサー ト し ます。 その他すべての SDI ラ ッパーの

rx_refclk_stable 入力は High に接続します。

• 片方の PLL (RX が使用しない PLL) への基準クロ ッ ク ソースが安定している場合にのみ、 PLL マスター SDI ラ ッパーの tx_refclk_stable 入力を High にアサート します。 その他の SDI ラ ッパーの

tx_refclk_stable 入力は High に接続します。

• 基準クロ ッ クに対する変更や割り込みが発生したためコモン PLL (RX および TX ユニッ トすべて

が使用) を リセッ トする必要がある場合は、PLL マスター SDI ラ ッパーの rx_gtp_full_reset 入力を

アサート します。 その他の GTP RX ユニッ ト も リセッ トする必要がある場合、 それら GTP RX ユニッ トの rx_gtp_full_reset 入力または rx_gtp_reset 入力をアサート して リセッ トできます。 また、

すべての SDI ラ ッパーの tx_gtp_reset 入力もアサート して 2 つ目の PLL を リセッ トせずに GTPTX ユニッ ト を リセッ ト します。

• 基準クロ ッ クに対する変更や割り込みが発生したためコモン PLL (RX および TX ユニッ トすべて

が使用) を リ セッ トする必要がある場合は、 PLL マスター SDI ラ ッパーの tx_gtp_reset 入力をア

サート します。その他の GTP TX ユニッ ト も リセッ トする必要がある場合、それら GTP TX ユニッ

トの tx_gtp_full_reset 入力または tx_gtp_reset 入力をアサート して リセッ トできます。

使用モデル 5 : 1 つのクワッ ド内で複数のト ランシーバーがアクテ ィブで、 すべての RX が 1 つの PLL を使用し、 すべての TX が も う 1 つの PLL を使用する場合

この使用モデルは、1 つの GTP クワッ ド内で複数のト ランシーバーがアクティブな場合の例です。クワッ

ド内にあるすべての GTP RX ユニッ トのシ リアル クロ ッ クが片方の PLL から供給され、 そのクワッ ド

内にあるすべての GTP TX ユニッ トのシ リアル クロ ッ クはも う片方の PLL から供給されます (図 10 を参照)。 1 つの SDI ラ ッパーが PLL マスターと して指定され、 PLL リセッ ト を制御します。

Artix-7 GTP ト ランシーバーを使用して SDI インターフェイスを実現

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 19

次の接続が必要です。

• PLL マスター SDI ラ ッパーの gtp_rxpllreset 出力は、 クワッ ド内のすべての GTP RX ユニッ トが

使用する PLL の pllreset に接続します。

• PLL マスター SDI ラ ッパーの gtp_txpllreset 出力は、 クワ ッ ド内のすべての GTP TX ユニッ トが

使用する PLL の pllreset に接続します。

• すべての SDI ラ ッパーの gtp_rxplllock 入力は、 RX ユニッ トが使用する PLL の plllock によって

駆動します。

X-Ref Target - Figure 10

図 10 : PLL の使用モデル 5

ExternalRefclk

Source

clock_stable

IBUFDS_GTE2

pll0lock

pll0reset

gtp_rxplllock

gtp_rxpllreset

rx_refclk_stable

IBUFDS_GTE2

pll1lockpll1reset

gtp_txplllock

gtp_txpllreset

tx_refclk_stable

SDI Wrapper

GTP0

gtp_gtrxreset

gtp_gttxreset

gtrxreset

gttxreset

serial clock

PLL0

serial clock

PLL1

RX

TX

tx_gtp_full_resetReset PLL1 & All GTP TX

Reset PLL0 & All GTP RX

tx_gtp_resetrx_gtp_resetrx_gtp_full_reset

Reset GTP0 RXReset GTP0 TX

ExternalRefclk

Source

clock_stable

gtp_rxplllock

gtp_rxpllreset

rx_refclk_stable

gtp_txplllock

gtp_txpllreset

tx_refclk_stable

SDI Wrapper

GTP1

gtp_gtrxreset

gtp_gttxreset

gtrxreset

gttxreset

RX

TX

rx_gtp_full_reset

tx_gtp_full_reset

No Connect

tx_gtp_resetrx_gtp_resetReset GTP1 RX

High

No Connect

Reset GTP1 TX

High

X1097_10_072013

Artix-7 GTP ト ランシーバーを使用して SDI インターフェイスを実現

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 20

• すべての SDI ラ ッパーの gtp_txplllock 入力は、 TX ユニッ トが使用する PLL の plllock によって

駆動します。

• RX ユニッ トが使用する PLL への基準クロ ッ ク ソースが安定している場合にのみ、 PLL マスター

SDI ラ ッパーの rx_refclk_stable 入力を High にアサート します。 その他すべての SDI ラ ッパーの

rx_reflk_stable 入力は High に接続します。

• TX ユニッ トが使用する PLL への基準クロ ッ ク ソースが安定している場合にのみ、 PLL マスター

SDI ラ ッパーの tx_refclk_stable 入力を High にアサート します。 その他すべての SDI ラ ッパーの

tx_refclk_stable 入力は High に接続します。

• RX ユニッ トが使用する PLL を リセッ トする必要がある場合は、 PLL マスター SDI ラ ッパーの

rx_gtp_full_reset 入力をアサート します。その他の GTP RX ユニッ ト も リセッ トする必要がある場

合、 それら GTP RX ユニッ トの rx_gtp_full_reset 入力または rx_gtp_reset 入力をアサート して リ

セッ トできます。

• TX ユニッ トが使用する PLL を リセッ トする必要がある場合は、 PLL マスター SDI ラ ッパーの

tx_gtp_full_reset 入力をアサート します。その他の GTP TX ユニッ ト も リセッ トする必要がある場

合、 それら GTP TX ユニッ ト の tx_gtp_full_reset 入力または tx_gtp_reset 入力をアサート して リ

セッ トできます。

使用モデル 6 : 1 つのクワッ ド内で複数のト ランシーバーがアクテ ィブで、 すべての RX および TX ユニッ トが 1 つのコモン PLL を使用する場合

この使用モデルは、1 つの GTP クワ ッ ド内で複数のト ランシーバーがアクティブで、そのクワッ ド内の

すべての RX および TX ユニッ トが 1 つの PLL から供給されるシ リ アル ク ロ ッ クを使用している場合

の例です (図 11 を参照)。1 つの SDI ラ ッパーが PLL マスターと して指定され、SDI ラ ッパーの RX 部が PLL リセッ ト を制御します。

Artix-7 GTP ト ランシーバーを使用して SDI インターフェイスを実現

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 21

次の接続が必要です。

• PLL マスター SDI ラ ッパーの gtp_rxpllreset 出力は、 PLL の pllreset に接続します。 その他すべて

の SDI ラ ッパーの gtp_rxpllreset 出力は未接続にします。

• すべての SDI ラ ッパーの gtp_txpllreset 出力は未接続にします。

• すべての SDI ラ ッパーの rx_pllock 入力は、 PLL の plllock によって駆動します。

• すべての SDI ラ ッパーの gtp_txplllock 入力は、 PLL の plllock によって駆動します。

X-Ref Target - Figure 11

図 11 : PLL の使用モデル 6

ExternalRefclk

Source

clock_stable

IBUFDS_GTE2

pll0lock

pll0reset

gtp_rxplllock

gtp_rxpllreset

rx_refclk_stable

No Connect

gtp_txplllock

gtp_txpllreset

tx_refclk_stable

SDI Wrapper

GTP0

gtp_gtrxreset

gtp_gttxreset

gtrxreset

gttxreset

serial clock

PLL0

High

RX

TX

Reset GTP0 TX

Reset PLL0, All GTP RX, & All GTP TXtx_gtp_reset

rx_gtp_reset

tx_gtp_full_resetrx_gtp_full_resetReset GTP0 RX

gtp_rxplllock

gtp_rxpllreset

rx_refclk_stable

gtp_txplllock

gtp_txpllreset

tx_refclk_stable

SDI Wrapper

GTP1

gtp_gtrxreset

gtp_gttxreset

gtrxreset

gttxreset

RX

TX

rx_gtp_full_resettx_gtp_full_reset

No Connect

tx_gtp_reset

rx_gtp_resetReset GTP1 RXHigh

No Connect

Reset GTP1 TX

High

X1097_11_090613

Artix-7 GTP ト ランシーバーを使用して SDI インターフェイスを実現

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 22

• PLL への基準クロ ッ ク ソースが安定している場合にのみ、 PLL マスター SDI ラ ッパーの

rx_refclk_stable 入力は High にアサート します。 その他すべての SDI ラ ッパーの rx_reflk_stable入力は High に接続します。

• すべての SDI ラ ッパーの tx_refclk_stable 入力は High に接続します。

• PLL を リセッ トする必要がある場合は、 PLL マスター SDI ラ ッパーの rx_gtp_full_reset 入力をア

サート します。また、その他の SDI ラ ッパーの rx_gtp_full_reset または rx_gtp_reset をアサート し

てそれらに関連する GTP RX ユニ ッ ト を リ セ ッ ト し ます。 さ らに、 すべての SDI ラ ッパーの

gtp_tx_full_reset または gtp_tx_reset 入力をアサート してそれらに関連する GTP TX ユニッ ト を リ

セッ ト します。

使用モデル 7 : クワッ ド内で 2 つのト ランシーバーがアクテ ィブで、 それらの両方が SDI TX のみを実装し、 それぞれ別の PLL を使用している場合

この使用モデルは、1 つの GTP クワ ッ ド内で 2 つのト ランシーバーがアクティブで、各ト ランシーバー

が SDI TX しか実装していない場合の例です。 アクティブな SDI RX ユニッ トはあ り ません。 アクティ

ブな GTP TX は、 それぞれ別の PLL を使用します。 この例は、各 TX ユニッ トが独自の PLL を、 たと

えば SDI ルーター内に持たなければならない場合などに使用します。 図 12 にこの例を示します。

次の接続が必要です。

• 各 SDI ラ ッパーの gtp_txpllreset 出力は、 関連する PLL の pllreset にそれぞれ接続します。

• SDI ラ ッパーの gtp_rxpllreset 出力は未接続にします。

• 各 SDI ラ ッパーの gtp_txplllock 入力は、 関連する PLL の plllock 出力に接続します。

X-Ref Target - Figure 12

図 12 : PLL の使用モデル 7

ExternalRefclk

Source

clock_stable

IBUFDS_GTE2

pll0lock

pll0reset

gtp_txplllock

gtp_txpllreset

tx_refclk_stable

SDI Wrapper

GTP0

gtp_gttxresetgttxreset

serial clock

PLL0

TX

tx_gtp_full_resettx_gtp_reset

rx_gtp_resetrx_gtp_full_resetLow

LowReset PLL0 & GTP0 TX

Reset GTP0 TX

ExternalRefclk

Source

clock_stable

IBUFDS_GTE2

pll1lock

pll1reset

gtp_txplllock

gtp_txpllreset

tx_refclk_stable

SDI Wrapper

GTP1

gtp_gttxresetgttxreset

serial clock

PLL1

TX

tx_gtp_full_resettx_gtp_reset

rx_gtp_resetrx_gtp_full_resetLow

LowReset PLL1 & GTP1 TX

Reset GTP1 TX

X1097_12_072013

Artix-7 GTP ト ランシーバーを使用して SDI インターフェイスを実現

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 23

• SDI ラ ッパーの gtp_rxplllock 入力は High に接続します。

• 関連する PLL への基準クロ ッ ク ソースが安定している場合にのみ、 各 SDI ラ ッパーの

tx_refclk_stable 入力を High にアサート します。

• 各 SDI ラ ッパーの rx_refclk_stable 入力は High に接続します。

• 片方の PLL を リセッ トする必要がある場合は、 その PLL に関連する SDI ラ ッパーの

tx_gtp_full_reset 入力をアサート します。

SDI 電気的インターフェイス

GTP ト ランシーバーから /GTP ト ランシーバーへ送信されるシ リ アル信号を SDI の電気的規格へ変換

するには、 外部に SDI ケーブル イコライザーとケーブル ド ラ イバーが必要です。

外部 SDI ケーブル イコライザーを使用し、 シングルエンドの 75Ω SDI 信号を GTP ト ランシーバーの

レシーバー入力信号要件に対応する 50Ω 差動信号へ変換する必要があ り ます。複数のメーカーが、それ

ぞれに適切な SDI ケーブル イコライザーを提供しています。 これらのケーブル イコライザーの差動出

力は通常、 AC カップ リ ングを用いて GTP レシーバー入力信号と接続する必要があ り ます。 図 13 に、

標準的な SDI ケーブル イコライザーと GTP レシーバーのインターフェイス例を示します。

注記 : カップリ ング キャパシタの電気容量値は、SDI パソロジカル信号を減衰させるこ とな く渡すのに

十分な大きさが必要です。 標準的な値は 1µF ~ 4.7µF です。

注記 : SDI ケーブル イコライザーと BNC コネクタ間のネッ ト ワークに関しては、SDI ケーブル イコラ

イザーのメーカーが提供する資料を参照して ください。

GTP RX の差動入力には、 ビルト インの差動終端があ り ます。 『7 シ リーズ FPGA GTP ト ランシーバー

ユーザー ガイ ド』 (UG482) で説明している とおり、 SDI アプリ ケーシ ョ ンの GTP RX 入力の RX 終端

使用モードは 3 が推奨されています。SDI アプリ ケーシ ョ ンの場合、GTP の内部プログラム可能な終端

電圧は 800mV に設定します。

同様に、 GTP ト ランス ミ ッ ターの差動シ リ アル出力は、 SDI ケーブル ド ラ イバーの入力へ接続し、 通

常は AC カップ リ ングを用いて接続します (図 14 を参照)。 ケーブル ド ラ イバーは、 電気的特性が SDI仕様を満たすよ うに、 GTP ト ランス ミ ッ ターからの差動信号をシングル エンド信号へ変換します。 通

常、SDI ケーブル ド ラ イバーには、スルー レート を設定するためのスルー レート制御入力があ り ます。

SD-SDI のスルー レート要件は、 HD-SDI および 3G-SDI のスルー レート要件とは大き く異なり ます。

SDI ケーブル ド ラ イバーのスルー レート制御入力は一般的に FPGA で制御されます。 このアプリ ケー

シ ョ ン ノー ト で提供する制御モジュールでは、 外部の SDI ケーブル ド ラ イバーで使用するためのス

ルー レート制御入力を生成します。

X-Ref Target - Figure 13

図 13 : SDI ケーブル イコライザーと GTP レシーバー入力のインターフェイス

X1097_13_090613

RXP

GTX

RXN

SDI Cable EQ

4.7 µF typ

50

50800 mV

4.7 µF typ

Network

BNCConnector

Artix-7 GTP ト ランシーバーを使用して SDI インターフェイスを実現

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 24

注記 : SDI ケーブル イコライザーと BNC コネクタ間のネッ ト ワークに関しては、SDI ケーブル イコラ

イザーのメーカーが提供する資料を参照して ください。

SD-SDI の考察

SD-SDI の受信

270Mb/s ビッ ト レートの SD-SDI は、 GTP RX でサポート されている 低ラインレート よ り も低くな

り ます。 270Mb/s の SD-SDI を受信するには、 GTP RX を非同期オーバーサンプラーと して使用し、

ビ ッ ト ト ラ ンザク シ ョ ンが行われる場所を問わずに 270Mb/s の 11 倍 (2.97 ギガサンプル/秒) でSD-SDI ビッ ト ス ト リームをサンプリ ングします。GTP RX のクロ ッ ク データ リ カバリ (CDR) ユニッ

トが GTP rxcdrhold 入力ポート を High にアサート し、基準クロ ッ クにロ ッ ク します。これによ り、CDRが低速な SD-SDI 信号にロッ クするこ とを防ぎ、 SD-SDI 信号のオーバーサンプリ ングをよ り一定して

実行できます。

FPGA のプログラマブル ロジッ クに実装されたデータ リ カバリ ユニッ ト (DRU) は、 GTP RX でオー

バーサンプルされた SD-SDI データを解析し、各ビッ トのベス ト サンプルを決定して回復データを出力

します。 この DRU は SDI コアの一部ではなく、 アプ リ ケーシ ョ ン ノートの SDI 制御モジュールの一

部と して提供されています。

このアプリ ケーシ ョ ン ノートで提供する DRU は、 『高速シ リ アル I/O 向けに動的にプログラム可能な

DRU』 (XAPP875) で説明しているよ うに、 11 倍のオーバーサンプリ ング データから 270Mb/s SD-SDIビッ ト ス ト リームを回復するよ う 適化されたものです。 『高速シ リ アル I/O 向けに動的にプログラム

可能な DRU』 の汎用 DRU は、 さまざまなオーバーサンプ リ ング係数を使用してデータを回復でき、

SDI コア用に 適化されたこのバージ ョ ンよ り も大規模で、 多くの FPGA リ ソースを使用します。

SMPTE ST 259 (SD-SDI 規格) [参照 5] では、270Mb/s 以外のビッ ト レート も定められています。ほと

んどの SDI インターフェイスでは 270Mb/s の SD-SDI ビッ ト レートのサポートで十分であるため、 こ

のアプリ ケーシ ョ ン ノートで提供する 適化された DRU は、 270Mb/s のみをサポート します。その他

の SD-SDI ビッ ト レート をサポートする必要があるアプ リ ケーシ ョ ンでは、 この DRU を、 『高速シ リ

アル I/O 向けに動的にプログラム可能な DRU』 の汎用 DRU に置き換えるこ とができます。 汎用 DRUは分数のオーバーサンプリ ング係数をサポート しているため、 追加の RX 基準クロ ッ ク周波数を使用し

な くても 270Mb/s 以外の SD-SDI ビッ ト レート を受信できます。 SMPTE ST 344 [参照 6] で指定され

ている 540Mb/s SD-SDI ビッ ト レートは、GTP ト ランシーバーでサポート されるライン レート範囲内

であるため、 GTP RX でこれを受信する目的で DRU を使用する必要はあ り ません。 ただし、 DRU を使用せずに 540Mb/s ビッ ト レート を受信するには、その他の SDI ビッ ト レートで使用されているもの

とは異なる基準ク ロ ッ ク周波数が必要です。 このため、 『高速シ リ アル I/O 向けに動的にプログラム可

能な DRU』 の DRU を使用して 5.5 倍のオーバーサンプリ ングで 540Mb/s ST 344 を受信した方が、標

準の SDI 基準クロ ッ ク周波数を使用できるので、 よ り簡単な方法といえます。

X-Ref Target - Figure 14

図 14 : SDI ケーブル ド ライバーと GTP ト ランスミ ッ ター出力のインターフェイス

X1097_07_090613

4.7 µF typ

FPGA Logic

GTX

TXP

TXN4.7 µF typ Network

SlewRate

SDI CableDriver BNC

Connector

Artix-7 GTP ト ランシーバーを使用して SDI インターフェイスを実現

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 25

また、 その他の SD-SDI ビッ ト レート を受信する場合は、 レシーバーがロ ッ クするまですべての SDIビッ ト レート を順に検索するこ とで SDI RX のロ ッ クを制御する、SDI RX レート検出機能を変更する

必要も あ り ます。 レー ト 検出アルゴ リ ズムは、 SMPTE SDI コ ア と 共に提供される

triple_sdi_rx_autorate.v ファ イルに実装されています。 ザイ リ ンク スでは、 その他の SD-SDIビッ ト レート をサポートする同等のモジュールを提供していません。

DRU はリ カバリ ク ロ ッ クを提供しません。 また、 GTP RX の CDR ユニッ トは、 その基準クロ ッ クに

ロ ッ ク されているため、 SD-SDI モードでは rxoutclk は入力されるビッ ト レートにロ ッ ク されません。

DRU は、出力で 10 ビッ ト データ ワードが有効であるこ とを示すデータ ス ト ローブ信号を生成します。

SDI コアは、 このデータ ス ト ローブ信号を使用してクロ ッ ク イネーブルを生成します。 これは 27MHzレートでアサート され、 GTP からの rxoutclk ク ロ ッ クに対して通常 5/6/5/6 のクロ ッ ク サイクル リ ズ

ムでアサート されます。SDI ラ ッパーからの rx_ce_sd 信号は、DRU のデータ ス ト ローブ信号で生成さ

れるため、 同じ リ ズムとな り ます。 DRU データ ス ト ローブと rx_ce_sd 信号は、 通常の 5/6/5/6 リ ズム

から外れる場合があ り ます。 これは、 実際の SD-SDI ビッ ト レート と、 GTP RX が使用する PLL へ供

給されるローカル基準ク ロ ッ クの周波数の間に発生したずれを DRU が補正するために生じる もので

す。

図 15 に、 27MHz rx_ce_sd 信号を示したオシロスコープのスク リーン シ ョ ッ ト を示します。 画面中央

の rx_ce_sd の立ち上がりエッジでスコープが ト リガーされています。スコープは無制限に継続するモー

ドであ り、 数分間分の波形を取るこ とができました。 波形は温度で色分けし、 信号の も標準的な位置

を示す赤色から、極めてまれな位置を示す青色で表示されています。 このスク リーン キャプチャの作成

に用いられた SD-SDI 入力信号は、 GTP レシーバーで使用されるローカル基準クロ ッ ク と非同期です。

中心パルスの左側または右側のいずれかにある rx_ce_sd 信号は、中心パルスから常に 5 または 6 ク ロ ッ

ク サイクルの間隔があ り ます。 これは、 rx_ce_sd 信号のリズムが 5/6/5/6 であるためです。

ト レースの左右両端にある 2 つのパルスは、 5/6/5/6 リ ズムによって中心パルスから名目上 11 サイクル

クロ ッ ク離れています。 この名目上の位置は、 黄色と赤色のパルスでマーク されています。 一番右のパ

ルスには、 中心パルスの立ち上がりエッジから 11 サイクル ク ロ ッ クの位置を縦方向の黄色い破線カー

X-Ref Target - Figure 15

図 15 : SD-SDI クロック イネーブル信号のキャプチャ (オシロスコープ画面)

X1097_15_081613

Artix-7 GTP ト ランシーバーを使用して SDI インターフェイスを実現

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 26

ソルで示しています。 青色のパルスで両側を挟まれた黄色と赤色のパルスは、 ローカルの基準クロ ッ ク

と入力される SD-SDI 信号の周波数差を補うために、 DRU が rx_ce_sd の周期を 10 ク ロ ッ ク サイクル

または 12 ク ロ ッ ク サイクルのいずれかにする必要があるこ とを表しています。

このアプ リ ケーシ ョ ン ノートの SD-SDI DRU は、 生成済みの dru.ngc という名前のファイル内に暗

号化された状態で提供されています。暗号化されているため、dru.ngc ファ イルを使用するデザインの

シ ミ ュレーシ ョ ンは実行できませんが、 このアプリ ケーシ ョ ン ノートに含まれる dru_sim.v ファ イル

には簡略化された DRU のシ ミ ュレーシ ョ ン モデルがあ り ます。 シ ミ ュレーシ ョ ンには、 dru.ngc の代わりにこのファイルを使用可能です。ただし、このシ ミ ュレーシ ョ ン モデルは GTP RX の基準クロ ッ

クや SD-SDI ビッ ト ス ト リームの周波数変動に対応していないため、 実際の FPGA で使用するこ とを

目的と したデザインには使用できません。

SD-SDI の送信

SD-SDI の受信と同様に、低速な 270Mb/s SD-SDI ビッ ト レートの送信は、GTP TX で直接サポート さ

れていません。SD-SDI 信号を送信するには、GTP TX を 2.97Gb/s ラ イン レート用にコンフ ィギュレー

シ ョ ンします。SDI コアは送信される各ビッ ト を 11 回複製するため、SDI コアから出力されて GTP TXの txdata ポートへ入力されるデータには、 各ビッ トが 11 回連続して複製されたものが含まれます。

終的に GTP TX から出力される信号は、 有効な 270Mb/s SD-SDI 信号となり ます。

SD-SDI リカバリ クロックの生成

SD-SDI モードの場合、 CDR ユニッ トは SD-SDI ビッ ト ス ト リームではなく、 基準クロ ッ クの周波数

にロ ッ ク されるため、 GTP RX の rxoutclk は実際は リ カバ リ ク ロ ッ クではあ り ません。 入力される

SD-SDI ビッ ト ス ト リームのデータ レート を示す唯一の信号は、 SDI ラ ッパーの 27MHz rx_ce_sd 出力です。

一部のビデオ アプ リ ケーシ ョ ン、 特に、 SDI インターフェイス上に回復されたビデオ データを再送信

する必要がないビデオ アプリ ケーシ ョ ンでは、 rx_ce_sd 信号がリ カバリ ク ロ ッ ク と して十分機能しま

す。通常、 この信号は、 GTP RX レシーバーからの rxoutclk でクロ ッ ク供給されているダウンス ト リー

ム モジュール用のクロ ッ ク イネーブルと して使用されます。SDI コア内の SDI データパスは、rx_ce_sdをクロ ッ ク イネーブルと して使用して機能しています。

GTP TX を使用し、受信したビデオ データを SD-SDI 信号と して再送信する場合は、低ジッターのリ カ

バリ ク ロ ッ クが必要です。 リ カバリ ク ロ ッ クは、GTP TX 向けにシ リ アル ク ロ ッ クを生成する PLL の基準クロ ッ ク と して使用できるよ うに、 十分に低ジッターである必要があ り ます。 さ らに、 GTP TX トランス ミ ッ ターが 11 倍のオーバーサンプリ ングを実行して 270Mb/s SD-SDI データを送信できるよ う

に、 リ カバリ ク ロ ッ クの周波数は 74.25MHz または 148.5MHz となるこ とが求められます。 これには、

外部に配置される低帯域幅の PLL を使用する必要があ り ます。 Artix-7 FPGA の MMCM ( ミ ッ クス ド

モード ク ロ ッ ク マネージャー ) の帯域幅は広すぎるため、 SDI レシーバーから rx_ce_sd 信号に現れる

大量の低周波ジッターを適切に除去できません。 このジッ ター除去には、 National Semiconductor 社製

LMH1983 および Silicon Labs 社製 Si5324 が効果的です。 これらのデバイ スは、 rx_ce_sd 信号を

27MHz の基準クロ ッ ク と して使用し、それを 大 74.25MHz または 148.5MHz のいずれかまで逓倍で

きる と同時にジッターも除去できます。 終的にジッ ターが除去されたクロ ッ クは、 GTP TX の基準ク

ロ ッ ク と しての使用に 適です。このアプリ ケーシ ョ ン ノートで提供するパススルー デモでは、SD-SDIモードで、このよ うにして Si5324 を使用して 27MHz rx_ce_sd 信号から GTP TX 用の 148.5MHz 基準

クロ ッ クを生成しています。 HD-SDI または 3G-SDI のいずれかを再送信する場合は、 同じ Si5324 を再プログラムして GTP RX の rxoutclk 出力からジッ ターを排除し、 HD-SDI の場合はその周波数を 2逓倍して GTP TX 用に低ジッターの 148.5MHz 基準クロ ッ クを生成します。

も う 1 つの方法は、 外部ゲンロ ッ ク PLL を使用し、 回復されたビデオ データからのビデオ同期信号に

ロッ ク させる方法です。 ゲンロ ッ ク PLL の出力が SD-SDI リ カバリ ク ロ ッ ク とな り ます。

リ カバリ ク ロ ッ クは、外部のビデオ ASSP (Application-Specific Standard Product) デバイスの駆動に必

要な場合があ り ます。 SD-SDI モード の場合、 このよ う な ク ロ ッ クには 27MHz 周波数が必要で、

rx_ce_sd 信号よ り も低いジッターが求められますが、GTP TX の基準クロ ッ クを生成する場合と同様に

極端に低いジッターは必要あ り ません。 前述のテクニッ クを使用するこ と も可能ですが、 外部コンポー

Artix-7 GTP ト ランシーバーを使用して SDI インターフェイスを実現

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 27

ネン ト を使用せずに FPGA 全体にリ カバリ ク ロ ッ クを生成する方が理想的です。 rx_ce_sd 信号はジッ

ターが大きすぎるため、Artix-7 FPGA MMCM の基準クロ ッ ク入力と して直接使用できませんが、図 16に示すよ うに、 未使用 GTP TX ト ランス ミ ッ ターを用いて SD-SDI リ カバリ ク ロ ッ クを生成する方法

があ り ます。

未使用 GTP TX の TXDATA ポートへ制御モジュールの recclk_txdata ポート を接続します。 GTP TXは、SDI 入力信号を受信している GTP RX と同じ基準クロ ッ クを使用する必要があ り ます。GTP TX のtxusrclk および txusrclk2 ポー ト は、 GTX TX の rxusrclk と rxusrclk2 ports および SDI ラ ッパーの

rx_usrclk ポート を駆動しているクロ ッ ク と同じ クロ ッ クへ接続しなければなり ません。GTP TX は、エ

ンコードなしで 20 ビッ ト の txdata ポー ト を使用する 2.97Gb/s ラ イン レー ト用にコンフ ィギュレー

シ ョ ンします。

このよ うにコンフ ィギュレーシ ョ ンした場合、 GTP TX のシ リ アル出力は、入力される SD-SDI 信号へ

周波数がロッ ク された 270MHz ク ロ ッ ク とな り ます。 つま り、 これは SD-SDI の真のリ カバリ ク ロ ッ

ク とな り ます。 GTP TX のシ リ アル出力は、 電流モード ロジッ ク (CML) 出力を正し く終端して LVDSへ転換するこ とで、Artix-7 FPGA のグローバルまたはリージ ョナル ク ロ ッ ク LVDS 入力へ接続できま

す。 さ らに、 FPGA 内で必要と される場合に 270MHz ク ロ ッ クを使用できます。たとえば、 このクロ ッ

クを 10 で分周し、内部/外部ビデオ データパスを駆動する 27MHz リ カバリ ク ロ ッ クを得るこ とが可能

です。 この信号は、 十分ジッターが低いため、 MMCM の基準クロ ッ ク と して使用できます。

DRU の recclk_txdata ポートは、SDI 制御モジュールからこのアプリ ケーシ ョ ン ノートで提供する SDIラ ッパーの出力ポートへ接続されていません。 この機能が必要な場合は、 この出力ポート を追加するた

めに SDI ラ ッパーを簡単に変更できます。

SD-SDI リ カバリ ク ロ ッ クの生成に使用される GTP TX は、SDI 用にコンフ ィギュレーシ ョ ンする必要

はあ り ませんが、 エンコードなしで常に 2.97Gb/s で動作するこ とが求められます。 制御モジュールの

recclk_txdata ポートから GTP ト ランシーバーの txdata ポートへ送信されたデータ信号が、 GTP TX シリ アル出力ピンに対して 270MHz ク ロ ッ クを生成します。 生成されたク ロ ッ クのエッジは、 2.97Gb/sラ イン レートの ±1 ビッ ト時間で移動し、出力信号の周波数が入力 SD-SDI 信号のビッ ト レート と正確

に一致するよ うに変更されます。このため、GTP TX で生成された 270MHz ク ロ ッ クのサイクル間ジッ

ターは、 ±337ps (2.97Gb/s の 1 ビッ ト時間は 337ps) と GTP TX 出力信号に本来生じるジッ ターを合計

した値とな り ます。 これを図 17 に示します。 一番上のト レースは、 GTP TX で生成された 270MHz クロ ッ クです。画面中央のリ カバリ ク ロ ッ クの立ち上がりエッジでスコープがト リガーされています。 ト

リ ガー ポイン トの左右いずれかのサイクルの立ち上がりエッジを観察する と、これらの立ち上がりエッ

X-Ref Target - Figure 16

図 16 : GTP TX を使用して SD-SDI リカバリ クロックを生成

X1097_16_081613

CableEQ

SDICore

DigitalVideoOut

ControlModule

RecoveredSD Data

DRU

GTP RX

SDI WrapperSDI In

PLL148.5MHz

rxp

rxn

gtrefclk

rxusrclk rxdata

rxusrclk2

rxoutclk

GTP TXtxusrclk

txusrclk2

gtrefclk

txdata

txp270 MHz Recovered SD Clock

txn

20

20

BUFG

rx_usrclk

gtp_rxdata

recclk_txdata

Artix-7 GTP ト ランシーバーを使用して SDI インターフェイスを実現

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 28

ジはそれぞれが明確に区別された立ち上がりポイン ト を示しているため、±337ps のサイクル間ジッター

を容易に確認できます。図 17 の下にある ト レースは、も う 1 つの GTP TX で再送信されている SD-SDIです。

recclk_txdata ポートは、 ほとんどの SDI アプ リ ケーシ ョ ンでは使用されないため、 SDI ラ ッパーの出

力には含まれていません。 必要な場合は、 SDI ラ ッパーに新しいポート を追加し、 それを制御モジュー

ルの recclk_txdata ポートへ接続するよ うに変更できます。

RX のビッ ト レート検出

SDI コアは、 GTP RX で受信する SDI 信号の SDI モード (SD-SDI、 HD-SDI、 および 3G-SDI) を自動

で判断できます。 現時点で SDI 入力信号にロッ ク されていないと判断された場合、 SDI コアは GTP トランシーバーの rxdata 出力ポートに適切な SDI データを確実に検出するまで 3 つの異なる SDI モード

で GTP RX を順に制御します。 これが検出される と、 SDI コアは rx_mode_locked 出力をアサート し、

SDI 信号へロッ ク したこ とを示します。SDI コアは sdi_mode 出力ポートに RX がロ ッ ク した SDI モー

ドを示します。

ただし、 SDI コ アが HD-SDI モード の場合、 入力 SDI 信号のビ ッ ト レー ト が 1.485Gb/s または

1.485/1.001Gb/s のいずれであるかを判断する方法があ り ません。 3G-SDI モードでも同様、 SDI コア

は、入力 SDI 信号のビッ ト レートが 2.97Gb/s または 2.97/1.001Gb/s のいずれであるかを判断できませ

ん。 そ こ で、 こ のアプ リ ケーシ ョ ン ノ ー ト で提供する制御モジ ュールには、 1.485Gb/s と

1.485/1.001Gb/s および 2.97Gb/s と 2.97/1.001Gb/s を区別できるビッ ト レート検出器が含まれていま

す。入力 SDI 信号のビッ ト レートが 1.485Gb/s または 2.97Gb/s のいずれかの場合は、 SDI ラ ッパーの

出力ポート rx_bit_rate が Low にな り ます。 入力 SDI 信号のビッ ト レートが 1.485/1.001Gb/s または

2.97/1.001Gb/s のいずれかの場合は、 rx_bit_rate が High になり ます。

ビッ ト レート検出回路を駆動するには、SDI ラ ッパーの clk 入力ポートに固定周波数クロ ッ クを供給す

る必要があ り ます。 このクロ ッ クの周波数は 10MHz 以上にするこ とを推奨します。 150Mhz 以上にな

る と、 ビ ッ ト レー ト検出ロジッ ク内でタイ ミ ングを満たすこ とが難し く なる可能性があ り ます。 SDI

X-Ref Target - Figure 17

図 17 : GTP ト ランシーバーを使用して SD-SDI リカバリ クロックを生成

X1097_17_081613

Artix-7 FPGA に SDI インターフェイスを実装

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 29

ラ ッパーには、FXDCLK_FREQ というパラ メーター /ジェネ リ ッ クがあ り、 これは clk ポートへ接続さ

れるクロ ッ ク周波数の指定に使用されます。 FXDCLK_FREQ の値は、 固定周波数クロ ッ クの周波数と

同じに設定する必要があ り ます (Hz)。

SDI ラ ッパーは RX ビッ ト レート検出機能以外の目的に固定周波数クロ ッ クを使用します。 このため、

ビッ ト レート検出機能を使用しないアプリ ケーシ ョ ンでも、SDI ラ ッパーの clk ポートには固定周波数

クロ ッ クを供給する必要があ り ます。

Artix-7 FPGA に SDI インターフェイスを実装

Artix-7 FPGA デザインに SDI インターフェイスを実装するには、 いくつかの手順に従う必要があ り ま

す。

1. 7 Series FPGAs Transceivers Wizard を使用して GTP ラ ッパーを生成します。

2. CORE Generator ツールを使用するか、 または Vivado IP カタログから SMPTE SD/HD/3G-SDILogiCORE IP を生成します。

3. こ こで提供する GTP ラ ッパーと SDI ラ ッパーをアプリ ケーシ ョ ンにインスタンシエート します。

4. こ こで提供する dru.ngc ファ イルを ISE ツールのプロジェク ト ディ レク ト リへ追加するか、また

は Vivado ツールのプロ ジ ェ ク ト へソース と し て追加し ます (詳細は、 xapp1097.zip の

readme.txt ファ イルを参照)。

5. SDI ラ ッパーに適切なタイ ミ ング制約を適用します。

ウィザード バージ ョ ン 3.0 を用いた GTP ラ ッパーの生成

7 Series FPGAs Transceivers Wizard を使用して GTP ラ ッパーを生成します。このセクシ ョ ンの手順は、

Vivado IP カタログのウ ィザード バージ ョ ン 3.0 向けです。

ウ ィザード バージ ョ ン 3.0 およびそれ以降のバージ ョ ンで生成された GTP ラ ッパーは、GTP ラ ッパー

と総称されるファ イルの階層を生成します。 ウ ィザードで生成されたファ イル一式が SDI アプ リ ケー

シ ョ ンで使用されるわけではあ り ません。GTP ラ ッパーの一部の上位階層には、SDI アプリ ケーシ ョ ン

と互換性のある初期化ロジッ クが含まれます。 アプ リ ケーシ ョ ン ノートで提供される制御ロジッ クは、

GTP ラ ッパーで提供される初期化ロジッ クに置き換えられます。ウ ィザードによって生成された 下層

の GTP ラ ッパーとそれに関連するファイル、ならびに GTP コモン ラ ッパーのみが SDI アプリ ケーシ ョ

ンで使用されます。 このため、 GTP ラ ッパーは個別の Vivado プロジェ ク ト で生成し、 それから適宜

GTP ラ ッパー ファ イルを実際の Vivado SDI プロジェク トに含める必要があ り ます。

下層の GTP ラ ッパーは、 単一 GTP ト ランシーバーに対するラ ッパーです。 この GTP ラ ッパーをア

プリ ケーシ ョ ンで必要な数だけインスタンシエート し、 SDI インターフェイス用に複数の GTP ト ラン

シーバーを実装できます。 ウ ィザードを使用する と、 同じラ ッパー内に複数のト ランシーバーを備える

上位階層のラ ッパーを作成できますが、 上位階層のラ ッパーは使用されないため、 ウ ィザードで複数ト

ランシーバーのラ ッパーを作成する必要はあ り ません。 SDI アプリ ケーシ ョ ンでは、 1 つのト ランシー

バーを使用する GTP ラ ッパーを 1 つ常に作成します。

SDI インターフェイスを実装するために複数の ト ランシーバーを含む GTP ラ ッパーを 1 つ生成する場

合は、 ウ ィザードの hd sdi プロ ト コル テンプレート を選択して ください。 これによ り、複数ト ランシー

バー向けの SDI 設定で も一般的な方法が選択されます。 3G-SDI しか実装しない複数ト ランシーバー

向けの設定であっても、3g sdi プロ ト コル テンプレートではなく、hd sdi プロ ト コル テンプレートの使

用を推奨します。

次のセクシ ョ ンでは、 Vivado IP カタログからウ ィザード バージ ョ ン 3.0 を使用して GTP ラ ッパーを

生成する手順について詳し く説明します。 ウ ィザードは、 CORE Generator ツールまたは Vivado IP カタログの 上位の FPGA Features and Design フォルダーにある IO Interfaces フォルダーに含

まれています。

[GT Selection] タブが開 く と同時にウ ィ ザー ド が起動し ます (図 18 を参照)。 タブの上部には

[Component Name] という フ ィールドがあ り ます。 こ こで入力した名前は、 GTP ラ ッパー ファ イル名、

および GTP ト ランシーバーのモジュール名と して使用されます。

Artix-7 FPGA に SDI インターフェイスを実装

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 30

[GT Selection] タブではト ランシーバーのタイプを指定できます。Artix-7 FPGA の場合、使用可能な ト

ランシーバーの種類は GTP のみです。

[Shared Logic] で、 [include Shared Logic in example design] をオンにします。

タブを切り替える場合は、 [Component Name] の下部にあるタブをク リ ッ ク します。 すべてのタブで設

定を完了するまでは、 [OK] をク リ ッ ク しないでください。[OK] をク リ ッ クする と、ウ ィザードが閉じ、

GT ラ ッパーが生成されます。

図 19 に示す [Line Rate, RefClk Selection] タブに進みます。 このタブでは、 [Protocol] ド ロ ップ ダウン

リ ス トでテンプレート と して [hd sdi] を選択します。 これによ り、 ラ イン レートが 1.485Gb/s に設定さ

れ、RX および TX 両方の基準クロ ッ ク周波数が 148.5MHz に設定されます。 ライン レート または基準

クロ ッ ク周波数をそれぞれ 1.485/1.001Gb/s および 148.5/1.001MHz に変更しないでください。 SDI 制御モジュールが、 ライン レート を 1/11 から 1/1.001 へ切り替えます。 また、 その他のライン レートへ

の動的な切り替えも SDI 制御モジュールが管理し、 3G-SDI の場合は 2.97Gb/s に、 SD-SDI の場合は

270Mb/s に変更します。このタブで指定するライン レートは必ず 1.485Gb/s にする必要があ り ます。ほ

かの基準クロ ッ ク周波も選択できますが、 [Reference Clock] プルダウン リ ス ト で選択可能な数値に限

定されます。

X-Ref Target - Figure 18

図 18 : 7 Series FPGAs Transceivers Wizard – [GT Selection] タブ

X1097_18_103013

Artix-7 FPGA に SDI インターフェイスを実装

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 31

[TX off] および [RX off] のチェッ ク ボッ クスを使用する と、 ト ランス ミ ッ ターのみ ([RX off] をオン)またはレシーバーのみ ([TX off] をオン) を含む GTP ラ ッパーを作成できます。

[Line Rate, RefClk Selection] タブでは、GTP ラ ッパーに含める GTP ト ランシーバーと クワッ ドを選択

できます。 こ こでは、 PLL が使用する基準クロ ッ ク、 および各ト ランシーバーへシ リ アル ク ロ ッ クを

供給する PLL を選択できます。 2 つの PLL 間で TX を動的に切り替えるこ とが必要となるアプ リ ケー

シ ョ ンの場合、両 PLL が GTP ラ ッパーで有効となるよ うに、RX に割り当てられている PLL とは別の

PLL が TX PLL となるよ うに指定する必要があ り ます。 制御モジュールは、 2 つの PLL 間で各 TX を動的に切り替えます。

このタブを開いた時点でのデフォルトでは、 同じ基準クロ ッ ク (REFCLK1) が両 PLL に割り当てられ

ています。図 19 では、 この割り当てが変更されており、異なる基準クロ ッ クが 両 PLL に割り当てられ

ています。 これは、 大抵の SDI アプリ ケーシ ョ ンで求められる一般的な要件です。 また、 どの GTP トランシーバーが選択されているかは問題ではなく、ト ランシーバーを 1 つだけ選択するこ とが重要です。

タブを開いた時点で選択されているデフォルトの ト ランシーバーを使用しても問題あ り ません。

図 20 に示す [Encoding and Clocking] タブに進みます。HD-SDI プロ ト コルが選択されている場合、 こ

のタブの内容は SDI アプリ ケーシ ョ ン向けに自動的にセッ ト アップされます。このタブの選択の大部分

は変更できませんが、 変更可能なものもあ り ます。

X-Ref Target - Figure 19

図 19 : 7 Series FPGAs Transceivers Wizard – [Line Rate, RefClk Selection] タブ

X1097_19_103013

Artix-7 FPGA に SDI インターフェイスを実装

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 32

[Use DRP] はオンになっており、 変更できません。 ただし、 DRP バスの周波数は正し く設定する必要

があ り ます。 GTP ラ ッパーは DRPCLK を使用して特定シーケンスの遅延を調整します。 このため、

DRPCLK の公称周波数は [Use DRP] の横にあるボッ クス ([DRP Clock Frequency (MHz)]) で正し く指

定する必要があ り ます。図 20 に示す例では、DRPCLK 周波数はデフォルトの 100MHz から 27MHz に変更されています。

必要に応じて、 [Optional Ports] で [PLL0 PD] と [PLL1 PD] をオンにできます。 これらのポート をオン

にする と、 それぞれの PLL の電源を切断できます。 大抵の SDI アプリ ケーシ ョ ンでは、 2 つの PLL が常に使用されるため、 これらのポートはラ ッパーに含まれないよ う通常はオフにします。

図 21 に示す [Comma Alignment and Equalization] タブに進みます。 このタブの [RXCOMMAAlignment] の設定は、図 21 に示すデフォルト設定から変更できません。特に、 [Use comma detection]と [RXSLIDE] ポートはオンにしないでください。

X-Ref Target - Figure 20

図 20 : 7 Series FPGAs Transceivers Wizard – [Encoding and Clocking] タブ

X1097_20_103013

Artix-7 FPGA に SDI インターフェイスを実装

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 33

[Termination and Equalization] の設定は、図 21 に示すデフォルト設定から変更できません。特に、 [RXEqualization Mode] は [LPM-Auto] に、[RX Termination Voltage] は [Programmable] に、そして [TrimValue] は [800mV] に設定する必要があ り ます。

[Optional Ports] のポートは、 アプ リ ケーシ ョ ンの要件に応じて有効 (オン) または無効 (オフ) にできま

す。 [TXDIFFCTRL] ポートは一般に有効にします。 これによ り、 TX の出力範囲が外部の SDI ケーブル

ド ライバーの入力電圧要件を満たすよ うにアプリケーシ ョ ンで設定されます。外部の SDI ケーブル ド ラ

イバーに対して TX からの信号の整合性を向上させるために [TXPOSTCURSOR]、 [TXPRECURSOR]、および [TXMAINCURSOR] ポートが必要な場合、 これらのポート をオンにできます。

図 22 に示す [PCIE, SATA, PRBS] タブに進みます。 このページの多くのオプシ ョ ンは SDI との関連性

がないため、デフォルト値のまま変更しないでください。 [Optional Ports] には、 SDI アプリ ケーシ ョ ン

で役立つポートがいくつかあ り ます。

[LOOPBACK] ポートはデフォルトでオンになっています。 このポート を使用する と、 GTP TX で送信

されたデータを同じ ト ランシーバー内にある GTP RX へループ バッ クする、 さまざまなループバッ ク

モードを動的に選択できます。 ループバッ ク モードはデバッグ目的での使用は有用ですが、 プロダク

シ ョ ン アプリ ケーシ ョでは一般に使用されません。

[TXPOWERDOWN] および [RXPOWERDOWN] ポート を使用する と、消費電力を削減するために TXおよび RX の電源を動的に切断できます。

X-Ref Target - Figure 21

図 21 : 7 Series FPGAs Transceivers Wizard – [Comma Alignment and Equalization] タブ

X1097_21_103013

Artix-7 FPGA に SDI インターフェイスを実装

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 34

これで、SDI アプリ ケーシ ョ ン用の GTP ラ ッパーを作成する際に必要なすべての設定が完了です。[CBand CC Sequence] タブは、 チャネル ボンディングおよびクロ ッ ク コレクシ ョ ンを使用するプロ ト コル

用です。 SDI ではこれらのいずれかを使用します。 [Summary] タブは、 ほかのタブで設定した内容をま

とめています。 さまざまなタブで選択したすべての設定に問題なければ、 [OK] をク リ ッ ク して GTPラ ッパーを生成できます。

バージ ョ ン 3.0 のウ ィザードは、 一連のファイルをフォルダーの 1 つの階層に生成します。 使用される

ファ イルを次に示します。ファ イル名には、GTP ラ ッパーの生成に使用したコンポーネン ト名が接頭辞

と して付きます。 こ この例では、 コンポーネン ト名は a7gtp_sdi_wrapper です。

すべてのファイル名は Verilog ファ イル名 (拡張子 .v) と して表示されますが、 VHDL がプロジェク ト

言語と して選択されている場合、 ファ イル名の拡張子は .vhd とな り ます。

<vivado_project>/<vivado_project>.srcs/sources_1/ip/a7gtp_sdi_wrapper フォルダー :

• a7gtp_sdi_wrapper_gt.v

<vivado_project>/<vivado_project>.srcs/sources_1/ip/

a7gtp_sdi_wrapper/a7gtp_sdi_wrapper/example_design フォルダー :

• a7gtp_sdi_wrapper_gtrxreset_seq.v

• a7gtp_sdi_wrapper_rxrate_seq.v

• a7gtp_sdi_wrapper_sync_block.v

X-Ref Target - Figure 22

図 22 : 7 Series FPGAs Transceivers Wizard – [PCIE、 SATA、 PRBS] タブ

X1097_22_103013

Artix-7 FPGA に SDI インターフェイスを実装

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 35

<vivado_project>/<vivado_project>.srcs/sources_1/ip/

a7gtp_sdi_wrapper/a7gtp_sdi_wrapper/example_design/support フォルダー :

• a7gtp_sdi_wrapper_common.v

後のサポート フォルダーは、 ウ ィザードでの GTP ラ ッパーの生成後に存在しないこ とがあ り ます。

その場合、 Vivado ツールで、 [Sources] ビューの GTP ラ ッパーを右ク リ ッ ク し、 [Open IP ExampleDesign] メニューを選択します。 これによ り、 追加のサポート ファ イルとその内容が生成されます。

a7gtp_sdi_wrapper_gt.v ファ イルは、 単一 GTP ト ランシーバーに対するラ ッパーです。 このラ ッ

パーは SDI アプリ ケーシ ョ ンで 1 回または数回インスタンシエートする必要があ り ます (SDI インター

フェイス と して使用される、 各ト ランシーバーにつき 1 つのインスタンス)。

a7gtp_sdi_wrapper_common.v ファ イルは、 2 つの PLL を含む GTPE2_COMMON プ リ ミ テ ィブ

に対する GTP クワ ッ ド用のラ ッパーです。 このラ ッパーはアプリ ケーシ ョ ンで少なく と も 1 回インス

タンシエートする必要があ り、 SDI インターフェイスで 2 つ以上の GTP クワ ッ ドが使用されている場

合は複数回インスタンシエートする必要があ り ます。

バージ ョ ン 3.0 のウ ィザードを使用する場合、 コモン ラ ッパーが必ずしも正確なものになる とは限り ま

せん。 このバージ ョ ンのウ ィザードでは、 コモン ラ ッパーにある GTPE2_COMMON プリ ミ ティブの

PLL0REFCLKSEL ポー ト と PLL1REFCLKSEL ポー ト が 3'b001 に設定されます。 このため、 ウ ィ

ザードの GUI で 2 つの PLL に対して異なる基準クロ ッ クが選択されたと しても、 これらの両 PLL は常に同じ基準クロ ッ クを使用するこ とにな り ます。2 つの PLL に対して異なる基準クロ ッ クを使用する

場合、 コモン ラ ッパーを変更して PLL0REFCLKSEL ポートおよび PLL1REFCLKSEL ポート を適切

に設定する必要があ り ます。 このアプ リ ケーシ ョ ン ノー ト で提供される SDI デモで使用するコモン

ラ ッパーは、PLL0REFCLKSEL と PLL1REFCLKSEL がコモン ラ ッパーのポート と して使用されよ う

に変更されています。これによ り、それぞれのアプリ ケーシ ョ ンで、各 PLL の正しい基準クロ ッ ク ソー

スが選択されるよ うにこれらのポート を必要に応じて設定できます。

SMPTE SD/HD/3G-SDI LogiCORE IP の生成

CORE Generator または Vivado IP カタログを使用して SMPTE SD/HD/3G-SDI コアを生成します。従

来の Triple-Rate SDI コ アは Virtex-6 FPGA 用と なっているため、 使用でき ません。 SMPTESD/HD/3G-SDI コアは、 7 シ リーズ FPGA で利用できる一般的な SDI コアです。

SDI コアは、 ソース コードで提供され、 あらかじめコンパイルされたコアではあ り ません。 SDI コアを

生成する と、プロジェク トの設定言語に従って Verilog または VHDL のいずれかで、SDI コア用のソー

ス コード ファ イルを含むフォルダーが作成されます。 Vivado IP カタログから生成された場合、 SDI コア用に Verilog ファ イルしか作成されません。

SDI コアの生成時に利用できる唯一のオプシ ョ ンは、 RX 部分に EDH (エラー検出と処理) プロセッサ

を含めるか否かです。 RX EDH プロセッサを含めない場合でも、 SDI コアにはすべての RX EDH ポー

トが含まれますが、 これらは非アクティブに設定されます。

GTP および SDI ラ ッパーのインスタンシエート

GTP ラ ッパーと SDI ラ ッパーは、 ユーザー デザインでインスタンシエート し、 相互接続する必要があ

り ます。 こ こで提供する SDI ラ ッパーを使用せずに SDI インターフェイスを実装するこ と も可能です

が、 ラ ッパーは SDI 制御モジュールと SDI コアを相互接続するため、 これを使用した方が設計が簡単

になり ます。ラ ッパーを使用しない場合は、ユーザーがこれらの接続をすべて行わなければなり ません。

SDI ラ ッパー フ ァ イルは、 a7gtp_sdi_rxtx_wrapper.v (Verilog の場合) または

a7gtp_sdi_rxtx_wrapper.vhd (VHDL の場合) とな り ます。 SDI コアのほかに、次のファイルもイ

ンスタンシエート されます。

• a7gtp_sdi_control.v/vhd

• a7gtp_tx_control.v/vhd

• a7gtp_sdi_drp_control.v/.vhd

Artix-7 FPGA に SDI インターフェイスを実装

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 36

• a7gtp_sdi_drp_arbit.v/.vhd

• a7gtp_sdi_rx_reset_control.v/.vhd

• sdi_rate_detect.v/.vhd

• dru_bshift10to10.v/.vhd

• dru_maskencoder.v/.vhd

• dru_control.v/.vhd

• dru_rot20.v/.vhd

• dru.v (Verilog のみ)

dru.v ファ イルは空のモジュールで、 Verilog の場合にあらかじめコンパイルされた dru.ngc ファ イ

ルでポート を指定します。 a7gtp_sdi_rxtx_wrapper.v ファ イルを使用する場合は、 プロジェク ト

に dru.v ファ イルを追加する必要があ り ます。 VHDL ファ イル (a7gtp_sdi_rxtx_wrapper.vhd)を使用する場合は、 コンポーネン ト定義が dru.vファ イルと同じ目的を果たすため、 dru.v ファ イル

は必要あ り ません。

ISE ツールを使用する場合は、 このアプリ ケーシ ョ ン ノートで提供する dru.ngc ファ イルを ISE プロ

ジェク トのディ レク ト リに移動またはコピーする必要があ り ます。 これによって、 ツールがこのファイ

ルを認識してデザインに含める こ とができます。 Vivado ツールを使用する場合は、 Verilog または

VHDL ファ イルを追加する時と同じよ うにソース ファ イルと して dru.ngc ファ イルをプロジェ ク ト

に追加します。dru.ngc ファ イルは、あらかじめ生成されて、暗号化されている DRU モジュールです。

注意 : このアプ リ ケーシ ョ ン ノートに含まれる dru_sim.v または dru_sim.vhd ファイルは、実際の FPGAで使用するデザインに使用できません。これらのファ イルは、シ ミ ュレーシ ョ ンで使用するこ とを目的と してい

ます。 実際のハード ウ ェア インプ リ メ ンテーシ ョ ンでこれらのフ ァ イルを使用する と、 SDI レシーバーで

SD-SDI 信号を正し く受信できないこ とがあ り ます。 シ ミ ュレーシ ョ ン用には、 dru.v ファ イルと dru.ngcファイルの代わりに、 dru_sim.v ファイルまたは .vhd ファイルをデザインに追加できます。

重要 : SDI ラ ッパーには、SMPTE SD/HD/3G-SDI コアのインスタンスが含まれています。 IP カタログ

を使用して生成されたと きに SDI コアに与えられた名前が、SDI ラ ッパーにコアがインスタンシエート

されている場所で使用されるよ うに、 SDI ラ ッパーを変更する必要があ り ます。 これは、 SMPTE SDIコアを生成する際にコンポーネン ト名 smpte_sdi を使用するこ とで解決できます。

表 1 に、SDI ラ ッパーのすべてのポートについて説明しています。このポート リ ス トは SDI コアのポー

ト リ ス ト と類似していますが、 いくつか相違点があ り ます。 また、 GTP と SDI ラ ッパーの接続方法の

例についても、 このアプリ ケーシ ョ ン ノートで提供する SDI アプリ ケーシ ョ ン例を参照してください。

一部の信号は、 ビデオ サンプル周期の数サイクル間アサート されるものと して説明されています。 ビデ

オ サンプル周期は、SDI モードに応じて適切なクロ ッ ク (tx_usrclk または rx_usrclk) の異なるサイクル

数が適用されます。 HD-SDI および 3G-SDI level A モードの場合、 サンプル周期は 1 ク ロ ッ ク サイク

ル続きます。 SD-SDI level B の場合、 サンプル周期は 5 または 6 ク ロ ッ ク サイ クル続き、 ク ロ ッ ク イネーブル (tx_ce または rx_ce_sd) がアサート されるクロ ッ クの立ち上がりエッジで開始および終了しま

す。 3G-SDI level B モードの場合、 3G-SDI データ レディ信号 (tx_din_rdy または rx_dout_rdy_3g) のアサートで制御され、 サンプル周期は 2 ク ロ ッ ク サイクル間とな り ます。

このリ ス トにあるほとんどの RX および TX ポートは、SDI ラ ッパーにインスタンシエート された SDIコアの同じ名前のポートへ直接接続されます。ビデオ信号およびビデオ タイ ミ ング信号のタイ ミ ング図

は、 『SMPTE SD/HD/3G-SDI 製品ガイ ド』 (PG071) を参照してください。

Artix-7 FPGA に SDI インターフェイスを実装

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 37

.

表 1 : SDI ラッパーのポート リス ト

ポート名 I/O 幅 説明

clk 入力 1 固定周波数のフ リー ランニング ク ロ ッ クへ接続する必要があ り ます。 このク ロ ッ クは、

さまざまなタイ ミ ング目的と して SDI ラ ッパーで使用されます。 このク ロ ッ クの周波数

は、 パラ メーター /ジェネ リ ッ ク FXDCLK_FREQ で指定されます。 このクロ ッ ク周波数

が FXDCLK_FREQ で指定した周波数と正確に一致しない場合は、 ラ ッパーで生成され

たタイ ミ ング遅延が不正で、RX ビッ ト レート検出回路が機能していない可能性があ り ま

す。

受信ポート

rx_rst 入力 1 この同期リセッ ト入力は SDI コアのレシーバー部を リセッ ト します。 また、 リセッ トが

必要ないため、 通常は Low にハード接続できます。 FPGA のコンフ ィギュレーシ ョ ン完

了直後から GTP RX が完全に初期化されるまで、 SDI ラ ッパーは SDI コアの RX 部を リ

セッ ト状態に保持します。 rx_change_done 出力がアサート される と GTP RX の初期化が

完了し、 SMPTE SDI コアは完全な動作モード となるため、 リセッ トは必要あ り ません。

この入力は SDI コアのレシーバー部のみを リ セッ ト します。 GTP ト ランシーバーの リ

セッ トは開始しません。

レシーバーを完全にリセッ トするために rx_rst を High に設定する場合は、 rx_ce_sd とrx_din_rdy_3g の両方を High にします。

rx_rst をアサートする と、 自動 SDI モード ロ ッ ク検出機能を制御するステート マシンも

リセッ ト されます。SDI RX が単にロ ッ ク されていないという理由で rx_rst をアサート し

ないでください。 アサートする と、 SDI RX はロッ ク しな くな り ます。

rx_usrclk 入力 1 GTP ト ランシーバーの rxusrclk 入力を駆動する同じ クロ ッ クでこの入力を駆動する必要

があ り ます (一般にグローバル ク ロ ッ ク バッファーを介する GTP の rxoutclk)。ク ロ ッ ク

周波数は、 3G-SDI および SD-SDI モード では 148.5MHz (または 148.5/1.001MHz)、HD-SDI モードでは 74.25MHz (または 74.25/1.001MHz) と します。特記のない限り、間、

名前の先頭に rx_ がある SDI ラ ッパーのすべての入力および出力は、 このクロ ッ ク と同

期します。

rx_gtp_full_reset 入力 1 この入力が High にアサート される と、GTP RX の完全な リセッ ト シーケンスが開始しま

す。 まず、 このモジュールの gtp_rxpllreset 出力が PLL リ セッ ト入力に接続される と、

PLL がリセッ ト されます。 PLL が基準クロ ッ ク入力にロ ッ ク された後、 GTP の gtrxresetを使用して GTP RX がリセッ ト されます。 rx_change_done 出力がアサート される と、 こ

の リセッ ト シーケンスは完了します。

rx_gtp_full_reset 入力に接続される信号は gtp_drpclk ク ロ ッ ク と同期する必要があ り ま

す。

rx_gtp_reset 入力 1 この入力が High にアサート される と、GTP の gtrxreset を使用して GTP RX がリセッ ト

されます。 GTP RX にシ リアル ク ロ ッ クを供給する PLL がロ ッ ク されていない場合は、

この PLL がロ ッ ク されるまで gtrxreset シーケンスは完了しません。 rx_change_done 出力がアサート される と、 この リセッ ト シーケンスは完了します。

rx_gtp_reset 入力に接続される信号は gtp_drpclk ク ロ ッ ク と同期する必要があ り ます。

rx_fabric_reset_out 出力 1 FPGA コンフ ィギュレーシ ョ ン完了後にこの出力は High にアサート され、GTP RX が完

全に初期化されるまで High のままです。 この期間、 GTP rxoutclk の周波数は 297MHzになり ます。 サポートする rxoutclk 大周波数が 148.5MHz のモジュールに問題が発生

しないよ うに、 rx_fabric_reset_out 信号を使用してこれらのモジュールを初期化中はリ

セッ ト状態に保持できます。

rx_refclk_stable 入力 1 RX 初期化ロジッ クはこの入力を使用し、 PLL の基準クロ ッ クが安定するまで、 GTP RXにシ リ アル ク ロ ッ クを供給する PLL を リセッ ト状態に保持します。この SDI ラ ッパーが

PLL リ セ ッ ト を制御し ている場合は、 PLL の基準ク ロ ッ ク が安定する まで、

rx_refclk_stable 入力を Low に保持する必要があ り ます。 この入力によって PLL リセッ

ト が開始する こ と はあ り ません。 rx_refclk_stable 入力が High にな る まで、

rx_gtp_full_reset 入力によって開始された PLL リセッ ト シーケンスの完了を遅延させる

だけです。

この入力は非同期入力と して処理されます。

Artix-7 FPGA に SDI インターフェイスを実装

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 38

rx_frame_en 入力 1 SDI フレーマー機能を有効にします。 High のと きに、 自動的に出力ワード アライ メン ト

を EAV (アクティブ ビデオの終了) または SAV (アクティブ ビデオの開始) というそれぞ

れのタイ ミ ング基準信号 (TRS) のアライ メン ト と一致するよ うにフレーマーが再調整し

ます。 通常は、 常に High とな り ます。 ただし、 正し く制御されている場合は、 この入力

を使用して TRS アラ イ メ ン ト のフ ィルタ リ ングが可能です。 たとえば、 rx_nsp 出力を

rx_frame_en 入力に接続した場合、フレーマーは揃っていない 1 つの TRS 信号を無視し、

2 つ目に一致した TRS によって新たなワード アラ イ メ ン ト が確認されるまで、 現在の

ワード アライ メン ト を保持します。 TRS アライ メン ト フ ィルタ リ ング機能を用いる場合

は、同期スイ ッチング ラインで rx_frame_en 入力を High にし、それらのライン上の TRSフ ィルタ リ ング機能をすべて無効にする必要があ り ます。

rx_mode_en 入力 3 このポートには、 3 つの SDI モードの受信をそれぞれ有効にするためのビッ トがあ り ま

す。

• ビッ ト 0 は HD-SDI モードを有効にする

• ビッ ト 1 は SD-SDI モードを有効にする

• ビッ ト 2 は 3G-SDI モードを有効にする

あるビッ トが High の場合、 SDI RX が入力信号にロッ ク していないと きに、 正しい SDIモードの検出に対応する SDI モードが含まれます。 あるビッ トが Low の場合、 SDI RXはそのモードの入力 SDI 信号を検出しません。 これらのビッ トで未使用 SDI モードを無

効にするこ とで、モード変更時に SDI RX が入力信号へロッ クするまでの時間を短縮でき

ます。

rx_mode 出力 2 SDI RX の現在の SDI モードを示します。

• 00 = HD-SDI

• 01 = SD-SDI

• 10 = 3G-SDI

レシーバーがロ ッ ク されていない場合は、 SDI RX が正しい SDI モードを検索し、 この

ポートの値が変更されます。 この際、 x_mode_locked 出力は Low になり ます。 SDI RXが正しい SDI モードを検出する と、 rx_mode_locked 出力が High に遷移し、 このポート

が入力 SDI 信号のモードを示します。

rx_mode_hd

rx_mode_sd

rx_mode_3g

出力 1 これら 3 つの出力ポートは、 rx_mode ポートのデコード されたバージ ョ ンです。 SDI RXが入力信号を検出してロ ッ クするまで次々と変更される rx_mode ポート とは異なり、SDIRX がロッ ク されていない場合、これらの出力はすべて Low になり ます。rx_mode_lockedが High に遷移する と、SDI RX の現在の SDI モード と一致した出力が Hgih になり ます。

rx_mode_locked 出力 1 この出力が Low の場合、SDI RX は入力データ ス ト リームと一致する SDI モードを積極

的に検索します。 この際、 rx_mode 出力ポートの値は頻繁に変化します。 SDI RX が現在

の SDI モードにロ ッ クする と、 このポートの出力が High になり ます。

rx_bit_rate 出力 1 この出力ポートは、 HD-SDI および 3G-SDI モードで受信されているビッ ト レート を次

のよ うに示します。 この出力は SD-SDI モードでは無効です。

HD-SDI モード :

• rx_bit_rate = 0 : ビッ ト レート = 1.485Gb/s

• rx_bit_rate = 1 : ビッ ト レート = 1.485/1.001Gb/s

3G-SDI モード :

• rx_bit_rate = 0 : ビッ ト レート = 2.97Gb/s

• rx_bit_rate = 1 : ビッ ト レート = 2.97/1.001Gb/s

rx_t_locked 出力 1 SDI RX で伝送検出機能が SDI 信号の伝送フォーマッ ト を認識する と High になり ます。

rx_t_family 出力 4 SDI インターフェイスで転送信号と して使用されているビデオ信号のファ ミ リ を示しま

す。 この出力は、 rx_t_locked が High の場合のみ有効です。 このポートは、 転送されて

いる画像のビデオ フォーマッ ト を必ずし も認識する とは限らず、 転送の特性のみを認識

します。 このポートのエンコードについては、 表 4 を参照してください。

表 1 : SDI ラッパーのポート リス ト (続き)

ポート名 I/O 幅 説明

Artix-7 FPGA に SDI インターフェイスを実装

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 39

rx_t_rate 出力 4 SDI 転送信号のフレーム レート を示します。 これは、 実際の画像のフレーム レート と同

じになる とは限り ません。 このポート のエンコードについては、 表 5 を参照して くださ

い。 この出力は、 rx_t_locked が High の場合のみ有効です。

rx_t_scan 出力 1 SDI 転送信号がインターレース (Low) またはプログレッシブ (High) のいずれかを示しま

す。 これは、 実際の画像のスキャン モード と同じになる とは限り ません。 この出力は、

rx_t_locked が High の場合のみ有効です。

rx_level_b_3g 出力 1 入力 3G-SDI 信号が level B の場合 High にアサート され、3G-SDI level A の場合 Low にアサー ト されます。 この出力は、 SDI RX が 3G-SDI 信号にロ ッ ク し ている場合

(rx_mode_3g が High) のみ有効です。

rx_ce_sd 出力 1 SD-SDI モード用のクロ ッ ク イネーブル信号です。 この出力は、 SD-SDI モードの場合に

平均して 5.5 サイ クル中に 1 サイ クル (rx_usclk) の割合でアサート されます。 rx_ds1aポートの SD-SDI データ ス ト リームおよび RX ビデオ タイ ミ ング信号 (rx_trs、 rx_eav、および rx_sav) は、 SD-SDI モードで rx_ce_sd が High の場合のみ有効です。 その他の

SDI モードでは常に High とな り ます。

rx_nsp 出力 1 この出力が High の場合、 SDI フレーマーが新しいワード アライ メン トで TRS (EAV または SAV) を検出したこ とを示します。 rx_frame_en が High の場合、 この出力はビデオ

サンプル周期の 1 サイクル間のみアサート されます。 rx_frame_en が Low の場合、 この

出力はフレーマーが新しい TRS アライ メン トに再調整できるよ うになるまで (TRS が生

じている間に rx_frame_en をアサートする) High を保持します。

rx_line_a 出力 11 SDI 入力信号の Y データ ス ト リームの LN ワードからキャプチャした現在のライン番号

がこのポート に出力されます。 この出力は、 HD-SDI および 3G-SDI モードで有効とな

り、 SD-SDI モードでは無効とな り ます。 3G-SDI level B の場合、出力値は、 リ ンク A または HD-SDI 信号 1 の Y データ ス ト リームからキャプチャしたライン番号とな り ます。

3G-SDI level B またはデュアル リ ンク HD-SDI での1080p 60Hz 転送など、インターフェ

イスのライン番号が画像のライン番号と同じではない場合は、このポートの出力値は常に

インターフェイスのライン番号となり ます (画像のライン番号ではない)。

rx_a_vpid 出力 32 データ ス ト リーム 1 からの SMPTE ST 352 [参照 7] ペイロード ID パケッ トの 4 つすべて

のデータ バイ トがこのポートに出力されます。 フォーマッ トは次のとおりです。 MS バイ

トから LS バイ ト : バイ ト 4、 バイ ト 3、 バイ ト 2、 バイ ト 1この出力は、 rx_a_vpid_valid がHigh の場合のみ有効です。 このポートは、 すべての SDI モードで有効になる可能性があ

り ますが、 SDI 信号に ST 352 パケッ トが組み込まれている場合のみ該当します。 3G-SDIlevel A では、出力データはデータ ス ト リーム 1 (luma) からキャプチャした ST 352 データ

バイ ト とな り ます。 3G-SDI level B では、 出力データはリ ンク A のデータ ス ト リーム 1 (デュアル リ ンク ス ト リーム) または HD-SDI 信号 1 (デュアル HD-SDI 信号) からキャプ

チャした ST 352 データ バイ ト とな り ます。

rx_a_vpid_valid 出力 1 rx_a_vpid が有効の場合に High とな り ます。 SDI RX がロ ッ ク されていない場合は、 有

効な出力と してみなされません。

rx_b_vpid 出力 32 データ ス ト リーム 2 からの SMPTE ST 352 ペイロード ID パケッ トの 4 つすべてのデータ

バイ トがこのポートに出力されます。 フォーマッ トは次のとおりです。 MS バイ トから LSバイ ト : バイ ト 4、 バイ ト 3、 バイ ト 2、 バイ ト 1 この出力は、 3G-SDI モード で、

rx_b_vpid_valid が High の場合のみ有効です。 3G-SDI level A では、 出力データはデータ

ス ト リーム 2 (chroma) からキャプチャした ST 352 データ バイ ト とな り ます。3G-SDI levelB では、 出力データはリ ンク B のデータ ス ト リーム 1 (デュアル リ ンク ス ト リーム) また

は HD-SDI 信号 2 (デュアル HD-SDI 信号) からキャプチャした ST 352 データ バイ ト とな

り ます。

rx_b_vpid_valid 出力 1 rx_b_vpid が有効の場合に High とな り ます。 SDI RX がロッ ク されていない場合は、 有

効な出力と してみなされません。

表 1 : SDI ラッパーのポート リス ト (続き)

ポート名 I/O 幅 説明

Artix-7 FPGA に SDI インターフェイスを実装

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 40

rx_crc_err_a 出力 1 前画像のラインで CRC (巡回冗長検査) エラーが検出されたと きに High を 1 ビデオ サン

プル周期間アサート します。 3G-SDI level B では、 この出力はデータ ス ト リーム 1 のみ

の CRC エラーを示します。 このモードでは、 データ ス ト リーム 2 の CRC エラーを示す

ために 2 つ目の出力 rx_crc_err_b があ り ます。 この出力は SD-SDI モードでは無効です。

CRC エラー出力は、 前画像のラインで CRC エラーが検出される と、 1 ビデオ ラ イン周

期間 High にアサート されます。 rx_eav 信号がアサート されるビデオ サンプルのタイ ミ

ングから rx_crc_err_a 信号の値が変更されるまでのレイテンシは SDI モードによって異

なり、 6 または 7 ビデオ サンプル周期とな り ます。

rx_ds1a 出力 10 回復された SDI データ ス ト リーム 1 がこのポートに出力されます。 このデータ ス ト リー

ムの内容は、 SDI モードによって異なり ます。

• SD-SDI : 多重化 Y/CB/CR コンポーネン ト

• HD-SDI : Y コンポーネン ト

• 3G-SDI level A : データ ス ト リーム 1

• 3G-SDI level B-DL : リ ンク A のデータ ス ト リーム 1

• 3G-SDI level B-DS : HD-SDI 信号 1 の Y コンポーネン ト

rx_ds2a 出力 10 回復された SDI データ ス ト リーム 2 がこのポートに出力されます。 このデータ ス ト リー

ムの内容は、 SDI モードによって異なり ます。

• SD-SDI : 使用しない

• HD-SDI : インターリーブされた CB および CR コンポーネン ト

• 3G-SDI level A : データ ス ト リーム 2

• 3G-SDI level B-DL : リ ンク A のデータ ス ト リーム 2

• 3G-SDI level B-DS : HD-SDI 信号 1 のインターリーブされた CB および CR コンポー

ネン ト

rx_eav 出力 1 データ ス ト リーム出力ポート (rx_ds1a、 rx_ds2a、 rx_ds1b、 および/または rx_ds2b) にEAV の XYZ ワードが現れる と、 この出力が 1 ビデオ サンプル周期間 High にアサート

されます。

rx_sav 出力 1 データ ス ト リーム出力ポートに SAV の XYZ ワードが現れる と、この出力が 1 ビデオ サンプル周期間 High にアサート されます。

rx_trs 出力 1 連続して 4 ビデオ サンプル周期間 High にアサート され、EAV または SAV の 4 ワードす

べて (3FF ワードから XYZ ワード ) がデータ ス ト リーム ポートに出力されます。

rx_line_b 出力 11 3G-SDI level B の場合のみ有効で、出力値は、 リ ンク B または HD-SDI 信号 2 の Y デー

タ ス ト リームのライン番号です。 インターフェイスのラ イン番号が画像のライン番号と

同じでない場合は、このポートの出力値は常にインターフェイスのライン番号とな り ます

(画像のライン番号ではない)。

rx_dout_rdy_3g 出力 1 3G-SDI level B モードの場合、出力データ レートは 74.25MHz ですが、 rx_usrclk 周波数

は 148.5MHz です。この出力は、3G-SDI level B モードで rx_usrclk の 1 サイクルおきに

アサート されます。 この出力が High のと きにデータ ス ト リームとビデオ タイ ミ ング出

力が有効にな り ます。 その他の SDI モードの場合は常に High に設定され、 ダウンス ト

リーム モジュール用のクロ ッ ク イネーブルと して利用できます。

rx_crc_err_b 出力 1 3G-SDI level B モードでのみ有効な CRC エラー インジケーターです。 3G-SDI B-DL 信号のリ ンク B および 3G-SDI level B-DS 信号の HD-SDI 信号 2 で CRC エラーが検出さ

れたこ とを示します。

rx_crc_err_a 信号と同じタイ ミ ングです。

3G-SDI B-DL と B-DS を区別するには、 rx_a_vpid または rx_b_vpid ポートに出力され

る値をデコードする必要があ り ます。

rx_ds1b 出力 10 3G-SDI level B モードの場合のみ有効です。 このポートに出力されるデータ ス ト リーム

は次のとおりです。

• 3G-SDI level B-DL : リ ンク B のデータ ス ト リーム 1

• 3G-SDI level B-DS : HD-SDI 信号 2 の Y コンポーネン ト

表 1 : SDI ラッパーのポート リス ト (続き)

ポート名 I/O 幅 説明

Artix-7 FPGA に SDI インターフェイスを実装

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 41

rx_ds2b 出力 10 3G-SDI level B モードの場合のみ有効です。 このポートに出力されるデータ ス ト リーム

は次のとおりです。

• 3G-SDI level B-DL : リ ンク B のデータ ス ト リーム 2

• 3G-SDI level B-DS : HD-SDI 信号 2 のインターリーブされた CB および CR コンポー

ネン ト

rx_edh_errcnt_en 入力 16 EDH カウンターをインク リ メン トする EDH エラー条件を制御します。 詳細は、 表 6 を参照してください。

rx_edh_clr_errcnt 入力 1 この入力が High に遷移する と EDH エラー カウンターがク リ アされます。 EDH エラー

カウンターは、 x_edh_clr_errcnt と rx_ce_sd の両方が High の場合に rx_usrclk の立ち上

がりエッジでク リ アされます。

rx_edh_ap 出力 1 前のフ ィールド用に計算されたアクティブ画像 (AP) CRC が EDH パケッ トの AP CRC値と一致していない場合に High にアサート されます。

rx_edh_ff 出力 1 前のフ ィールド用に計算されたフル フ ィールド (FF) CRC が EDH パケッ トの AP CRC値と一致していない場合に High にアサート されます。

rx_edh_anc 出力 1 補助データ パケッ ト チェッ クサム エラーが検出される と High にアサート されます。

rx_edh_ap_flags 出力 5 このポートには、 も間近に受信した EDH パケッ トからのアクティブ画像エラー フラグ

ビッ トが出力されます。 詳細は、 表 7 を参照してください。

rx_edh_ff_flags 出力 5 このポートには、 も間近に受信した EDH パケッ トからのフル フ ィールド エラー フラ

グ ビッ トが出力されます。 詳細は、 表 7 を参照して ください。

rx_edh_anc_flags 出力 5 このポー ト には、 も間近に受信した EDH パケッ ト からの補助データ エラー フラグ

ビッ トが出力されます。 詳細は、 表 7 を参照してください。

rx_edh_packet_flags 出力 4 このポートには、 も間近に受信した EDH パケッ トに関連する 4 つのエラー フラグが出

力されます。 詳細は、 表 8 を参照してください。

rx_edh_errcnt 出力 16 SD-SDI EDH エラー カウンターです。rx_edh_err_en ポートによって有効になったエラー

条件が生じる と、 各フ ィールドにつき 1 回インク リ メン ト します。

rx_change_done 出力 1 SDI RX が初期化されている間、 リセッ ト されている間、 または GTP ト ランシーバーの

rxrate ポートが変更されている間、 この出力は Low になり ます。 シーケンスが問題なく

完了する と、 High にアサート されます。

この出力は gtp_drpclk に同期します。

rx_change_fail 出力 1 通常モードでは、 この出力は常に Low です。制御モジュールが GTP RX の初期化シーケ

ンス、 リセッ ト シーケンス、 または rxrate の変更シーケンスを正常に終了できなかった

場合にのみ High になり ます。 このよ うなエラーが発生する と、 rx_change_fail ポートが

High にアサート され、 rx_change_fail_code ポートによってこのエラーの種類が示されま

す。

この出力は gtp_drpclk に同期します。

rx_change_fail_code 出力 3 rx_change_fail ポートが High になる と、rx_change_fail_code ポートはシーケンス エラー

の種類を示します。 このポートのエンコードについては、 表 9 を参照してください。

この出力は gtp_drpclk に同期します。

送信ポート

tx_rst 入力 1 この同期リセッ ト入力は SDI コアの ト ランス ミ ッ ター部を リセッ ト します。また、 リセッ

トが必要ないため、 通常は Low にハード接続できます。 FPGA コンフ ィギュレーシ ョ ン

完了後、 SDI コアの ト ランス ミ ッ ターは完全な動作モード となるため、 リセッ トは必要あ

り ません。

この入力は SDI コアの ト ランス ミ ッ ター部のみを リセッ ト します。 GTP ト ランシーバー

のリセッ トは開始しません。

SDI コアの ト ランス ミ ッ ター部を完全にリセッ トするために tx_rst を High に設定する場

合は、 tx_ce と tx_din_rdy の両方を High にします。

表 1 : SDI ラッパーのポート リス ト (続き)

ポート名 I/O 幅 説明

Artix-7 FPGA に SDI インターフェイスを実装

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 42

tx_usrclk 入力 1 GTP ト ランシーバーの txusrclk ポート を駆動する同じク ロ ッ クでこの入力を駆動する必

要があ り ます (一般にグ ローバル ク ロ ッ ク バッ フ ァーを介する GTP の txoutclk)。HD-SDI モードの場合の周波数は 74.25MHz または 74.25/1.001MHz、 3G-SDI および

SD-SDI モードの周波数は 148.5MHz または 148.5/1.001MHz にします。SD-SDI モード

の場合、 tx_usrclk 周波数と tx_ce の組み合わせで 27MHz データ レート を得る必要があ

り ます。

特記のない限り、 間、 名前の先頭に tx_ がある SDI ラ ッパーのすべての入力および出力

は、 このクロ ッ ク と同期します。

tx_gtp_full_reset 入力 1 この入力が High にアサート される と、GTP TX の完全な リセッ ト シーケンスが開始しま

す。 まず、 このモジュールの gtp_txpllreset 出力が PLL リ セッ ト入力に接続される と、

PLL がリセッ ト されます。 PLL が基準クロ ッ ク入力にロ ッ ク された後、 GTP ト ランシー

バーの gttxreset を使用して GTP TX がリセッ ト されます。tx_change_done 出力がアサー

ト される と、 この リセッ ト シーケンスは完了します。

gtp_drpclk 入力に接続される信号は gtp_drpclk ク ロ ッ ク と同期する必要があ り ます。

tx_gtp_reset 入力 1 この入力が High にアサート される と、 GTP ト ランシーバーの gttxreset を使用して GTPTX がリセッ ト されます。 GTP TX にシ リ アル ク ロ ッ クを供給する PLL がロ ッ ク されて

いない場合は、 この PLL がロ ッ ク される まで gttxreset シーケンスは完了し ません。

tx_change_done 出力がアサート される と、 このリセッ ト シーケンスは完了します。

gtp_drpclk 入力に接続される信号は gtp_drpclk ク ロ ッ ク と同期する必要があ り ます。

tx_refclk_stable 入力 1 TX 初期化ロジッ クはこの入力を使用し、 PLL の基準クロ ッ クが安定するまで、 GTP TXにシ リ アル ク ロ ッ クを供給する PLL を リセッ ト状態に保持します。この SDI ラ ッパーが

PLL リ セ ッ ト を制御し ている場合は、 PLL の基準ク ロ ッ ク が安定する まで、

tx_refclk_stable 入力を Low に保持する必要があ り ます。 この入力によって PLL リセッ

ト が開始する こ と はあ り ません。 tx_refclk_stable 入力が High にな る まで、

tx_gtp_full_reset 入力によって開始された PLL リセッ ト シーケンスの完了を遅延させる

だけです。

この入力は非同期入力と して処理されます。

tx_ce 入力 3 SDI コアの ト ランス ミ ッ ター部のクロ ッ ク イネーブル入力です。HD-SDI および 3G-SDIモードの場合は常に High になり ます。SD-SDI モードの場合は必ず 5/6/5/6 という ク ロ ッ

ク サイ クル リ ズムで 27MHz でアサート される必要があ り ます。

このポートの 3 つのビッ トには、3 つの同じクロ ッ ク イネーブル信号の複製を供給する必

要があ り ます。 これらの 3 つの入力ビッ トは、 タイ ミ ングを容易に満たすために供給され

ます。 これらの入力がすべて同じフ リ ップフ リ ップで駆動される場合は、 1 つのクロ ッ ク

イネーブル信号にかかる負荷が大きすぎるため、タイ ミ ングを満たすこ とができない可能

性があ り ます。 このよ う な場合は、 複数のフ リ ップフロ ップを使用してク ロ ッ ク イネー

ブル信号の複製を作成し、それぞれが tx_ce 入力ポートの異なるビッ ト を駆動するよ うに

します。

tx_din_rdy 入力 1 SD-SDI、 HD-SDI、 および 3G-SDI level A の場合は、 常に High を維持します。 3G-SDIlevel B モードの場合は、 1 ク ロ ッ ク サイクルおきにアサート される必要があ り ます。

tx_mode 入力 2 SDI ト ランス ミ ッ ターのモードを選択します。

• 00 = HD-SDI (デュアル リ ンク HD-SDI を含む)• 01 = SD-SDI

• 10 = 3G-SDI

• 11 = 無効

tx_level_b_3g 入力 1 3G-SDI モードの場合、 この入力で SDI ト ランス ミ ッ ターを level A (Low) または levelB (High) のいずれにコンフ ィギュレーシ ョ ンすべきかを指定します。

表 1 : SDI ラッパーのポート リス ト (続き)

ポート名 I/O 幅 説明

Artix-7 FPGA に SDI インターフェイスを実装

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 43

tx_m 入力 1 GTP TX で利用される PLL ク ロ ッ クの選択に使用します。 この入力は、 GTP TX PLL クロ ッ ク セレク ト マルチプレクサーを変更するために、SDI ラ ッパーの gtp_txsysclksel 出力ポート を変更させます。通常、tx_m が Low の場合は 1/1 ビッ ト レート を選択し、Highの場合は 1/1.001 ビッ ト レート を選択します。ただし、 この区別は、GTP TX に供給され

る 2 つのシ リ アル ク ロ ッ クの周波数、 および txsysclksel_M_0 と txsysclksel_M_1 パラ

メーターの値によってのみ決ま り ます。

tx_insert_crc 入力 1 この入力が High の場合、HD-SDI および 3G-SDI モードで SDI TX が各ビデオ ラインに

CRC 値を生成して挿入します。 Low の場合は、 CRC 値の生成および挿入は行われませ

ん。SD-SDI モードの場合、この入力は無視されます。CRC 値は、HD-SDI 規格と 3G-SDI規格の両方で必要です。 SDI TX 入力ポートに入るデータ ス ト リームには CRC 値がない

ため、この入力は High にアサート される必要があ り ます。SDI TX 入力ポートに入るデー

タ ス ト リームには、すでに CRC 値が含まれているため、既存の CRC 値は、tx_insert_crcが High にアサー ト される と新たに計算された CRC 値で上書き され、 tx_insert_crc がLow の場合は変更されずにそのまま渡されます。

tx_insert_ln 入力 1 この入力が High の場合、 SDI TX が各ビデオ ラインの EAV の後にライン番号ワードを

挿入し ます。 ラ イ ン番号は、 tx_line_a および tx_line_b 入力ポー ト に供給されます。

SD-SDI モードの場合、この入力は無視されます。ライン番号は、HD-SDI 規格と 3G-SDI規格の両方で必要です。 SDI TX 入力ポートに入るデータ ス ト リームにライン番号 ワー

ドが含まれていない場合は、 この入力をアサート して tx_line_a および tx_line_b ポート

に有効なライン番号を供給します。SDI TX 入力ポートに入るデータ ス ト リームにすでに

ライン番号が含まれている場合は、 tx_insert_ln が High のと きは既存のライン番号が上

書きされ、 tx_insert_ln が Low のと きは変更されずにそのまま渡されます。

tx_insert_edh 入力 1 この入力が High の場合、SD-SDI モードで SDI TX がすべてのフ ィールドに EDH パケッ

ト を挿入します。Low の場合は EDH パケッ トは挿入されません。HD-SDI および 3G-SDIモードでは、 この入力は無視されます。EDH パケッ トはオプシ ョ ンですが、SD-SDI モー

ドでは一般に使用されます。HD-SDI および 3G-SDI モードで使用されるこ とはあ り ませ

ん。SDI TX へ入る SD-SDI データ ス ト リームにすでに EDH パケッ トが含まれている場

合は、tx_insert_edh が High のと きは新しいパケッ トで上書きされ、tx_insert_edh が Lowのと きは変更されずにそのまま渡されます。

tx_insert_vpid 入力 1 この入力が High の場合、SMPTE ST 352 [参照 7] パケッ トがデータ ス ト リーム内に挿入

されます。 Low の場合は、 パケッ トは挿入されません。 3G-SDI およびデュアル リ ンク

HD-SDI モードでは、 ST 352 パケッ トが必ず必要ですが、 HD-SDI および SD-SDI モー

ドではオプシ ョ ンです。

tx_overwrite_vpid 入力 1 この入力が High で tx_insert_vpid が High の場合、 データ ス ト リーム内にすでに存在す

る SMPTE ST 352 パケッ トが新しい ST 352 パケッ トで上書きされます。Low の場合は、

既存の ST 352 パケッ トは上書きされません。

tx_video_a_y_in 入力 10 SDI TX へ入る SDI データ ス ト リーム AY 入力です。 このポートのデータは、 SDI モー

ドに依存します。

• SD-SDI : 多重化 Y/C データ ス ト リーム

• HD-SDI : Y コンポーネン ト

• 3G-SDI level A : データ ス ト リーム 1

• デュアル リ ンク HD-SDI または 3G-SDI level B-DL : リ ンク A のデータ ス ト リーム 1

• 3G-SDI level B-DS : HD-SDI 信号 1 の Y コンポーネン ト

表 1 : SDI ラッパーのポート リス ト (続き)

ポート名 I/O 幅 説明

Artix-7 FPGA に SDI インターフェイスを実装

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 44

tx_video_a_c_in 入力 10 SDI TX へ入る SDI データ ス ト リーム AC 入力です。 このポートのデータは、 SDI モー

ドに依存します。

• SD-SDI : 未使用

• HD-SDI : インターリーブされた CB および CR コンポーネン ト

• 3G-SDI level A : データ ス ト リーム 2

• デュアル リ ンク HD-SDI または 3G-SDI level B-DL : リ ンク A のデータ ス ト リーム 2

• 3G-SDI level B-DS : HD-SDI 信号 1 のインターリーブされた CB および CR コンポー

ネン ト

tx_video_ b_y_in 入力 10 SDI TX へ入る SDI データ ス ト リーム BY 入力です。このポートのデータ ス ト リームは、

SDI モードに依存します。

• デュアル リ ンク HD-SDI または 3G-SDI level B-DL : リ ンク B のデータ ス ト リーム 1

• 3G-SDI level B-DS : HD-SDI 信号 2 の Y コンポーネン ト

• その他の SDI モードの場合、 この入力ポートは未使用となり ます。

tx_video_b_c_in 入力 10 SDI TX へ入る SDI データ ス ト リーム BC 入力です。このポートのデータ ス ト リームは、

SDI モードに依存します。

• デュアル リ ンク HD-SDI または 3G-SDI level B-DL : リ ンク B のデータ ス ト リーム 2

• 3G-SDI level B-DS : HD-SDI 信号 2 のインターリーブされた CB および CR コンポー

ネン ト

• その他の SDI モードの場合、 この入力ポートは未使用となり ます。

tx_line_a 入力 11 ST 352 [参照 7] VPID パケ ッ ト の挿入が有効 (tx_insert_vpid = High) の場合、 または

HD-SDI および 3G-SDI ラ イン番号の挿入が有効 (tx_insert_ln = High) の場合は、 この

ポート を介してモジュールへ現在のライン番号が提供されなければなり ません。

SD-SDI は 10 ビッ トのライン番号のみを使用するため、 SD-SDI モードで ST 352 VPIDパケッ トの挿入が有効の場合は、 このポートのビッ ト 10 が 0 になり ます。 ライン番号の

挿入は、 SD-SDI モードでは実行されないため、 SD-SDI モードの場合、 このポートは ST352 VPID パケッ トの挿入のためだけに使用されます。

このポートの値は、 HANC (Horizontal Ancillary Data Space : 水平副データ空間) の開始

よ り少な く と も 1 ク ロ ッ ク サイ クル前に有効 (EAV の XYZ ワードで) の必要があ り、

HANC インターバル中は有効に保持する必要があ り ます。

この入力は、 SD-SDI、 HD-SDI、 および 3G-SDI level A モードで使用される唯一のライ

ン番号入力です。 3G-SDI level B モードの場合は、 も う 1 つのラ イン番号入力ポー ト

tx_line_b があ り ます。

画像ライン番号と伝送ライン番号が異なるビデオ フォーマッ トの場合、 このポートに供

給される値は必ず伝送ライン番号となり ます。

tx_line_b 入力 11 3G-SDI level B モードでのみ使用される 2 つ目のライン番号入力ポートです。 この追加

のライン番号ポート を使用するこ とによって、 level B-DS モードの場合に 2 つの異なる

HD-SDI 信号を垂直非同期させるこ とができます。3G-SDI level B-DL または B-DS のい

ずれかを使用する場合、このポートには有効なライン番号入力が与えられなければなり ま

せん。 3G-SDI level B-DL モードの場合、 この入力ポートの値は tx_line_a ポートの値と

一致する必要があ り ます。 この入力ポートは、 tx_line_a と同じタイ ミ ングで、 異なる要

件があ り ます。

tx_vpid_byte1 入力 8 このポートの値は、 ST 352 パケッ トの 初のユーザー データ ワード と して挿入されま

す。 ST 352 パケッ トが挿入または上書きされる場合、 ST 352 パケッ ト を含めるラインの

HANC インターバル中、 この値は常に有効の必要があ り ます。

tx_vpid_byte2 入力 8 このポートの値は、ST 352 パケッ トの 2 番目のユーザー データ ワード と して挿入されま

す。 ST 352 パケッ トが挿入または上書きされる場合、 ST 352 パケッ ト を含めるラインの

HANC インターバル中、 この値は常に有効の必要があ り ます。

表 1 : SDI ラッパーのポート リス ト (続き)

ポート名 I/O 幅 説明

Artix-7 FPGA に SDI インターフェイスを実装

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 45

tx_vpid_byte3 入力 8 このポートの値は、ST 352 [参照 7] パケッ トの 3 番目のユーザー データ ワード と して挿

入されます。 ST 352 パケッ トが挿入または上書きされる場合、 ST 352 パケッ ト を含める

ラインの HANC インターバル中、 この値は常に有効の必要があ り ます。

tx_vpid_byte4a 入力 8 このポートの値は、ST 352 パケッ トの 4 番目のユーザー データ ワード と して挿入されま

す。 このワードは、 SD-SDI、 HD-SDI、 および 3G-SDI level A のデータ ス ト リームに挿

入される ST 352 パケッ トに対して使用されます。3G-SDI level B およびデュアル リ ンク

HD-SDI モードの場合、 この値はリ ンク A のデータ ス ト リーム 1 へのみ挿入される ST352 パケッ トに使用されます。 ST 352 パケッ トが挿入または上書きされる場合、 ST 352パケッ ト を含めるラインの HANC インターバル中、 この入力値は常に有効の必要があ り

ます。

リ ンク A と リ ンク B のバイ ト 4 には、 異なる値が可能です (3G-SDI level B-DL モード

では、 このバイ トはリ ンク A と リ ンク B で異なる リ ンク ID ビッ ト を持つため)。

tx_vpid_byte4b 入力 8 このポートの値は、 3G-SDI level B およびデュアル リ ンク HD-SDI モードの場合、 リ ン

ク B のデータ ス ト リーム 1 に挿入される ST 352 パケッ トの 4 つ目のユーザー データ

ワード と して挿入されます。 この入力値は、 SD-SDI、 HD-SDI、 または 3G-SDI level Aモードには使用されません。 ST 352 パケッ トが挿入または上書きされる場合、 ST 352 パケッ ト を含めるラインの HANC インターバル中、 この入力値は常に有効の必要があ り ま

す。

tx_vpid_line_f1 入力 11 ST 352 パケッ トは、 この入力ポートで指定したライン番号の HANC に挿入されます。 イ

ンターレースされたビデオの場合、この入力ポートはフ ィールド 1 のライン番号を指定し

ます。 プログレッシブ ビデオの場合、 この入力はパケッ トが挿入されるフレーム内のラ

インのみ指定します。 HANC インターバル中、 この入力値は常に有効の必要があ り ます。

tx_insert_vpid が Low の場合、 この入力は無視されます。

tx_vpid_line_f2 入力 11 インターレースされたビデオの場合、 この値が示すフ ィールド 2 のライン番号に ST 352パケッ トが挿入されます。 プログレッシブ ビデオの場合、 この入力ポートで指定したラ

インへの ST 352 パケッ トの挿入は、tx_vpid_line_f2_en ポート を Low に保持して無効に

する必要があ り ます。 HANC インターバル中、 この入力値は常に有効の必要があ り ます。

tx_insert_vpid または tx_vpid_line_f2_en のいずれかが Low の場合、 この入力は無視さ

れます。

tx_vpid_line_f2_en 入力 1 この入力は、 tx_vpid_line_f2 で指定したラ インへの ST 352 パケッ ト の挿入を制御しま

す。 インターレース されたビデオの場合、 この入力は High にします。 プログレッシブビ

デオの場合、 この入力は Low にします。 インターレース伝送でプログレッシブ ビデオが

伝送される場合 (例 : 3G-SDI level B-DL またはデュアル リ ンク HD-SDI のいずれかで伝

送される 1080p 60Hz)、 ST 352 パケッ トは、 インターレース伝送の両フ ィールドに挿入

されなければな り ません。 したがって、 このよ う な場合には tx_vpid_line_f2_en 入力は

High の必要があ り ます。 HANC インターバル中、 この入力値は常に有効の必要があ り ま

す。 tx_insert_vpid が Low の場合、 この入力は無視されます。

tx_ds1a_out 出力 10 リ ンク A データ ス ト リーム 1 の出力です。このポートに出力されるデータ ス ト リームは、

ST 352 パケッ ト挿入モジュールから送信されます。 アプ リ ケーシ ョ ンが補助データ パケッ ト を挿入する必要がある場合は、 ST 352 パケッ トがデータ ス ト リーム内にすでに挿

入されるよ うに、このポートのデータ ス ト リーム出力にそれらを挿入します。アプリ ケー

シ ョ ンによる補助データの挿入が完了したデータ ス ト リームは、 tx_ds1a_in ポートへ送

られます。

このポートのデータは、 SDI モードに依存します。

• SD-SDI : インターリーブされた Y/C データ ス ト リーム

• HD-SDI : Y コンポーネン ト

• 3G-SDI level A : データ ス ト リーム 1

• デュアル リ ンク HD-SDI または 3G-SDI level B-DL : リ ンク A のデータ ス ト リーム 1

• 3G-SDI level B-DS : HD-SDI 信号 1 の Y コンポーネン ト

表 1 : SDI ラッパーのポート リス ト (続き)

ポート名 I/O 幅 説明

Artix-7 FPGA に SDI インターフェイスを実装

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 46

tx_ds2a_out 出力 10 リ ンク A データ ス ト リーム 2 の出力です。このポートに出力されるデータ ス ト リームは、

ST 352 パケッ ト挿入モジュール [参照 7] から送信されます。 アプ リ ケーシ ョ ンが補助

データ パケッ ト を挿入する必要がある場合は、ST 352 パケッ トがデータ ス ト リーム内に

すでに挿入されるよ うに、このポートのデータ ス ト リーム出力にそれらを挿入します。ア

プ リ ケーシ ョ ンによる補助データの挿入が完了したデータ ス ト リームは、tx_ds2a_in ポー

トへ送られます。

このポートのデータは、 SDI モードに依存します。

• HD-SDI : インターリーブされた CB/CR コンポーネン ト

• デュアル リ ンク HD-SDI または 3G-SDI level B-DL : リ ンク A のデータ ス ト リーム 2

• 3G-SDI level B-DS : HD-SDI 信号 1 のインターリーブされた CB/CR コンポーネン ト データ ス ト リーム

tx_ds1b_out 出力 10 リ ンク B データ ス ト リーム 1 の出力です。このポートに出力されるデータ ス ト リームは、

ST 352 パケッ ト挿入モジュールから送信されます。 アプ リ ケーシ ョ ンが補助データ パケッ ト を挿入する必要がある場合は、 ST 352 パケッ トがデータ ス ト リーム内にすでに挿

入されるよ うに、このポートのデータ ス ト リーム出力にそれらを挿入します。アプリ ケー

シ ョ ンによる補助データの挿入が完了したデータ ス ト リームは、 tx_ds1b_in ポートへ送

られます。

このポートのデータは、 SDI モードに依存します。

• デュアル リ ンク HD-SDI または 3G-SDI level B-DL : リ ンク B のデータ ス ト リーム 1

• 3G-SDI level B-DS : HD-SDI 信号 2 の Y コンポーネン ト

• その他の SDI モードの場合、 この入力ポートは未使用となり ます。

tx_ds2b_out 出力 10 リ ンク B データ ス ト リーム 2 の出力です。このポートに出力されるデータ ス ト リームは、

ST 352 パケッ ト挿入モジュールから送信されます。 アプ リ ケーシ ョ ンが補助データ パケッ ト を挿入する必要がある場合は、 ST 352 パケッ トがデータ ス ト リーム内にすでに挿

入されるよ うに、このポートのデータ ス ト リーム出力にそれらを挿入します。アプリ ケー

シ ョ ンによる補助データの挿入が完了したデータ ス ト リームは、 tx_ds2b_in ポートへ送

られます。

• デュアル リ ンク HD-SDI またはデュアル リ ンク HD-SDI を伝搬する 3G-SDI level B : リ ンク B のデータ ス ト リーム 2

• デュアル HD-SDI 信号を伝搬する 3G-SDI level B : HD-SDI 信号 2 のインターリーブ

された CB/CR コンポーネン ト

• その他の SDI モードの場合、 この入力ポートは未使用となり ます。

tx_use_dsin 入力 1 この入力は、SDI TX から送られるデータ ス ト リームのソースを制御します。 この入力が

High の場合、 送信されるデータ ス ト リ ームのソースは tx_ds1a_in、 tx_ds2a_in、tx_ds1b_in、 および tx_ds2b_in です。 この入力が Low の場合、 送信されるデータ ス ト

リームのソースはコア内部となり、ST 352 パケッ ト インサーターから直接送信されます。

アプ リ ケーシ ョ ンが補助データを挿入する必要がある場合は、tx_use_dsin ポート を Highに設定する と、 アプ リ ケーシ ョ ンはデータ ス ト リームを変更でき、 変更したデータ ス ト

リームを ト ランス ミ ッ ターの tx_dsxx_in ポートへ渡すこ とができます。 補助データの挿

入が不要な場合は、tx_use_dsin 入力を Low に設定する と、tx_dsxx_in ポートは無視され

ます。

tx_ds1a_in 入力 10 リ ンク A データ ス ト リーム 1 の入力です。 tx_use_dsin が Low の場合、 このポートは無

視されます。 tx_use_dsin が High の場合、 送信されるデータ ス ト リームがこのポートに

供給されます。 この入力ポートに供給されるデータ ス ト リームは、 SDI モードに依存し

ます。

• SD-SDI : インターリーブされた Y/C データ ス ト リーム

• HD-SDI : Y コンポーネン ト

• 3G-SDI level A : データ ス ト リーム 1

• デュアル リ ンク HD-SDI または 3G-SDI level B-DL : リ ンク A のデータ ス ト リーム 1

• 3G-SDI level B-DS : HD-SDI 信号 1 の Y コンポーネン ト

表 1 : SDI ラッパーのポート リス ト (続き)

ポート名 I/O 幅 説明

Artix-7 FPGA に SDI インターフェイスを実装

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 47

tx_ds2a_in 入力 10 リ ンク A データ ス ト リーム 2 の入力です。 tx_use_dsin が Low の場合、 このポートは無

視されます。 tx_use_dsin が High の場合、 送信されるデータ ス ト リームがこのポートに

供給されます。 この入力ポートに供給されるデータ ス ト リームは、 SDI モードに依存し

ます。

• HD-SDI : インターリーブされた CB/CR コンポーネン ト

• デュアル リ ンク HD-SDI または 3G-SDI level B-DL : リ ンク A のデータ ス ト リーム 2

• 3G-SDI level B-DS : HD-SDI 信号 1 のインターリーブされた CB/CR コンポーネン ト データ ス ト リーム

tx_ds1b_in 入力 10 リ ンク B データ ス ト リーム 1 の入力です。 tx_use_dsin が Low の場合、 このポートは無

視されます。 tx_use_dsin が High の場合、 送信されるデータ ス ト リームがこのポートに

供給されます。 この入力ポートに供給されるデータ ス ト リームは、 SDI モードに依存し

ます。

• デュアル リ ンク HD-SDI または 3G-SDI level B-DL : リ ンク B のデータ ス ト リーム 1

• 3G-SDI level B-DS : HD-SDI 信号 2 の Y コンポーネン ト

• その他の SDI モードの場合、 この入力ポートは未使用となり ます。

tx_ds2b_in 入力 10 リ ンク B データ ス ト リーム 2 の入力です。 tx_use_dsin が Low の場合、 このポートは無

視されます。 tx_use_dsin が High の場合、 送信されるデータ ス ト リームがこのポートに

供給されます。 この入力ポートに供給されるデータ ス ト リームは、 SDI モードに依存し

ます。

• デュアル リ ンク HD-SDI またはデュアル リ ンク HD-SDI を伝搬する 3G-SDI level B :リ ンク B のデータ ス ト リーム 2

• デュアル HD-SDI 信号を伝搬する 3G-SDI level B : HD-SDI 信号 2 のインターリーブ

された CB/CR コンポーネン ト

• その他の SDI モードの場合、 この入力ポートは未使用となり ます。

tx_ce_align_err 出力 1 この出力は、 SD-SDI モードの場合に tx_ce ク ロ ッ ク イネーブル入力の 5/6/5/6 ク ロ ッ ク

サイ クル リ ズムに問題があるこ とを示します。 SD-SDI モードでは、 tx_ce 信号は一定の

5/6/5/6 ク ロ ッ ク サイ クル リ ズムに従 う 必要があ り ます。 この リ ズムから外れる と、

SD-SDI ビッ ト ス ト リームが不正に生成されてしまいます。このリズムが正し くない場合

は、 tx_ce_align_err 信号が High に遷移します。 この出力は SD-SDI モードでのみ有効で

す。

tx_slew 出力 1 外部 SDI ケーブル イコライザーのスルー レート信号を制御するための信号です。 TX のモードが SD-SDI の場合に High とな り ます。 その他のモードでは Low になり ます。

tx_change_done 出力 1 SDI TX が初期化されている間または リ セッ ト されている間、 あるいは GTP txrate やtxsysclksel ポートが動的に変更されている間、 この出力は Low になり ます。 シーケンス

が問題なく完了する と、 tx_change_done 出力が High にアサート されます。

この出力は gtp_drpclk に同期します。

tx_change_fail 出力 1 通常モードでは、 この出力は常に Low です。制御モジュールが GTP TX の初期化シーケ

ンス、 リセッ ト シーケンス、 または GTP txrate や txsysclksel ポートの動的な変更シーケ

ンスを正常に終了できなかった場合にのみ High になり ます。 このよ うなエラーが発生す

る と、 tx_change_fail ポートが High にアサート され、 tx_change_fail_code ポートによっ

てこのエラーの種類が示されます。

この出力は gtp_drpclk に同期します。

tx_change_fail_code 出力 3 tx_change_fail ポートが High になる と、tx_change_fail_code ポートはシーケンス エラー

の種類を示します。 このポートのエンコードについては、 表 10 を参照してください。

この出力は gtp_drpclk に同期します。

GTP RX へ接続するポート

gtp_rxdata 入力 20 GTP ト ランシーバーの rxdata ポートへ接続されます。

表 1 : SDI ラッパーのポート リス ト (続き)

ポート名 I/O 幅 説明

Artix-7 FPGA に SDI インターフェイスを実装

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 48

表 2 に、 SDI ラ ッパーの Verilog バージ ョ ンへ適用できるパラ メーターを示します。

gtp_rxpllreset 入力 1 GTP RX へシ リ アル ク ロ ッ クを供給する PLL のリセッ トに使用します。 この SDI ラ ッ

パーが PLL マスターと して動作している場合は、この出力を GTP ラ ッパーの適切な PLLリセッ ト入力に接続します。詳細は、13 ページの 「SDI アプリ ケーシ ョ ンの GTP PLL の使用例」 を参照してください。

gtp_rxplllock 入力 1 GTP RX へクロ ッ クを供給する PLL のロッ ク信号に接続されます。詳細は、 13 ページの

「SDI アプリ ケーシ ョ ンの GTP PLL の使用例」 を参照して ください。

gtp_rxresetdone 入力 1 GTP ト ランシーバーの rxresetdone ポートへ接続されます。

gtp_gtrxreset 出力 1 GTP ト ランシーバーの gtrxreset ポートへ接続されます。

gtp_rxuserrdy 出力 1 GTP ト ランシーバーの rxuserrdy ポートへ接続されます。

gtp_rxrate 出力 3 GTP ト ランシーバーの rxrate ポートへ接続されます。

gtp_rxratedone 入力 1 GTP ト ランシーバーの rxratedone ポートへ接続されます。

gtp_rxcdrhold 出力 1 GTP ト ランシーバーの rxcdrhold ポートへ接続されます。

gtp_drpclk 入力 1 GTP ト ランシーバーの drpclk ポート を駆動するク ロ ッ クへ接続されます。

gtp_drprdy 入力 1 GTP ト ランシーバーの drprdy ポートへ接続されます。

gtp_drpbusy 入力 1 GTP ト ランシーバーの drp_busy ポートへ接続されます。

gtp_drpaddr 出力 10 GTP ト ランシーバーの drpaddr ポートへ接続されます。

gtp_drpdi 出力 16 GTP ト ランシーバーの drpdi ポートへ接続されます。

gtp_drpen 出力 1 GTP ト ランシーバーの drpen ポートへ接続されます。

gtp_drpwe 出力 1 GTP ト ランシーバーの drpwe ポートへ接続されます。

GTP TX へ接続するポート

gtp_txdata 出力 20 GTP ト ランシーバーの txdata ポートへ接続されます。

gtp_txpllreset 入力 1 GTP TX へシ リ アル ク ロ ッ クを供給する PLL のリセッ トに使用します。 この SDI ラ ッ

パーが PLL マスターと して動作している場合は、この出力をGTP ラ ッパーの適切な PLLリセッ ト入力に接続します。詳細は、13 ページの 「SDI アプリ ケーシ ョ ンの GTP PLL の使用例」 を参照してください。

gtp_txplllock 入力 1 GTP TX へクロ ッ クを供給する PLL のロ ッ ク信号に接続されます。詳細は、 13 ページの

「SDI アプリ ケーシ ョ ンの GTP PLL の使用例」 を参照して ください。

gtp_gttxreset 出力 1 GTP ト ランシーバーの gttxreset ポートへ接続されます。

gtp_txresetdone 入力 1 GTP ト ランシーバーの txresetdone ポートへ接続されます。

gtp_txratedone 入力 1 GTP ト ランシーバーの txratedone ポートへ接続されます。

gtp_txuserrdy 出力 1 GTP ト ランシーバーの txuserrdy ポートへ接続されます。

gtp_txrate 出力 3 GTP ト ランシーバーの txrate ポートへ接続されます。

gtp_txsysclksel 出力 2 GTP TX のクロ ッ ク ソースは、 2 つの PLL 間を動的に切り換えられる必要があるため、

このポートは GTP ト ランシーバーの txsysclksel ポートへ接続されます。

表 1 : SDI ラッパーのポート リス ト (続き)

ポート名 I/O 幅 説明

Artix-7 FPGA に SDI インターフェイスを実装

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 49

表 3 に、 SDI ラ ッパーの VHDL バージ ョ ンへ適用できるパラ メーターを示します。

表 2 : SDI ラッパーの Verilog パラメーター リス ト

名前 タイプ デフォルト 説明

FXDCLK_FREQ 整数 27000000 GTP ラ ッパーの clk ポートの固定周波数を指定 (Hz) します。 このク

ロ ッ クの公称周波数は、 タイ ミ ングを満たすため、 このクロ ッ クに依

存する制御モジュールが正し く動作するよ うに適切に指定します。

DRPCLK_PERIOD 整数 37 GTP drpclk ポートおよび SDI ラ ッパー gtp_drpclk ポート を駆動して

いる ク ロ ッ クの周期 (ns) を指定します。 非整数値を も近い整数に

丸めます。 この公称クロ ッ ク周期は、制御モジュールがこのクロ ッ ク

の周期に基づいて GTP 初期化シーケンス中に遅延を生成できるよ う

に適切に指定します。

PLLLOCK_TIMEOUT_PERIOD 整数 2000000 PLL ロ ッ ク タイムアウ ト時間の長さ (ns) を指定します。 リセッ ト後

に PLL が plllock 信号をこの時間内にアサート しなかった場合、制御

モジュールが中断して PLL リセッ ト シーケンスを再実行します。 デ

フォルト値は、 2ms に相当します。

RESET_TIMEOUT_PERIOD 整数 500000 GTP ト ランシーバー リ セッ ト タイムアウ ト時間の長さ (ns) を指定

し ます。 リ セッ ト 後に GTP ト ラ ンシーバーが rxresetdone または

txresetdone をこの時間内にアサート しなかった場合、 制御モジュー

ルが中断して GTP ト ランシーバー リ セッ ト シーケンスを再実行し

ます。 デフォルト値は、 500µs に相当します。

TIMEOUT_CNTR_BITWIDTH 整数 16 PLL ロ ッ クおよびリセッ トの両タイムアウ トの生成に使用される、 タ

イムアウ ト カウンターのビッ ト幅を指定します。 このカウンターの

ビッ ト幅は、 DRPCLK_PERIOD で指定したクロッ ク周期に基づいて

PLLLOCK_TIMEOUT_PERIOD および RESET_TIMEOUT_PERIOD で設定したタイムアウ トの 大値までカウン ト アップでき

る値にする必要があ り ます。 たとえばデフォル ト値 (16 ビッ ト ) は、

DRPCLK_PERIOD がデフォルト値 37 のときの 長約 2.4ms のタイ

ム ア ウ ト 時 間 に 対 応 で き る 十 分 な 長 さ で あ り、 そ れ は

PLLOCK_TIMEOUT_PERIOD および RESET_TIMEOUT_PERIODの両デフォルト値よ り も大きな値です。

RETRY_CNTR_BITWIDTH 整数 8 リ ト ラ イ カウンターのビッ ト幅を指定します。 リ ト ラ イ カウンター

は、 GTP RX または TX の初期化シーケンス、 リセッ ト シーケンス、

あるいは GTP ト ランシーバーの rxrate、 txrate、 または txsysclkselポートの動的な変更を完了するために使用される リ ト ラ イ サイ クル

数をカウン ト します。 リ ト ラ イ カウンターがすべて 1 の 大値に到

達する と、 シーケンスにエラーが発生したとみなされます。 このた

め、 RETRY_CNTR_BITWIDTH では、 制御モジュールがシーケン

スを中断する前に実行可能な リ ト ラ イ数を指定します。デフォルト値

8 では、 255 リ ト ラ イ サイクルが可能です。

TXSYSCLKSEL_M_0 2 ビッ ト値 2'b11 tx_m が Low のと きに、gtp_txsysclksel ポートに出力される値を指定

します。

TXSYSCLKSEL_M_1 2 ビッ ト値 2'b00 tx_m が High のと きに、 gtp_txsysclksel ポートに出力される値を指

定します。

Artix-7 FPGA に SDI インターフェイスを実装

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 50

ビデオ伝送検出ポート

SDI コアの RX 部分には SDI 転送フォーマッ ト検出回路があ り ます。 この回路では、 SDI データ ス ト

リームにおけるビデオ転送のタイ ミ ングを分析し、受信しているビデオ フォーマッ ト を判断します。 こ

の回路の動作は、 ST 352 [参照 7] ペイロード ID パケッ ト の有無に依存しません。 この回路は、 画像

フォーマッ トではなく転送フォーマッ ト を判断します。 これらは通常は同じですが、 必ずしもそ う とは

限り ません。 たとえば、 3G-SDI level B-DL で 1080p 50Hz のビデオ転送が行われる場合、 実際のビデ

オ転送は 1080i 50Hz とな り ます。 転送はインターレース方式で、 画像はプログレッシブ方式です。

表 3 : SDI ラッパーの VHDL ジェネリ ック リス ト

名前 タイプ デフォルト 説明

FXDCLK_FREQ 整数 27000000 GTP ラ ッパーの clk ポートの固定周波数を指定 (Hz) します。この

ク ロ ッ クの公称周波数は、 タイ ミ ングを満たすため、 このク ロ ッ

クに依存する制御モジュールが正し く動作するよ うに適切に指定

します。

DRPCLK_PERIOD 整数 37 GTP drpclk ポートおよび SDI ラ ッパー gtp_drpclk ポート を駆動

しているク ロ ッ クの周期 (ns) を指定します。 非整数値を も近い

整数に丸めます。 この公称ク ロ ッ ク周期は、 制御モジュールがク

ロ ッ ク周期に基づいて GTP 初期化シーケンス中に遅延を生成で

きるよ うに適切に指定します。

PLLLOCK_TIMEOUT_PERIOD 整数 2000000 PLL ロ ッ ク タイムアウ ト時間の長さ (ns) を指定します。リセッ ト

後に PLL が plllock 信号をこの時間内にアサート しなかった場合、

制御モジュールが中断して PLL リ セッ ト シーケンスを再実行し

ます。 デフォルト値は、 2ms に相当します。

RESET_TIMEOUT_PERIOD 整数 500000 GTP ト ランシーバー リセッ ト タイムアウ ト時間の長さ (ns) を指

定します。 リセッ ト後に GTP ト ランシーバーが rxresetdone また

は txresetdone をこの時間内にアサート しなかった場合、 制御モ

ジュールが中断して GTP ト ランシーバー リセッ ト シーケンスを

再実行します。 デフォルト値は、 500µs に相当します。

TIMEOUT_CNTR_BITWIDTH 整数 16 PLL ロ ッ クおよび リ セッ ト の両タ イムアウ ト の生成に使用され

る、 タイムアウ ト カウンターのビッ ト幅を指定します。 このカウ

ンターのビッ ト幅は、 DRPCLK_PERIOD で指定したクロ ッ ク周

期に基づいて PLLOCK_TIMEOUT_PERIOD および RESET_TIMEOUT_PERIOD で設定したタ イムアウ ト の 大値までカウ

ン ト アップできる値にする必要があ り ます。 たとえばデフォルト

値 (16 ビッ ト ) は、 DRPCLK_PERIOD がデフォルト値 37 のと き

の 長約 2.4ms のタイムアウ ト時間に対応できる十分な長さであ

り、 それは PLLOCK_TIMEOUT_PERIOD および RESET_TIMEOUT_PERIOD の両デフォルト値よ り も大きな値です。

RETRY_CNTR_BITWIDTH 整数 8 リ ト ラ イ カウンターのビッ ト幅を指定します。 リ ト ラ イ カウン

ターは、 GTP RX または TX の初期化シーケンス、 リセッ ト シー

ケンス、 あるいは GTP ト ランシーバーの rxrate、 txrate、 または

txsysclksel ポートの動的な変更を完了するために使用される リ ト

ラ イ サイ クル数をカウン ト します。 リ ト ラ イ カウンターがすべて

1 の 大値に到達する と、シーケンスにエラーが発生したとみなさ

れます。 このため、 このパラ メーターでは、制御モジュールがシー

ケンスを中断する前に実行可能な リ ト ラ イ数を指定し ます。 デ

フォルト値 8 では、 255 リ ト ラ イ サイクルが可能です。

TXSYSCLKSEL_M_0 std_logic_vector (1 ~ 0)

11 tx_m が Low のと きに、 gtp_txsysclksel ポートに出力される値を

指定します。

TXSYSCLKSEL_M_1 std_logic_vector (1 ~ 0)

00 tx_m が High のと きに、 gtp_txsysclksel ポートに出力される値を

指定します。

Artix-7 FPGA に SDI インターフェイスを実装

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 51

rx_t_family 出力ポートでは、SDI 信号の転送におけるビデオ フォーマッ ト ファ ミ リ を示す 4 ビッ トの

コードを提供します。表 4 に、この出力ポートのエンコードを示します。また転送検出ユニッ トは、SDI転送の方式 (インターレースまたはプログレッシブ) も判断し、rx_t_scan 出力ポートへレポート します。

転送検出回路は、 SDI 信号の転送レート も判断します。 rx_t_rate ポートで転送フレーム レート を示し

ます (表 5 を参照)。 フレーム レートのエンコードは、 SMPTE ST 352 [参照 7] ビデオ ペイロード IDパケッ トの画像レート フ ィールドで使用されるエンコード と同じです。 ただし、 rx_t_rate は、 画

像レートではなく、転送フレーム レート を示します。また、rx_t_rate ポートの値は、インターレー

ス転送であっても常にフレーム レート を示します。

注記 : SDI RX が SDI 信号にロッ ク した後、 転送フォーマッ ト検出回路が転送フォーマッ ト を認識する

までには、 大で 2 ビデオ フレーム必要です。

SD-SDI RX EDH プロセッサ

SDI レシーバーには、 SD-SDI モードでのレシーバー エラーを検出するために、 EDH プロセッサをオ

プシ ョ ンで含めるこ とができます。EDH プロセッサは、SD-SDI データ ス ト リーム内の EDH パケッ ト

をアップデート しません。 エラーをレポート し、 そして各 EDH パケッ トからのエラー フラグをキャプ

チャするだけです。

EDH プロセッサには、 エラーがあるフ ィールドの数をカウン トする 16 ビッ ト のカウンターがあ り ま

す。 現在のエラー数は、 SDI ラ ッパーの rx_edh_errcnt ポー ト に出力されます。 カ ウ ン ター値は、

rx_edh_clr_errcnt が High にアサート される と ク リ アされます。 ユーザーは、 rx_edh_errcnt_en ポート

表 4 : rx_t_family のエンコード

rx_t_family 転送ビデオ フォーマッ ト アクテ ィブ ピクセル

0000 SMPTE ST 274 [参照 8] 1920 x 1080

0001 SMPTE ST 296 [参照 9] 1280 x 720

0010 SMPTE 2048-2 [参照 10] 2048 x 1080

0011 SMPTE 295 [参照 11] 1920 x 1080

1000 NTSC 720 x 486

1001 PAL 720 x 576

1111 不明

その他 予約

表 5 : rx_t_rate のエンコード

rx_t_rate フレーム レート (Hz)

0000 なし

0010 23.98

0011 24

0100 47.95

0101 25

0110 29.97

0111 30

1000 48

1001 50

1010 59.94

1011 60

その他 予約

Artix-7 FPGA に SDI インターフェイスを実装

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 52

を使用し、 このカウンターでカウン ト されるエラーの種類を指定できます。 このポートには、 16 個の異

なるエラー タイプを有効/無効に設定するための 16 ビッ トがあ り ます。 High に設定されたビッ トに基

づいて、対応するエラー タイプがカウンターでカウン ト されるよ うにな り ます。Low に設定されたビッ

トは、 対応するエラー タイプを無効にします。 同じフ ィールドで複数のエラーが生じる場合、 EDH エラー カウンターは 1 つしかインク リ メン ト しません。表 6 に、rx_edh_errcnt_en ポートのビッ トのエン

コードを示します。

ANC エラーは補助データ パケッ ト内のエラーを示し、 FF エラーはフル フ ィールド (Full Field) CRCで検出されるエラーを示し、 AP エラーはアクティブ画像 (Active Picture) CRC で検出されるエラーを

示します。 EDH パケッ ト チェッ クサム エラーは、 EDH パケッ ト内でチェッ クサム エラーが生じたこ

とを示します。

ANC、 FF、 AP それぞれのエラー条件には、 5 つの個々のエラー フラグがあ り ます。 これらのフラグが

High にアサート されるこ とで、エラー条件を示します。 EDH パケッ トの EDH、 EDA、 IDH、 IDA、お

よび UES エラー フラグの詳細は、 SMPTE RP 165 [参照 12] の資料を参照して ください。

• EDH エラー : EDH プロセッサがフ ィールドで CRC エラー (ANC パケッ トのチェッ クサム エラー

) を検出する と、 このエラー条件が生じます。 たとえば、 FF EDH エラー フラグは、 フル フ ィール

ド CRC でエラーが検出したこ とを示します。

• EDA エラー : 受信した EDH パケッ トの EDA または EDH フラグがアサート される と、 このエ

ラー条件が生じます。

• IDH エラー : このエラー条件は、 RX EDH プロセッサでサポート されていません。

• IDA エラー : 受信した EDH パケッ トの IDA または IDH フラグがアサート される と、 このエラー

条件が生じます。

• UES エラー : 受信した EDH パケッ トの UES フラグがアサート される と、 このエラー条件が生じ

ます。

エラー カウンターが有効の場合、これらがカウン ト される と同時に、検出された ANC EDH、AP EDH、

および FF EDH エラーも rx_edh_anc、 rx_edh_ap、 および rx_edh_ff ポー ト のそれぞれのアサート に

よって示されます。 つま り、 rx_edh_anc ポートは、 補助データ パケッ トにチェッ クサム エラーが検出

表 6 : rx_edh_errcnt_en のビッ ト

ビッ ト番号 エラー

0 ANC EDH エラー

1 ANC EDA エラー

2 ANC IDH エラー

3 ANC IDA エラー

4 ANC UES エラー

5 FF EDH エラー

6 FF EDA エラー

7 FF IDH エラー

8 FF IDA エラー

9 FF UES エラー

10 AP EDH エラー

11 AP EDA エラー

12 AP IDH エラー

13 AP IDA error

14 AP UES error

15 EDH パケッ ト チェッ クサム エラー

Artix-7 FPGA に SDI インターフェイスを実装

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 53

される とアサート されます。rx_edh_ap ポートは、計算されたアクティブ画像 CRC が EDH パケッ ト内

の AP CRC と一致していない場合にアサート されます。 そして、 rx_edh_ff ポートは、 計算されたフル

フ ィールド CRC が EDH パケッ ト内の FF CRC と一致していない場合にアサート されます。

RX EDH プロセ ッサも、 EDH パケ ッ ト からの ANC、 AP、 および FF フ ラ グを rx_edh_anc_flags、rx_edh_ap_flags、 および rx_edh_ff_flags ポートにそれぞれ出力します。 これらの出力ポートでは、

後に受信した EDH パケッ トで生じたフラグを正確に反映します。 したがって、 これらは、 エラー カウ

ンターをインク リ メン トするための検出されたエラーや rx_edh_anc、rx_edh_ap、および rx_edh_ff ポー

トの出力とは異な り ます。 たとえば、 rx_edh_ap_flags ポートの EDH フラグ (ビッ ト 0) は、 後に受

信した EDH パケッ トで AP EDH フラグがセッ ト されたこ とを示しますが、rx_edh_ap ポートは、EDHプロセッサでローカルに計算されたアクティブ画像 CRC が EDH パケッ トの AP CRC と一致していな

いこ とを示します。 rx_edh_anc_flags、 rx_edh_ap_flags、 および rx_edh_ff_flags ポートはそれぞれ 5ビッ ト幅です。 これら 3つすべてのエンコードは同じです (表 7 を参照)。

また、 RX EDH プロセッサは、 EDH パケッ トのフォーマッ トやコンテンツに応じて 4 つのエラー フラ

グを生成します。 これらのエラー フラグは、 rx_edh_packet_flags ポートに出力されます。 表 8 に、 こ

のポートのエンコードを示します。

GTP の初期化と リセッ ト、 および変更シーケンスのエラー コード

GTP RX の初期化シーケンスまたはリセッ ト シーケンス、あるいは GTP ト ランシーバーの rxrate ポー

トの動的変更を実行中にエラーが発生する と、 rx_change_fail ポートが High にアサート されてエラー

コードが rx_change_fail_code ポートに出力されます。 各シーケンスは、 リ ト ラ イ カウンターで許容さ

れる 大回数まで再実行さ れた後にのみ、 エ ラーで終了し ます。 リ ト ラ イ可能な 大回数は、

RETRY_CNTR_BITWIDTH パラ メーターまたはジェネ リ ッ クで指定した リ ト ラ イ カウンターのビッ

ト幅で制御されます。 リ ト ラ イの回数は次の式で求められます。

リ ト ラ イ = 2RETRY_CNTR_BITWIDTH – 1

表 9 に、 rx_change_fail ポートのエンコードを示します。

表 7 : rx_edh_anc_flags、 rx_edh_ap_flags、 および rx_edh_ff_flags ポートのエンコード

ビッ ト番号 フラグ

0 EDH

1 EDA

2 IDH

3 IDA

4 UES

表 8 : rx_edh_packet_flags ポートのエンコード

ビッ ト番号 エラー

0 EDH パケッ ト を確認できない

1 EDH パケッ トのユーザー データ ワードにパリティ エラーがある

2 EDH パケッ トにチェッ クサム エラーがある

3 EDH パケッ トにフォーマッ ト エラーがある (無効なデータ数など)

Artix-7 FPGA に SDI インターフェイスを実装

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 54

GTP TX の初期化シーケンスまたは リ セッ ト シーケンスの実行中、 あるいは GTP ト ランシーバーの

txrate または txsysclksel ポート を動的に変更している と きにエラーが発生する と、 tx_change_fail ポー

トが High にアサート されてエラー コードが rx_change_fail ポートに出力されます。 RX 側と同様に、

リ ト ラ イ可能な 大回数再実行された後にのみシーケン スにエラーが発生し ます。 表 10 に、

tx_change_fail_code ポートのエンコードを示します。

表 9 : rx_change_fail_code ポートのエンコード

コード 説明

0 PLL が許容時間内に基準ク ロ ッ クへロ ッ クできなかった、 または GTP ト ラ ンシーバーが gtrxrese 後の許容時間内に

rxresetdone をアサートできなかったこ とを示します。

1DRP が常時ビジー状態であったため、DRP アービタが gtrxreset シーケンスを実行するために DRP の制御を GTP ラ ッパー

の gtrxreset ステー ト マシンへ移すこ とができなかったこ と を示します。 このよ う なエラーは、 a7gtp_sdi_drp_control モジュールが DRP の使用中止を回避する際に問題がある と きにしか発生しません。

2 GTP ト ランシーバーが rxratedone 信号を リ ト ラ イを含む許容時間内にアサート しなかったため、 rxrate への変更を含むシー

ケンスにエラーが発生したこ とを示します。

3RX の変更シーケンスが開始される場合は、 a7gtp_sdi_drp_control モジュールが DRP アービタから DRP を要求してその

シーケンスに着手します。DRP 要求がリ ト ラ イを含む許容時間内に許可されなかった場合、このエラー コードでシーケンス

にエラーが発生します。

4

RX SDI モードを HD-SDI から SD-SDI モードに変更する と、rxrate が変更され、rxcdrhold がアサート されます。GTP CDRを リセッ トする必要があ り、 この リセッ トは、 rxrate ポートの動的な変更を受けて GTP ラ ッパーのステート マシンにで開

始されます。 a7gtp_sdi_drp_control モジュールは、 GTP ラ ッパーが要求された リセッ ト を必ず開始するよ うに監視します。

GTP ラ ッパーによって要求された リセッ トが リ ト ラ イを含む許容時間内に開始されなかった場合、 このエラー コードがア

サート されます。

5

GTP ト ラ ンシーバーの RXCDR_CFG 属性を変更する こ と が必要と な る RX SDI モード の変更が発生する と、

a7gtp_sdi_drp_control モジュールがこの属性を変更するために一連の DRP 書き込みサイ クルを実行しよ う と します。 これ

ら書き込みサイ クルのいずれかが、 drprdy ポート をアサート して GTP ト ランシーバーによって許容時間内に肯定応答され

ない場合は、 シーケンス全体を中止し、 許容される 大回数まで再実行します。 リ ト ラ イが 大回数まで再実行された後に

RXCDR_CFG 属性が正し く変更されなかった場合、 このエラー コードがアサート されます。

6

RX SDI モードが SD-SDI モードではなく 3G-SDI または HD-SDI モードに変更され、 rxrate の変更が求められる場合は、

GTP CDR を リセッ トする必要があ り ます。 このリセッ トは、 rxrate ポートの動的な変更を受けて GTP ラ ッパーのステート

マシンにで開始されます。 a7gtp_sdi_drp_control モジュールは、GTP ラ ッパーが要求された リセッ ト を必ず開始するよ うに

監視します。 GTP ラ ッパーによって要求された リセッ トが リ ト ラ イを含む許容時間内に開始されなかった場合、 このエラー

コードがアサート されます。 このエラー コードは、 エラー コード 4 と同じ理由でアサート されます。 これらエラー コード

の違いは、 エラー コード 4 は SD-SDI モードへの遷移中にエラーが発生した場合にのみアサート され、 エラー コード 6 はSD-SDI モードを除くモードへの遷移中にエラーが発生した場合にのみアサート される という点です。

7

RX SDI モードが 3G-SDI を除くモードに変更されるにもかかわらず、rxrate を変更する必要がない場合 (たとえば、SD-SDIモードから 3G-SDI モードへの変更)、 a7gtp_sdi_drp_control モジュールは gtrxreset に対して CDR のリセッ ト を要求しま

す。 GTP ラ ッパーがリ ト ラ イを含む許容時間内に gtrxreset の要求に応じなかった場合、 このエラー コードがアサート され

ます。

表 10 : tx_change_fail_code ポートのエンコード

値 説明

0 このエラー コードは予約されています。

1 フル リセッ ト シーケンスまたは GTP 初期化シーケンスの実行中、GTP TX にシ リ アル ク ロ ッ クを供給する PLL がリセッ

ト後リ ト ラ イを含む許容時間内に plllock 信号をアサートできなかったこ とを示します。

2GTP 初期化シーケンス、GTP フル リセッ ト シーケンス、 またはアプリ ケーシ ョ ンで要求された gttxreset シーケンスの実

行中、 GTP ト ランシーバーが gttxreset のアサート後リ ト ラ イを含む許容時間内に txresetdone 信号をネゲートできなかっ

たこ とを示します。 GTP ト ランシーバーが gttxreset のアサートに応答できないこ とを示します。

3GTP 初期化シーケンス、GTP フル リセッ ト シーケンス、 またはアプリ ケーシ ョ ンで要求された gttxreset シーケンスの実

行中、 GTP ト ランシーバーが gttxreset 後リ ト ラ イを含む許容時間内に txresetdone 信号をアサートできなかったこ とを示

します。

SDI デモンスト レーシ ョ ンの例

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 55

SDI のタイ ミング制約

SDI ラ ッパーおよび SDI コアについては、 クロ ッ クの周期にのみ制約を適用する必要があ り ます。 こ こ

でいう ク ロ ッ ク とは、 SDI ラ ッパーの clk、 rx_usrclk、 tx_usrclk、 および gtp_drpclk ポートへ適用され

るクロ ッ クです。 これらの制約の設定例は、 こ こで提供する SDI アプリ ケーシ ョ ン例の制約ファイルを

参照して ください。

SDI デモンストレーシ ョ ンの例

このアプリ ケーシ ョ ン ノートでは、2 つの SDI デモンス ト レーシ ョ ン アプリ ケーシ ョ ンを紹介します。

これらのデモンス ト レーシ ョ ンのソース コードは Verilog のみで提供しています。 ISE または Vivadoツールを使用して、 これらのデモンス ト レーシ ョ ンを構築する手順については、 ソース コードが含まれ

ている xapp1097.zip ファ イル内の readme.txt ファ イルをお読みください。 生成済みの FPGA コンフ ィギュレーシ ョ ン ファ イルも両言語で提供されており、Artix-7 FPGA AC701 評価ボードにロード

できます。 これらのデモンス ト レーシ ョ ンを実行するには、 Inrevium 社製 TB-FMCH-3GSDI2A FMCが必要です。これを AC701 ボードの FMC コネクタへ接続するこ とで、SDI ケーブル ド ラ イバーと SDIケーブル イコライザーが提供されます。またこの FMC は、GTP ト ランシーバーの基準クロ ッ ク と して

使用される SDI 特有のクロ ッ ク ソースも提供します。

デュアル SDI デモンスト レーシ ョ ン

このデモ アプリ ケーシ ョ ンには、2 つの SDI RX インターフェイス と 2 つの SDI TX インターフェイス

があ り、 これらはすべて独立しています。 AC701 ボードは 2 つの GTP ト ランシーバーしか FMC コネ

クタへ接続しないため、このデモンス ト レーシ ョ ンでは 2 つの SDI RX と 2 つの SDI TX インターフェ

イスに制限されています。 これは、 Artix-7 FPGA または SDI コアの制限ではなく、 特定のボードにの

み適用される制限です。

各 SDI TX は、 ビデオ パターン ジェネレーターで駆動されます。 各 SDI TX の SDI モード、 ビデオ

フォーマッ ト、 およびビデオ パターンは、 ChipScope™ Pro Analyzer の VIO (Virtual I/O) ビューで個

別に選択できます。

各 SDI RX のステータスは、 ChipScope Pro Analyzer の VIO ウインド ウでモニターできます。 各 SDIRX で受信したビデオ データは、ChipScope Pro Analyzer の ILA (Integrated Logic Analyzer) ビューに

表示できます。

Vivado ロジッ ク解析を ChipScope Pro Analyzer の代わりに使用できますが、 ChipScope Pro Analyzerでは SDI デモンス ト レーシ ョ ンによ り よ く対応したユーザー インターフェイスが提供されます。 した

がって、ChipScope Pro Analyzer の使用を推奨しており、 このアプリ ケーシ ョ ン ノートで提供される手

順は ChipScope Pro Analyzer にのみ対応しています。

Inrevium 社製 SDI FMC ボードには、SDI インターフェイス用のコネクタが 6 つあり ます。CH0-RX および CH0-TX と表示されているコネクタは、 初の GTP ト ランシーバーの SDI RX と TX コネクタで、

CH1-RX および CH1-TX と表示されているコネク タは 2 番目の GTP ト ランシーバーの SDI RX およ

び TX コネクタです。

4 GTP ト ランシーバーがリ ト ラ イを含む許容時間内に txratedone 出力をアサート して txrate が問題なく完了したこ とを示

すこ とができなかったこ とを示します。

5アプリ ケーシ ョ ンが、 SDI ラ ッパーの tx_m 入力を変更して txsysclksel の動的な変更を要求する場合、 txsysclksel の変更

前に gttxreset がアサート されます。 GTP ト ランシーバーが リ ト ラ イを含む許容時間内に gttxreset のアサート に応じて

txresetdone 出力をネゲートできなかった場合、 このエラー コードで txsysclksel 変更シーケンスにエラーが発生します。

6txsysclksel の動的変更実行中に gttxreset がアサート されます。 シーケンスの 後に gttxreset がネゲート されます。 GTPト ランシーバーが、gttxreset のネゲート後リ ト ラ イを含む許容時間内に txresetdone 出力をアサートできなかった場合、こ

のエラー コードで txsysclksel 変更シーケンスにエラーが発生します。

7 このエラー コードは予約されています。

表 10 : tx_change_fail_code ポートのエンコード

値 説明

SDI デモンスト レーシ ョ ンの例

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 56

図 23 のデモンス ト レーシ ョ ン ブロ ッ ク図では、 初の GTP ト ランシーバーへ接続される片方の SDIチャネルを示しています。このデモンス ト レーシ ョ ンでは、 初の GTP ト ランシーバーが GTP PLL のリセッ ト を行う PLL マスターであるこ とを除いては、 両 SDI チャネルは同じです。

Inrevium 社製 SDI FMC ボードには、 148.5MHz および 148.5/1.001MHz のオシレーターがあ り、 この

デモンス ト レーシ ョ ンでは GTP クワ ッ ドの PLL0 および PLL1 に基準クロ ッ クを供給するためにそれ

ぞれ使用しています。 GTP ト ランス ミ ッ ターは、 すべての SDI ビッ ト レートに対応できるよ うに 2 つの PLL からのシ リ アル ク ロ ッ クを動的に切り換えます。

Inrevium 社製のボード上にある LMH1983 デバイスは、制御モジュールが必要とする DRP ク ロ ッ ク と

固定周波数クロ ッ クに使用される 27MHz ク ロ ッ クを Artix-7 FPGA に提供します。

このデモンス ト レーシ ョ ンでは、SDI インターフェイスを簡単に 2 回複製できるよ うに、SDI ラ ッパー、

ビデオ パターン ジェネレーター、 TX ク ロ ッ ク イネーブル ジェネレーター、 ChipScope VIO/ILA モジュール、 そしてその他のロジッ クを 1 つのモジュール (a7_sdi_rxtx) に含めています。 このモジュー

ルは、 デザインの 上位モジュールに 2 回インスタンシエート されます。

デュアル SDI デモンス ト レーシ ョ ンの実行に必要なものは次のとおりです。

• ザイ リ ンクスの Artix-7 FPGA AC701 評価キッ ト

• Inrevium 社製 TB-FMCH-3GSDI2A SDI FMC

• DIN 1.0/2.3 から BNC へのコンバーター ケーブル (TB-FMCH-3GSDI2A に付属)

X-Ref Target - Figure 23

図 23 : デュアル SDI のブロック図

GTP Wrapper

PLL0

PLL1

148.5 MHz

148.35 MHz

IBUFDS_GTE2

BUFG

Divider

TX

Divider

RX

txoutclk

txusrclk

txusrclk2

drpclk

CableEQ

SDI Out

SDI In

rxoutclk

rxusrclk

rxusrclk2

VideoPattern

Generators

VPIDGen

10

11

40

2txsysclkset

clk

tx_video_a_y_in

txrate

tx_video_a_c_in

tx_line_a

gtp_txsysclksel

gtp_txrate

tx_mode

TX VPID Data

txdatagtp_txdata

gtp_rxrate

gtp_rxdata

rx_usrclk

ClockEnable

Generator

3tx_ce

VIO

RX ErrorsRX StatusRX VPID

10

32

ILA

RX Video Timing

rx_ds1a

rx_ds2a

10rx_ds1b

rx_ds2b

GTP ResetsGTP ResetsGTP StatusGTP Status

DRPDRP

a7_sdi_rxtx Module

SDI Wrapper

gtp_drpclk

BUFG

BUFG

rxn

rxp

tx_usrclk

27 MHz

IBUFDS_ GTE2

X1097_23_090613

txn

txp

rxrate

rxdata

10

10

10

VIO

SDI デモンスト レーシ ョ ンの例

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 57

• SDI 信号ソース

• SDI 信号シンク (SDI ト ランス ミ ッ ターからの信号を表示するための波形モニターまたはその他の

デバイス)

• ChipScope Pro Analyzer をインス トールした PC

Inrevium 社製 SDI FMC ボードは、 AC701 ボード上の FMC コネクタへ接続します (図 24 を参照)。

このデモン ス ト レーシ ョ ンを実行するには、 ChipScope Pro Analyzer が必要です。 ChipScope ProAnalyzer を使用して SDI ト ランス ミ ッ ターを制御した り、 ステータスや SDI レシーバーからの受信

データを分析します。 AC701 ボードは、付属の USB JTAG ケーブルを用いて ChipScope Pro Analyzerをインス トールした PC へ接続します。

このアプ リ ケーシ ョ ン ノー ト で提供する ac701_sdi_demo.bit とい う フ ァ イルは、 ChipScope ProAnalyzer を使用する AC701 ボード上の Artix-7 FPGA へロード します。 このビッ ト ファ イルを FPGAへロード した後、ChipScope Pro Analyzer で ac701_sdi_demo.cpj という ChipScope Analyzer のプロ

ジェク ト ファイルを開いてください。 このプロジェク ト ファイルを開く と、 図 25 のよ うな画面が表示

されます。 5 つの VIO ビューがあり、 各 RX および TX を示すビューが 1 つずつ (合計 4 つ)、 そして 2

X-Ref Target - Figure 24

図 24 : AC701 ボード と TB-FMCH-3GSDI2A ボードの接続

USB Cablefor JTAG

SDIConnectors TB-FMCH-3GSDI2A AC701 Board

X1097_24_072013

SDI デモンスト レーシ ョ ンの例

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 58

つの GTP PLL のロッ ク ステータスを示すビューが 1 つです。 また、 2 つの ILA 波形ビューがあり、 そ

れぞれがデモンス ト レーシ ョ ンの各レシーバーを示しています (図 25 の 「Minimized ( 小化)」 を参照)。

SDI ト ランス ミ ッ ターで生成される信号を観察するために、SDI 波形モニターまたはその他の SDI デバ

イスを SDI TX の出力へ接続します。 Inrevium 社製 SDI FMC ボードの SDI コネクタは、標準 BNC コネク タではないため、 これらの DIN 1.0/2.3 コネク タを標準 BNC コネク タへ接続するにはアダプター

ケーブルが必要です。

各 SDI ト ランス ミ ッ ターには VIO 制御ビューが 1 つあり ます。 図 26 に TX1 の VIO 制御ビューを示

します。各ト ランス ミ ッ ターはそれぞれの VIO ビューで制御されます。図 26 に TX1 の VIO ビューを

示します。

X-Ref Target - Figure 25

図 25 : ChipScope Pro Analyzer でデュアル SDI プロジェク ト を開いた画面

PLL StatusVIO

RX1 ILA(Minimized)

RX0 ILA(Minimized)

RX0 ILATrigger Setup

RX1 ILATrigger SetupTX1 VIO RX0 VIO RX1 VIO

X1097_25_103013

TX0 VIO

SDI デモンスト レーシ ョ ンの例

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 59

TX VIO ビューにある 初の 3 つのアイテムは、それぞれ 後に実行された GTP TX 初期化シーケンス

または動的変更シーケンスのステータ スを示し ます。 後のシーケンスが正常に完了した場合は、

[Change Done] インジケーターが緑色になり ます。シーケンスにエラーが発生した場合は、[Change Fail]インジケーターが赤色になり、 [Change Failure Code] にエラーの原因が示されます (表 10 を参照)。

[TXRESETDONE] インジケーターと [PLL LOCK] インジケーターは、 GTP ト ランシーバーからのこ

れら 2 つの信号のステータスを示します。通常の動作中は、これら両インジケーターは緑色になり ます。

表 11 に示すよ うに、TX の [Bit Rate]、[Video Format] および [SDI Mode] の組み合わせによって、SDIト ランス ミ ッ ターで生成される SDI 信号のフォーマッ トが決定します。

X-Ref Target - Figure 26

図 26 : デュアル SDI デモンスト レーシ ョ ンの TX VIO 制御ビュー

TX Change Done Status

TX Change Fail Status

TX Change Failure Code

TXRESETDONE Status

TX PLL LOCK Status

TX Rate Toggle Button

TX Video Format Selection

TX Test Pattern Selection

TX SDI Mode Selection

TX GTP Full Reset Button

TX GTP Reset Button

X1097_26_072013

表 11 : クワッ ド SDI デモンスト レーシ ョ ンの TX ビデオ フォーマッ トの選択

TX のビデオ フォーマッ ト

HD-SDI (SDI モード = 0) 3G-SDI (SDI モード = 2) SD-SDI

(SDI モード = 1)TX ビッ ト レート = 0 TX ビッ ト レート = 1 TX ビッ ト レート = 0 TX ビッ ト レート = 1

0 720p 50Hz 無効 無効 無効 NTSC

1 1080pSF 24Hz 1080pSF 23.98Hz 無効 無効 PAL

2 1080i 60Hz 1080i 59.94Hz 無効 無効 NTSC

3 1080i 50Hz 無効 無効 無効 PAL

4 1080p 30Hz 1080p 29.97Hz 1080p 60Hz 1080p 59.97Hz NTSC

5 1080p 25Hz 無効 1080p 50Hz 無効 PAL

6 1080p 24Hz 1080p 23.98Hz 無効 無効 NTSC

7 720p 60Hz 720p 59.94Hz 無効 無効 PAL

SDI デモンスト レーシ ョ ンの例

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 60

TX のビデオ パターン値には、SDI TX を駆動するビデオ パターン ジェネレーターで生成されるビデオ

テス ト パターンを選択します。HD-SDI および 3G-SDI モードの場合は、3 つのテス ト パターンがあ り

ます。

• 0 = SMPTE RP 219 カラー バー

• 1 および 3 = SDI パソロジカル チェッ クフ ィールド

• 2 = 75% カラー バー

SD-SDI モードの場合は、 2 つのテス ト パターンがあ り ます。

• 0 および 2 = SMPTE EG 1 カラー バー

• 1 および 3 = SDI パソロジカル チェッ クフ ィールド

TX VIO ビューの下方部分には、GTP TX を リセッ トするボタンが 2 つあ り ます。[TX GTP Full Reset]は PLL (TX0 のみ) および GTP TX ユニッ トの両方を リセッ ト します。 TX1 は PLL マスターではない

ため、 TX1 VIO ビューのフル リセッ ト ボタンでリセッ ト されません。 [TX GTP Reset] は GTP TX ユニッ トのみを リセッ ト し、 PLL はリセッ ト しません。

それぞれの SDI レシーバーには、 レシーバーのステータスをモニターするための VIO ビューと、 SDIRX で受信したビデオ データを表示できる ILA ビューがあ り ます。図 27 に、レシーバーの 1 つの VIOビューを示します。

SDI RX が入力される SDI 信号にロッ ク される と、 [RX Locked] インジケーターが緑色になり、 ロ ッ ク

されていない場合は灰色になり ます。

X-Ref Target - Figure 27

図 27 : デュアル SDI デモンスト レーシ ョ ンの RX ステータス ビュー

RX Locked Status

RX Change Done Status

RX Change Fail Status

RX Change Failure Code

RX SDI Signal Type

RX Bit Rate

SDI Transport Video Format

SDI Transport Frame Rate

ST 352 Payload ID Data Bytes

ST 352 Payload ID Packet Valid

RX Error Indicator

RX Error Count

RX Error Clear Button

RX GTP Full Reset Button

RX GTP Reset Button

X1097_27_072013

SDI デモンスト レーシ ョ ンの例

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 61

[RX SDI Mode] には、受信する SDI 信号のタイプ (SD-SDI、HD-SDI、3G-SDI level A、または 3G-SDIlevel B) が示されます。 このフ ィールドでは、 3G-SDI level B-DL および 3G-SDI level B-DS を区別し

ません。

[RX Bit Rate] には、 受信する SDI 信号のビッ ト レートが示されます。

[SDI Transport Video Format] には SDI 信号で検出されたビデオ転送に関する情報が、 [SDI TransportFrame Rate] には SDI 信号で検出されたビデオ転送のフレーム レートが示されます。 これらは共に、転

送ス ト ラ クチャを基準と しており、 画像フォーマッ ト とは関係あ り ません。 たとえば、 3G-SDI levelB-DL インターフェイスで信号 1080p 50Hz が転送される場合、 この転送は 1080i 25Hz (フレーム レー

ト ) と して検出されてレポート されます。

[ST 352 PID] (ST 352 ペイロード ID データ バイ ト ) は、 4 データ バイ トの ST 352 ペイロード ID パケッ トです。 これらはバイ ト 1 が左側、 バイ ト 3 が右側にあ り、 [ST 352 PID Valid] が緑色の場合のみ

有効です。

[RX Error] インジケーターは、CRC または EDH エラーが検出された場合は赤色になり、エラーが検出

されていない場合は灰色になり ます。 エラーの検出後、 [RX Error Clear] をク リ ッ ク して手動でリセッ

ト されるまで、 このインジケーターは赤色のままです。 [RX Error Count] は、 カウンターが 後にク リ

ア されてから受信した CRC の数 (HD-SDI および 3G-SDI モードの場合) または EDH エラーの数

(SD-SDI モードの場合) を整数値で示します。 エラー カウンターは、 [RX Error Clear] をク リ ッ ク して

手動でク リ アできます。また、入力される SDI 信号がビッ ト レート を変更して SDI RX が信号へ再ロッ

クする必要がある場合は、 エラー カウンターが自動的にク リ アされます。 ただし、 エラー カウンター

は新しい SDI 信号へロッ クするプロセスの早い段階で自動ク リ アされるため、SDI RX が新しい SDI 信号へ完全にロッ ク した時点でエラー数は通常 0 になり ません。

RX VIO ビューの下方部分には、GTP RX を リセッ トするボタンが 2 つあり ます。[RX GTP Full Reset]は PLL (RX0 のみ) および GTP RX ユニッ トの両方を リセッ ト します。 RX1 は PLL マスターではない

ため、 RX1 VIO ビューのフル リセッ ト ボタンで PLL (RX1) はリセッ ト されません。 [RX GTP Reset]は GTP RX ユニッ トのみを リセッ ト し、 PLL はリセッ ト しません。

図 28 では、ChipScope Pro Analyzer ILA を使用して SDI レシーバーで受信したデータを表示させる方

法を示しています。 各レシーバーには、 出力へ接続された ILA があ り ます。 これらの ILA を使用する

には、ChipScope Pro Analyzer の 前面にト リガー セッ ト アップと波形ビューを表示させる必要があ り

ます。それには、図 28 で示す画面の左上部にある [Project] パネル内の適切な UNIT の下にある [TriggerSetup] と [Waveform] をク リ ッ ク します。 UNIT 3 は RX0 の ILA で、 UNIT 6 は RX1 の ILA です。

SDI デモンスト レーシ ョ ンの例

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 62

[Trigger Setup] ビューでは、 ト リガー ポイン トやス ト レージ クオ リ フ ィケーシ ョ ンを変更できます。比

較ユニッ トは 2 つあり、通常は ILA キャプチャを ト リガーするために比較ユニッ ト M0 を使用し、デー

タ ス ト レージに条件を与えるために比較ユニッ ト M1 を使用します。 このため、 通常クロ ッ ク イネー

ブルが High のと き、 SD-SDI モードでは、 有効なデータ ワードのみキャプチャされます。 ChipScopeプロジェク ト ファ イルの ac701_sdi_demo.cpj には、 EAV を ト リガーするよ うにコンフ ィギュレー

シ ョ ンされている M0、 そしてクロ ッ ク イネーブルが High の場合にのみデータをキャプチャするよ う

に構成されている M1 が含まれています。

選択したレシーバーの、 ト リ ガーの設定ビューまたは波形エリ アのいずれかで、三角の [play] をク リ ッ

ク して ILA によるキャプチャを開始します (図 28 を参照)。キャプチャ バッファーは、複数ラインのビ

デオ データをキャプチャするのに十分な大きさが必要です。

SDI パススルー デモンスト レーシ ョ ン

2 つ目の SDI デモンス ト レーシ ョ ンでは、RX で受信したデータを TX が常に再送信するパススルー コンフ ィギュレーシ ョ ンで、 1 つの SDI RX と 1 つの SDI TX が互いに接続されています。 図 29 に、 こ

のデモンス ト レーシ ョ ンのブロ ッ ク図を示します。

X-Ref Target - Figure 28

図 28 : ChipScope ILA を使用してデュアル SDI デモンスト レーシ ョ ンの RX データを表示

Use this area to select the desired RX ILA Waveform andTrigger Setup windows and bring them to the foreground.

Click here to start capturingdata with the ILA.

ILA Trigger Setup window

ILA Waveform window

X1097_28_103013

SDI デモンスト レーシ ョ ンの例

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 63

PLL0 は、 148.5MHz の基準クロ ッ クにロ ッ ク され、 GTP RX ユニッ トへシ リ アル ク ロ ッ クを供給しま

す。 GTP RX からのデータは、 SDI RX データパスを通って非同期 FIFO へ送られます。 FIFO は、 RXク ロ ッ ク ド メ イン (rx_usrclk) から TX ク ロ ッ ク ド メ イン (tx_usrclk) へデータを移動します。 HD-SDIおよび 3G-SDI モードの場合、GTP RX からのリ カバリ ク ロ ッ ク (rxoutclk) は Si5324 デジタル PLL を通ってジッ ターを削減し、 その後 PLL1 の基準ク ロ ッ ク と して使用されます。 SD-SDI モードの場合、

rxoutclk はリ カバリ ク ロ ッ クではないため、 TX の基準クロ ッ クを生成するには使用できません。 代わ

りに、 27MHz SD-SDI RX ク ロ ッ ク イネーブル信号 (rx_ce_sd) が Si5324 へ送られて 148.5MHz に逓

倍され、 ジッ ターも削減されます。 PLL1 は、 Si5324 からの基準ク ロ ッ クにロ ッ ク され、 GTP TX ユニッ トへシ リ アル ク ロ ッ クを供給します。データは、 TX ク ロ ッ ク ド メ インの非同期 FIFO から読み出

され、 SDI TX データ パスへ送られます。 SDI TX データパスから出力された SDI データは、 GTP TXへ送られてシ リ アル化されます。

SDI パススルー デモンス ト レーシ ョ ンの実行に必要なものは次のとおりです。

• ザイ リ ンクスの Artix-7 FPGA AC701 評価キッ ト

• Inrevium 社製 TB-FMCH-3GSDI2A SDI FMC

• DIN 1.0/2.3 から BNC へのコンバーター ケーブル

• SDI 信号ソース

• SDI 信号シンク (SDI ト ランス ミ ッ ターからの信号を表示するための波形モニターまたはその他の

デバイス)

• ChipScope Pro Analyzer (オプシ ョ ン) をインス トールし、 ZC706 ボードの JTAG USB コネクタへ

接続された PC

X-Ref Target - Figure 29

図 29 : SDI パススルー デモンスト レーシ ョ ン

GTP Wrapper

PLL1Si5324 IBUFDS_GTE2

BUFG

Divider

TX

Divider

RX

drpclk

txn

txp

CableEQ

SDI Out

SDI In

10

10

clk

tx_video_a_y_in

txrate

tx_video_a_c_in

gtp_txrate

txdata gtp_txdata

rxrate gtp_rxrate

rxdata gtp_rxdata

rx_usrclk

ClockEnable

Generatortx_ce

10

a7_sdi_pass Module

SDI Wrapper

gtp_drpclk

BUFGrxn

rxp

tx_usrclk

27 MHz

PLL0148.5MHz

IBUFDS_GTE2

txoutclk

txusrclk

txusrclk2

tx_video_b_y_in

tx_video_b_y_in10

3

GTP ResetsGTP ResetsGTP StatusGTP Status

DRPDRP

10rx_ds2b

10

rx_ds1b

10rx_ds2a

10

rx_ds1a

rxoutclk

rxusrclk

rxusrclk2

rx_mode_SD

rx_mode

tx_mode

rx_ce_sd

Sync2

2

rden

rdclkwclk

wren

AsyncFIFO

BUFG

X1097_29_072013

SDI デモンスト レーシ ョ ンの例

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 64

Inrevium 社製 SDI FMC は、 AC701 上の FMC コネク タへ接続します (図 24 を参照)。 Inrevium 社製

ボード でア ク テ ィ ブな SDI コネ ク タは、 CH0-RX および CH0-TX のみです。 SDI 信号ソースは、

CH0-RX コネクタへ接続します。 SDI 信号は、 CH0-TX コネクタで再送信されます。

このアプ リ ケーシ ョ ン ノー ト で提供する ac701_sdi_pass_demo.bit とい う フ ァ イルは、 AC701ボード上の Artix-7 FPGA へロード します。 このビッ ト ファ イルを FPGA へロード した後、ChipScopePro Analyzer でプロジェ ク ト ファ イル (ac701_sdi_pass_demo.cpj) を開いて SDI RX のステータ

スをモニター、 または SDI RX からのデータをキャプチャ /モニターできます (図 30 を参照)。

このデザインには 2 つの ChipScope Analyzer VIO と 1 つの ILA があ り ます。

一方の VIO ビューは GTP PLL (複数) および Si5324 デジタル PLL のステータスを示します。 通常の

動作中は、 [TX PLL Locked] インジケーターおよび [RX PLL Locked] インジケーターは緑色にな り、

[Si5324 Loss of Lock] インジケーターは灰色にな り ます。 SDI RX の入力時、 または SDI 入力信号が

ビッ ト レート を変更した後の短期間に有効な SDI 入力信号がない場合、Si5324 は GTP RX からのリ カ

バリ ク ロ ッ クにロ ッ ク されず、[Si5324 Loss of Lock] インジケーターが赤色になり ます。Si5324 がロ ッ

ク されなければ、 TX PLL もロ ッ ク されず、 [TX PLL Locked] インジケーターが灰色になり ます。 これ

らの PLL ロ ッ ク インジケーターおよびほかの VIO ビューの [RX Locked] インジケーターを観察する

こ とで、 SDI 入力信号の変更後 SDI 出力が安定するまでに必要な時間の大部分が Si5324 のロ ッ ク時間

であるこ とがわかり ます。 この VIO ビューには、 RX および TX それぞれに対応するフル GTP リセッ

ト ボタンがあ り ます。 これらのボタンは、 関連する PLL のリセッ ト を含む、 GTP RX または TX のフ

ル リセッ ト を生成します。

も う一方の VIO ビューには、 SDI RX および TX のステータスが示されます。 この VIO ビューにある

RX ステータス インジケーターと [RX Clear Errors] はデュアル SDI デモンス ト レーシ ョ ンの RX VIO

X-Ref Target - Figure 30

図 30 : パススルー デモンスト レーシ ョ ンの ChipScope Analyzer ウィンドウ

ILA Trigger Setup WindowILA Waveform WindowRX/TX VIO WindowPLL & Reset Window

X1097_30_072113

FPGA リソースの使用数

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 65

ビューにあるものと機能的に同じです (図 27 を参照)。 RX ステータス インジケーターの説明は、 該当

するセクシ ョ ンを参照して ください。 VIO ビューの下方部分にある 3 つの TX ステータス インジケー

ターは、 デュアル SDI デモンス ト レーシ ョ ンの TX VIO ビューにある同様の名前の TX ステータス インジケーターと機能的に同じです (図 26 を参照)。

1 つの ILA を使用して SDI RX からのデータをキャプチャおよびモニターします。 これは、 デュアル

SDI デモンス ト レーシ ョ ンの SDI RX ILA と まったく同じよ うに動作します。

SDI パススルー デモンス ト レーシ ョ ンは、ChipScope Pro Analyzer なしでも使用できます。パススルー

SDI インターフェイスは、 SDI インターフェイスのステータスをモニターするための ChipScope ProAnalyzer を使用しなくても十分に機能します。

FPGA リソースの使用数

表 12 に、Artix-7 FPGA GTP ト ランシーバーと接続する SDI インターフェイスで必要な FPGA リ ソー

スを示しています。 リ ソース使用数には、 SDI コアや SDI ラ ッパーなど、 インターフェイスの実装に必

要なすべてのモジュールが含まれます。 こ こには、 一般的なコンフ ィギュレーシ ョ ンのリ ソース使用数

を示します。 こ こに示す結果は、 Vivado ツール 2013.3 を使用して得た値です。

SDI レシーバーおよびト ランス ミ ッ ター インターフェイス デザインでは、MMCM ( ミ ッ クス ド モード

クロ ッ ク マネージャー) を使用しません。また、ブロ ッ ク RAM または DSP ブロ ッ ク も必要あ り ません。

通常、 SDI TX と SDI RX それぞれにグローバル ク ロ ッ クまたはリージ ョナル ク ロ ッ クが 1 つ必要で

す。その他、 SDI ラ ッパーにタイ ミ ング用と して固定周波数のグローバル ク ロ ッ クが 1 つ必要です。 こ

の固定周波数クロ ッ クは、通常 GTP DRP ク ロ ッ ク と しても使用されます。FPGA に実装された SDI インターフェイスの数に関わらず、このよ うな固定周波数のグローバル ク ロ ッ クは 1 つしか必要あ り ませ

ん。

制約 GTP ト ランシーバーとの RX および TX データパスには 20 ビッ ト幅を使用しているため、 これらのデ

ザインの 大クロ ッ ク周波数は 148.5MHz とな り ます。 -1 スピード グレードの Artix-7 FPGA のタイ

ミ ング要件を満たすのは難しいため、 SDI コアでは -1 スピード グレード デバイスはサポート されてい

ません。 SDI アプリ ケーシ ョ ンの場合、 -2 またはそれ以上のスピード グレード デバイスが必要です。

すでに説明したとおり、 FPGA コンフ ィギュレーシ ョ ンが完了してから GTP ト ランシーバーが完全に

初期化されるまで、 GTP ト ランシーバーからの RXOUTCLK の周波数は 297MHz にな り ます。 SDIラ ッパーは、 RXOUTCLK 周波数が 148.5MHz 以下に降下するまで SDI コアの RX 部を リセッ ト状態

に保持します。

制約ファイルの例は、 リ ファレンス デザインと共に提供されており、SDI インターフェイスに必要なタ

イ ミ ングおよび配置の制約例と して使用できます。 タイ ミ ングに関して必要なのは、 GTP ト ランシー

バーからの rxoutclk および txoutclk のクロ ッ ク周期制約と、DRPCLK および SDI ラ ッパーの clk ポー

トで使用される固定周波数クロ ッ クの周期制約を適用するこ とだけです。 rxoutclk および txoutclk 制約

では、 ク ロ ッ ク周期を 148.5MHz に指定してください。配置に関しては、 rxp/rxn と txp/txn ピンに制約

を適用したり、 あるいは XY 座標システムを使用して GTP ト ランシーバーを実際の位置に制約するこ

とで GTP ト ランシーバーを任意の位置に制約配置するだけです。 この際、同じ GTP ラ ッパーにインス

表 12 : Artix-7 FPGA GTP SDI インターフェイスに必要な FPGA リソース数

リファレンス デザイン LUT FF

SDI RX (EDH プロセッサあ り ) と TX 3337 2855

SDI RX (EDH プロセッサなし ) と TX 2818 2456

SDI RX (EDH プロセッサあ り ) 2080 1861

SDI RX (EDH プロセッサなし ) 1581 1462

SDI TX 1247 994

用語解説

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 66

タンシエート されたすべての GTP ト ランシーバーは、 同じ GTP ク ワ ッ ド タイル内に含めるよ うに制

約する必要があ り ます。

用語解説 表 13 では、 このアプリ ケーシ ョ ン ノートで使用される用語について説明しています。

表 13 : 用語解説

用語 説明

3G-SDI SMPTE ST 424 規格の一般的な総称で、3Gb/s シ リ アル デジタル インターフェイス [参照 13] の略です。 3G-SDI は、 ST 425-1 で定義

された 3 つのマッピング モード (3G-SDI level A、 level B-DL、 お

よび level B-DS) をサポート しています。 これらのマッピング モー

ドの詳細は、『Source Image Format and Ancillary Data Mapping forthe 3 Gb/s Serial Interface』 (ST 425-1) [参照 14] を参照してくださ

い。

補助 (ANC) データ アクティブ画像データに使用されない SDI データ ス ト リームに組

込まれたビデオ以外のデータです。ANC データ と して非常に一般的

ものと しては、 エンベデッ ド オーディオがあ り ます。 ANC データ

は、 SMPTE の 『Television – Ancillary Data Packet and SpaceFormatting 』 (ST 291) [参照 15] の定義に基づいて、 補助データ パケッ トにフォーマッ トする必要があ り ます。

データ ス ト リーム SDI インターフェイスを通る実際のデータを指します。データ ス ト

リームは、 SDI インターフェイスを通過する際、 転送データ構造に

従ってフォーマッ トする必要があ り ます。

EDH SMPTE の『Error Detection Checkwords and Status Flags for Use inBit-Serial Digital Interfaces for Television』 (RP165) [参照 12] で定

義されている SD-SDI 用のエラー訂正およびハンド リ ング プロ ト

コルです。

エンベデッ ド オーディオ 一般に、SDI 信号の補助データ と して伝搬されるデジタル オーディ

オのこ とを指します。

EAV (アクティブ ビデオの終了) SDI 準拠のデータ ス ト リームの場合、 EAV はデータ ス ト リームに

特有な連続する 4 ワードであ り、 ラ インのアクティブ部分の終了や

垂直帰線区間の開始をマーキングします。各ビデオ ラインは、 EAVの 初のワードで開始する と考えられています。

HD-SDI SMPTE の 『1.5 Gb/s Signal/Data Serial Interface』 (ST 292-1) [参照 16] の一般的な総称です。

インターレース (インターレース方式)

ビデオ フレームが 2 つのシーケンシャル フ ィールドに分割された

映像の走査方式です。フ ィールド 1 には奇数ラインが含まれ、フ ィー

ルド 2 には偶数ラインが含まれます。 フ ィールド 2 は、 フ ィールド

1 の奇数ラインの間に表示されます。 2 つのフ ィールドは、 半分の

フレーム タイム差で異なる画を示します。

リ ンク 画像の帯域幅がシ リ アル デジタル インターフェイスの 大帯域幅

を超える場合は、 シ リ アル デジタル インターフェイスを 2 つまた

は 3 つ結合して画像を転送する帯域幅を拡大できます。 複数リ ンク

セッ ト の各シ リ アル デジタル インターフェイスを リ ンク と呼びま

す。 SMPTE の 『Dual Link 1.5 Gb/s Digital Interface for 1920x080and 2048 x 1080 Picture Formats』 (ST 372) [参照 17] では、 2 つの

HD-SDI リ ン クを使用してよ り高帯域幅のビデオ フォーマッ ト を

転送する方法について説明しています。ST 425-x ファ ミ リのマルチ

リ ン ク 3G-SDI 規格は、 現在 SMPTE が開発中です [参照 14]。3G-SDI level B-DL 転送では、 1 つの 3G-SDI インターフェイス上

のデュ アル リ ン ク HD-SDI (ST 372) ペアを両方転送し ます。

3G-SDI level B-DL で伝搬される 2 つのそれぞれの HD-SDI 信号に

関しても依然と して リ ンク と呼びます。

リファレンス デザイン

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 67

リファレンス デザイン

このアプリ ケーシ ョ ン ノートの リ ファレンス デザインは、 次のリ ンクからダウンロードできます。

https://secure.xilinx.com/webreg/clickthrough.do?cid=344558

表 14 に、 リ ファレンス デザインの詳細を示します。

ペイロード ID ビデオ ペイ ロー ド ID (VPID) と も呼ばれるペイ ロー ド ID は、

SMPTE の 『Payload Identifier Codes for Serial Digital Interfaces』(ST 352) [参照 7] で定義される補助データ パケッ ト です。 4 つの

データ ワードを持つ ST 352 ペイロード ID パケッ トは、 ビデオ画

像の特性 (ビデオ フォーマッ ト、 フレーム レート、 走査構造、 色空

間など) およびそのペイ ロードの転送に使用される SDI インター

フェイスの種類を識別します。マルチリ ンク インターフェイスの場

合、 ペイロード ID には個々のリ ンクを区別するビッ ト も含まれま

す。

プログレッシブ ノンインターレース映像走査方式です。プログレッシブ フレームの

すべてのラインは、 同じ画に属します。

SD-SDI 『SDTV Digital Signal/Data-Serial Digital Interface』 (ST 259) [参照 5] の総称で、 Standard-Definition Serial Digital Interface (標準精

細のシ リ アル デジタル インターフェイス) です。

シ リ アル デジ タル イ ン ター

フェイス (SDI)元来は SMPTE の 『Television – SDTV Digital Signal/Data – SerialDigital Interface』 (ST 259) と し て定義されていた

Standard-Definition Serial Digital Interface (標準精細のシ リ アル デジタル インターフェイス) です。HD-SDI や 3G-SDI の登場によ り、

混乱を避けるために SD-SDI と呼ばれるよ うにな り ました。 こ こで

は、SD-SDI、HD-SDI、および 3G-SDI の言及には総称的な SDI を用いていますが、 具体的に ST 259 について言及する場合は常に

SD-SDI を使用しています。

SMPTE Society of Motion Picture and Television Engineers (映画テレビ技術

者協会) です。

SAV (アクティブ ビデオの開始) SDI 準拠のデータ ス ト リームの場合、 SAV はデータ ス ト リームに

固有の連続する 4 ワードであ り、 垂直帰線区間の終了やラインのア

クティブ部分の開始をマーキングします。 通常サンプル 0 と呼ばれ

る、 ラインの 初のアクティブ ビデオ サンプルは SAV のすぐ後に

続きます。

同期スイ ッチング(ポイン ト、 イ

ンターバル、 ライン)SMPTE の 『Definition of Vertical Switching Point for SynchronousVideo Switching』 (RP 168) [参照 18] では、 同期ビデオ ソースの切

り換えが可能なビデオ フレームのポイン トが定義されています。 こ

れは通常、 同期スイ ッチング ポイン ト と呼ばれていますが、 実際は

ライン上の正確なポイン ト という よ り、 区間やラインの一部と して

定義されます。 同期スイ ッチング区間を含むラインを、 同期スイ ッ

チング ラインと呼ぶ場合があ り ます。

タ イ ミ ング リ フ ァ レンス信号(TRS)

EAV シーケンス と SAV シーケンスの両方について言及する際に使

用する一般的な用語です。

ト ランスポート インターフェイス データ ス ト リームのデータ組織です。 ト ランス

ポート データ層では、 ビデオ タ イ ミ ング情報の伝送に使用される

EAV や SAV を定義します。

XYZ EAV と SAV の 4 番目のワードを XYZ ワード と呼びます。このワー

ドには、 ビデオ タイ ミ ングを示す水平 (H) ビッ ト とフ ィールド (F)ビッ トが含まれます。 XYZ ワードには、 XYZ ワードのエラーを検

出できる保護ビッ ト も含まれています。

表 13 : 用語解説 (続き)

用語 説明

まとめ

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 68

ZIP ファ イルに付属するファイルのディ レク ト リ構造については、readme.txt ファ イルで説明してい

ます。

まとめ このアプリ ケーシ ョ ン ノートでは、SMPTE SD/HD/3G-SDI コアと Artix-7 FPGA GTP ト ランシーバー

を使用して、 SMPTE SD-SDI、 HD-SDI、および 3G-SDI 規格に準拠した SDI インターフェイスを実装

する方法について説明しています。 SDI アプリ ケーシ ョ ンに GTP ト ランシーバーを使用する際に欠か

せないデバイス特有の制御ロジッ クについて解説し、 また 2 つの SDI デモ アプリ ケーシ ョ ンを用いて

Artix-7 FPGA における SDI インプリ メンテーシ ョ ンについて詳し く説明しています。

参考資料 このアプリ ケーシ ョ ン ノートで言及した参考資料の一覧を次に示します。

1. 『7 シ リーズ FPGA GTP ト ランシーバー ユーザー ガイ ド』 (UG482)

2. 『高速シ リ アル I/O 向けに動的にプログラム可能な DRU』 (XAPP875)

3. 『Artix-7 FPGA データシート : DC 特性およびスイ ッチ特性』 (DS181)

4. 『Society of Motion Picture and Television Engineers (SMPTE) SD/HD/3G-SDI 製品ガイ ド』 (PG071)

次の資料は、 SMPTE (Society of Motion Picture and Television Engineers) のサイ ト (www.smpte.org)から入手できます。

表 14 : リファレンス デザインの詳細

パラメーター 説明

全般

開発者 John Snow

ターゲッ ト デバイス GTP ト ランシーバーを備える Artix-7 FPGA -2 スピード グレードまたはそれ以上

ソース コードの提供 あ り

ソース コードの形式 Verilog

既存のザイ リ ンクス アプ リ ケーシ ョ ン ノー ト / リ ファ

レンス デザイン、 IP カタログ、 サードパーティからデ

ザインへのコード /IP の使用

あ り。 IP カタログの IP コアを使用

シミ ュレーシ ョ ン

論理シ ミ ュレーシ ョ ンの実施 なし

タイ ミ ング シ ミ ュレーシ ョ ンの実施 なし

論理シ ミ ュレーシ ョ ンおよびタ イ ミ ング シ ミ ュレー

シ ョ ンでのテス トベンチの利用

なし

テス トベンチの形式 N/A

使用したシ ミ ュレータ /バージ ョ ン N/A

SPICE/IBIS シ ミ ュレーシ ョ ンの実施 N/A

インプリ メンテーシ ョ ン

使用した合成ツール/バージ ョ ン Vivado ツール 2013.3 および ISE Design Suite 14.7 の XST

使用したインプ リ メンテーシ ョ ン ツール/バージ ョ ン Vivado ツール 2013.3 および ISE Design Suite 14.7

スタティ ッ ク タイ ミ ング解析の実施 あ り

ハードウェア検証

ハードウェア検証の実施 あ り

使用したハード ウェア プラ ッ ト フォーム AC701 および TB-FMCH-3GSDI2A ボード

改訂履歴

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 69

5. ST 259 : 『Television – SDTV Digital Signal/Data – Serial Digital Interface』

6. ST 344 : 『Television – 540 Mb/s Serial Digital Interface』

7. ST 352 : 『Payload Identification Codes for Serial Digital Interfaces』

8. ST 274 : 『Television – 1920 x 1080 Image Sample Structure, Digital Representation and Digital Timing Reference Sequences for Multiple Picture Rates』

9. ST 296 : 『1280 x 270 Progressive Image 4:2:2 and 4:4:4 Sample Structure — Analog and Digital Representations and Analog Interface』

10. ST 2048-2 : 『2048 x 1080 Digital Cinematography Production Image FS/709 Formatting for Serial Digital Interface』

11. ST 295 : 『Television – 1920 x 1080 50-Hz - Scanning and Interface』

12. RP 165 : 『Error Detection Checkwords and Status Flags for Use in Bit-Serial Digital Interfaces for Television』

13. ST 424 : 『Television – 3 Gb/s Signal/Data Serial Interface』

14. ST 425-1 : 『Source Image Format and Ancillary Data Mapping for the 3 Gb/s Serial Interface』

15. ST 291-1 : 『Television – Ancillary Data Packet and Space Formatting』

16. ST 292-1 : 『1.5 Gb/s Signal/Data Serial Interface』

17. ST 372 : 『Dual Link 1.5 Gb/s Digital Interface for 1920 x 1080 and 2048 x 1080 Picture Formats』

18. RP 168 : 『Definition of Vertical Switching Point for Synchronous Video Switching』

改訂履歴 次の表に、 この文書の改訂履歴を示します。

Notice of Disclaimer

The information disclosed to you hereunder (the “Materials”) is provided solely for the selection and use of Xilinx products.To the maximum extent permitted by applicable law:(1) Materials are made available "AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort, including negligence, or under any other theory of liability) for any loss or damage of any kind or nature related to, arising under, or in connection with, the Materials (including your use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage (including loss of data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if such damage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same.Xilinx assumes no obligation to correct any errors contained in the Materials or to notify you of updates to the Materials or to product specifications.You may not reproduce, modify, distribute, or publicly display the Materials without prior written consent.Certain products are subject to the terms and conditions of Xilinx’s limited warranty, please refer to Xilinx’s Terms of Sale which can be viewed at www.xilinx.com/legal.htm#tos; IP cores may be subject to warranty and support terms contained in a license issued to you by Xilinx.Xilinx products are not designed or intended to be fail-safe or for use in any application requiring fail-safe performance; you assume sole risk and liability for use of Xilinx products in such critical applications, please refer to Xilinx’s Terms of Sale which can be viewed at www.xilinx.com/legal.htm#tos.

日付 バージョ ン 内容

2013 年 12 月 5 日 1.0 初版

Automotive Applications Disclaimer

XAPP1097 (v1.0) 2013 年 12 月 5 日 japan.xilinx.com 70

Automotive Applications Disclaimer

XILINX PRODUCTS ARE NOT DESIGNED OR INTENDED TO BE FAIL-SAFE, OR FOR USE INANY APPLICATION REQUIRING FAIL-SAFE PERFORMANCE, SUCH AS APPLICATIONSRELATED TO:(I) THE DEPLOYMENT OF AIRBAGS, (II) CONTROL OF A VEHICLE, UNLESSTHERE IS A FAIL-SAFE OR REDUNDANCY FEATURE (WHICH DOES NOT INCLUDE USE OFSOFTWARE IN THE XILINX DEVICE TO IMPLEMENT THE REDUNDANCY) AND A WARNINGSIGNAL UPON FAILURE TO THE OPERATOR, OR (III) USES THAT COULD LEAD TO DEATHOR PERSONAL INJURY.CUSTOMER ASSUMES THE SOLE RISK AND LIABILITY OF ANY USEOF XILINX PRODUCTS IN SUCH APPLICATIONS.

本資料は英語版 (v1.0) を翻訳したもので、 内容に相違が生じる場合には原文を優先します。

資料によっては英語版の更新に対応していないものがあ り ます。

日本語版は参考用と してご使用の上、 新情報につきましては、 必ず 新英語版をご参照ください。

こ の 資 料 に 関 す る フ ィ ー ド バ ッ ク お よ び リ ン ク な ど の 問 題 に つ き ま し て は、

[email protected] までお知らせください。 いただきましたご意見を参考に早急に対応さ

せていただきます。 なお、 このメール アドレスへのお問い合わせは受け付けており ません。 あらかじめ

ご了承ください。