introducere in microprocesoare_part6

6
,?lt. 2.3. zz - Coneclarea circuitei.r de rnemor-ie ROX{ la magistraiL.; & - di:l- granreie rtr pentlit operafia cle r:ltire. :itructnr';rl o llleinoiie semiconductoare - R-\\i r r':r iioll, tot sub form5. matriceal;", in fiecare nod r ceiul:r (circuit bistabil, capacitate liOS) in cai.e -t' r's 1rn hir. se prezintS., ca si ai matricei e,xistind. poate fi inscris sau CeIe la care ceiula de memorare are la bazi o structuri de bistabil {la-Ltcir) sint de.tip static sRAe{ (static RAM), iar cele la care funclio- irarea celulei de memorar:e.se ba,eazi" pe-inmagazinarea, intr-un tiinp Iinit, a unei sarcini elcctrice pe un cdndensatir sint de tip dinarric DR,\\I .(Dr-iramic. RAM) - \lembriilc RAh{ sint dc tip volatij deoarcce la pierderea tensiunii informafia din aceste celule r" (existl t'."ull, rrnele si de.tip'ciolatii). Sc.va exemplifice functionarea pe un , rrcurt de mt'molic RA l cu capacitatoa de-4096 biti, rcprezeniat in figirra 2.9, q.. celula adresatS" se afli la intersectia coloaiei activate, rie citre dccodificatorul avind intri,rile Au-Ao din'cuvintul de arlresare, cLr linia activatS., de cltre decodificatoroi .u.. are ca intrb.ri bitrji An- ,'1 *.. circuitele RANI pot avea pentru conectarea la magistrala'de dite pini scpar.a{i pentru calea de firscriere DI, fati dc calea de citire Do, i.r irr figurile 2.9. a_;i 2.2, b, sau cale con'r.ni, aceia;i pini, pentru inscriere st crtrle, fignta 2.9. c. rn cazul pinilor comuni, calea de-intrare se face printr-o poartiL _r'alidat[ de sernnalul de i'scriere, iar ie;irea printr-un ;rnrplificator f SI comandat de serrnalul de citire, ca in figuia 1 .j, b. Jn aceasti structurii dac5. se adreseazi- o localie, si circuituiui i se aplice Deoarece Ia o memorie RoNI se oblin (la -ie;irea clecodificatorului) tof i termenii produs, ceea.ce inseamnd ci pot fi iompletate cu i toate cisu- lele din cliagrgm_1 veitch-Karnaugh, din acestii se aleg doar cei dorif i prin nivelul sAU programabil. Aceasta inseamni. ci"la sinteza unei tunclu logrce nu mal este necesarS" rninimizarea pentru ci oricum eristl  o i termenii canonici produs. Existenfa tuturor acestor termeni, uneori firi a putea fi fotosi 1 t9ti,.{g9e la o multitudine cle posibilitiifi cle implementare cu memorii RO\L 2.3.2. Memorii RAM La memoriile cu .acces aleatoriu, RANI (Ranclorn Access Memorr.; accesul la oricare cuvint al memoriei este realizabil in acelasi inter.,ai -d.e jimp, Dar acela;i timp de acces, pentru oricare cuvint, esre realizat qt de catre o memorie RoM. Existi, 9i memorii, la care timpul de acces nu este acelagi pentru oricare cuvini, d.enumite tnemorii cri icces seyta satt- secuenliale .(de exemplu, benzile magnetice, discurile, memoriilt: .Y F"k magnetice, memoiiile cu dispozitiie cuplate prin sarcinb.-coll e .9.). lenJru accesul serial trebuie sl se p"rc.rrge toaie adresele (loca-  iile), de Ia cea prezentS. la cea la care se aflb. "cuvintul dorit. Accesul serrai este caracterizat de tim,pul vr,ed.itt de, acces,.d,e exemplu, pentrr"l o bandi magnetic5. care.neccsitr un timp de 40 s pentru aii paircursa de la un capit la altul, timpul medir.r cle icces va fi'de 20 s: Mai corecti. denumire, peltru memoriJ semico'ductoare cu acces areatoriu, ar fi memorie cu citire si scriere, RWM (Read Write Memorr-). 60 f8 aeiuto(l-o5 Oto INlRARL r'- DAT F I DOo tEStRE v AD GE I SD TA RT AE L L A p 6 ?, 1- l - .a t: ? ; D1 AQ RT R; rr ril ll * r ll Fig. 2.9. llemorii semiconduc- toare RAiI: a - schemS de prin- cipiu a unui circuit RAM de 4096 bifi; schend bloc de reprezentare a unui circuit cu dou5, c5"i pentru date (&); cu o singure cale pentru date (c) c) lviEN0Rl= DE CONTROT D 06 ?,o 76t I ; T o R DFCCDI F] C I.T OR 6 LA 6/, 61

Upload: mesuzana-1

Post on 12-Apr-2018

224 views

Category:

Documents


0 download

TRANSCRIPT

7/21/2019 Introducere in Microprocesoare_Part6

http://slidepdf.com/reader/full/introducere-in-microprocesoarepart6 1/6

,?lt.

2.3. zz

-

Coneclarea

circuitei.r

de

rnemor-ie

ROX{

la

magistraiL.;

&

-

di:l-

granreie

rtr

tinp

pentlit

operafia

cle

r:ltire.

:itructnr';rl

o

llleinoiie

semiconductoare

-

R-\\i

r

r':r

iioll,

tot sub

form5.

matriceal;",

in

fiecare

nod

r

ceiul:r

(circuit

bistabil,

capacitate

liOS)

in

cai.e

-t'

r's

1rn

hir.

se

prezintS.,

ca

si

ai matricei

e,xistind.

poate

fi inscris

sau

CeIe la care

ceiula

de

memorare

are

la

bazi

o

structuri

de

bistabil

{la-Ltcir)

sint de.tip static

sRAe{

(static

RAM),

iar

cele

la

care

funclio-

irarea

celulei

de memorar:e.se

ba,eazi"

pe-inmagazinarea,

intr-un

tiinp

Iinit,

a

unei

sarcini

elcctrice

pe

un

cdndensatir

sint

de

tip

dinarric

DR,\\I

.(Dr-iramic.

RAM)

-

\lembriilc

RAh{

sint

dc

tip

volatij

deoarcce

la

pierderea

tensiunii

informafia

din

aceste

celule

r"

dirtr,tg"

(existl

t'."ull,

rrnele

si

de.tip'ciolatii).

Sc.va

exemplifice

functionarea

pe

un

,

rrcurt

de

mt'molic

RA l

cu capacitatoa

de-4096

biti,

rcprezeniat

in

figirra

2.9,

q..

celula

adresatS"

se

afli la

intersectia

coloaiei

activate,

rie

citre

dccodificatorul

avind

intri,rile

Au-Ao

din'cuvintul

de arlresare,

cLr

linia

activatS.,

de

cltre

decodificatoroi

.u..

are ca intrb.ri

bitrji

An-

,'1

*..

circuitele

RANI

pot

avea pentru

conectarea

la

magistrala'de

dite

pini

scpar.a{i

pentru

calea

de firscriere DI,

fati

dc

calea

de

citire

Do,

i.r

irr

figurile

2.9.

a_;i 2.2,

b,

sau cale

con'r.ni,

aceia;i

pini,

pentru

inscriere

st

crtrle,

fignta

2.9.

c.

rn

cazul

pinilor

comuni,

calea

de-intrare

se face

printr-o

poartiL

_r'alidat[

de sernnalul

de

i'scriere,

iar ie;irea

printr-un

;rnrplificator

f

SI comandat

de

serrnalul

de

citire,

ca in figuia

1

.j,

b.

Jn

aceasti

structurii

dac5.

se

adreseazi-

o

localie,

si

circuituiui

i

se

aplice

Deoarece

Ia o

memorie

RoNI

se

oblin

(la

-ie;irea

clecodificatorului)

tof

i

termenii

produs,

ceea.ce

inseamnd

ci pot fi

iompletate

cu

i

toate

cisu-

lele

din

cliagrgm_1

veitch-Karnaugh,

din

acestii

se

aleg

doar cei

dorif i

prin

nivelul

sAU programabil.

Aceasta

inseamni.

ci"la

sinteza

unei

tunclu

logrce

nu

mal

este

necesarS"

rninimizarea

pentru

ci

oricum

eristl

 o i

termenii

canonici

produs.

Existenfa

tuturor

acestor

termeni,

uneori

firi

a

putea

fi

fotosi 1

t9ti,.{g9e

la o

multitudine

cle posibilitiifi

cle

implementare

cu

memorii

RO\L

2.3.2.

Memorii

RAM

La

memoriile

cu

.acces

aleatoriu, RANI

(Ranclorn

Access

Memorr.;accesul

la

oricare

cuvint

al memoriei

este

realizabil

in

acelasi

inter.,ai

-d.e

jimp,

Dar

acela;i

timp

de

acces,

pentru

oricare cuvint,

esre realizat

qt

de

catre

o

memorie

RoM.

Existi,

9i

memorii,

la care

timpul

de

acces

nu

este

acelagi pentru

oricare

cuvini,

d.enumite

tnemorii

cri

icces

seyta

satt-

secuenliale

.(de

exemplu,

benzile

magnetice,

discurile,

memoriilt:

.Y

F"k

magnetice,

memoiiile

cu

dispozitiie

cuplate

prin

sarcinb.-coll

e .9.).

lenJru

accesul

serial

trebuie

sl

se

p"rc.rrge

toaie

adresele (loca-

 iile),

de Ia

cea

prezentS.

la

cea

la care

se

aflb.

"cuvintul

dorit.

Accesul

serrai

este caracterizat

de

tim,pul

vr,ed.itt

de,

acces,.d,e

exemplu,

pentrr"l

o

bandi

magnetic5.

care.neccsitr

un timp

de

40

s

pentru

aii paircursa

de

la

un capit

la

altul, timpul

medir.r

cle icces

va fi'de

20

s: Mai

corecti.

denumire,

peltru

memoriJ

semico'ductoare

cu

acces

areatoriu,

ar fi

memorie cu

citire

si

scriere,

RWM

(Read

Write

Memorr-).

60

f8

aeiuto(l-o5

Oto INlRARL

r'-

DAT F

I

DOo

tEStRE

v

AD

GE

I

SD

TA

RT

AE

L

L

A

p

6

?,

1- l

-

.a

t:

?

;

D1

AQ

RT R;

rr ril

ll

*

r ll

Fig.

2.9.

llemorii

semiconduc-

toare

RAiI:

a

-

schemS

de

prin-

cipiu

a

unui

circuit RAM

de

4096

bifi;

schend

bloc de

reprezentare

a

unui

circuit

cu

dou5,

c5"i

pentru

date

(&);

cu o

singure

cale

pentru

date

(c)

c)

lviEN0Rl=

DE CONTROT

D

06

?,o

76t

I

;

T

o

R

DFCCDI F]

C

I.T OR

6 LA 6/,

61

7/21/2019 Introducere in Microprocesoare_Part6

http://slidepdf.com/reader/full/introducere-in-microprocesoarepart6 2/6

comanda

de

citire, conlinutul

localiei

prin

amplificatoarele

TSL

se va

afla la

iegire Ia

pinii

comuni; pentru

a se

irnpiedica

lnscrierea

aceluiasi.

cuvint

pe calea

de

intrare

comanda

de

inscriere

trebuie

s[

fie

exclusiv[

in rapoit cu

cea

de

citire.

Comanda

reciproc exclusivir

se poate realiza

doar printr-un

singur

pin la circuit, dar

in interiorui circuitului

apii-

carea semnalului

de comandl

la

poarta de

intrare

se

face

direct,

iar

la

amplificatorul

TSL printr-un

inversor.

Acest

pin se

noteazS" fie

READ/WRITE

(R/fl),

fie

WE

(WiiteEna5tr).

ln

afar;. de

pinii

de

adresare

;i

de

pinul

WE

circuitul mai

prezintl

un pin pentru

seleciare

circuit,

C_S

(pentru

cazul

cind intreaga memorie

a

sistemului

este fcr-

mat5.

din

mai multe

circuite

identice).

Pentru

operalia

de

inscriere,

in a{ara

adresei

localiei,

circuitul'*i

trebuie

si

i

se aplice

CS

:

O, W-E

:

0,

iar

pentru

operalia

de

citire

CS:0, WE

-

1.

Oblinerea

acestor

doul

semnale

de

control

se

face

printr-o logici

externl

circuitului,

figrrra

2.10,

a,

conlorm

tabelului

de

adevS.r din

figura

2.10,b.

Senrnalele

RD;i WR

respectS. condilia

de

exclusivitate,

deoarece niciodatS-

procesorul

nr-r

le

activeazi

simultan,

iar

ca semnal

de selectare

poate

{i

folosit unul din

bifii

cei

mai

semnifi-

catirzi

ai

cuvintului

de

adresl,

de

exemplu,4o_1

:

1.

lntr-un

sistem pe bazd

de microprocesor

secvenlele operaliei

de

citire

a

merr,oriei

RAM,

identice

;i

la

memoria

ROM,

sint: 1

-

plasarea

cuvintului

de adresl

pe

magistrala

de

adrese;2

-

pregitirea rnagistra-

lei

de date

pentru incircarea

cuvintului

citit;

3

-

generarea control

Iului

READ

care

impreunS,

cu

SELECT

(culese

din

cuvintui

de adresi,\

produces:0,

WE:

1,

deci

inc[rcarea

cuvintului

din

memorie

pe

magistrala

de date,

figura

2.10,

c.

La

operalia

de

inscriere

apar

r-irmi.-

toarel.e

secvenle:

1

-

plasarea

cuvintului

de

adresi

pe

magistrala

de

adrese

;

2

-

aplicarea cuvintului

de

inscris pe magistrala

de

date;

3-

Benerarea

controlului

WRITE

care

impreuni cu

SELECT

prodr:c

CS

:

0,

WE

:

0,

deci

se

incarci

iocalia

de

adresl" indicatS" de

cuvintut

d"

pg

magistraia

de

adresare.

In cazul cind

circuitul

de

memorie prezintl

c[i

de

inscriere

si

citire

diferite,

iar calea

de

citire

nu

are

(in interiorul

cipului)

amplificatoare

TSL,

conectarea

acesteia

la magistrala

de date

a

sistemului trebuie si

se

realizeze prin

amplificatoare

TSL

exterioare,

figura 2.9,

b.

Rolul

aces-

tor

amplificatoare

este

de

a

decupla

calea

de

ie;ire

de

Ia magistrala

sis-

ternului

cind

se

realizeazd"

operalia

de

inscriere. Semnalele de

control

CS

:

o,

R/W

:

0

;i

trecerea

intIZ

a

ampiificatoarelor

TSL

la

operatia

de inscriere,

semnalele

de

control

CS

:

0,

RIW

:

I

;i

vaiidarea

por-

lilor

TSL ia

operalia

de citire

trebuie sl

fie

realizate

printr-o logici

exterioarl

din

lariabilele nP.qO,

WRITE

;i

SELECT

i61.

Ca

m1surl

a performanlei

de

rapiditate

a unei rnemorii

RAII

sint

parametrii

(ca

valori

minirne):

titnptil

dt

cicl'u.

la

citire J-n.,

li

timpui

62

:FS,PEA.,AV@

-

t

DAT r-

'

'^,teO

SrqALA

cr

pA-r

i

'

'

c)

'rAITSRALA-]ffi/-

t-ADRLSAR@

I'lAGISTRALA

i:iC.

2.10.

a

-

Conectarca,

circuitelor

dc

rnemorie

RAl\{

la

rnagistrale;

b

-

tabel

de

ade.ri.r

pentru

con-

trolul

circuitului,

diagrame

in timp

pentru

oper.Ltia

de

citire (c), perrtlu inscriere

(r/)

,i:

ciclw

la

tnscyiere

Tr,,".

limpul

de

ciclu

la citire

se

define;te

ca

inter-

r-alul

dintre

momentele

cind

se

aplicS. cuvintele

de

adres5.

pe

magistrala

rle

adresare

pentru

dou5"

citiri consecutive.

La fel

se

defineqte

;i

T:wc,

rlar

pentru

dloui

operalii

de

inscriere

succesive.

Structura

circuitului

RAX{

din

figura 2.9,

a poate

fi reginditl ca

in

figura 2.11,a.

In

aceastS.

nou6

for-mulare

matlicea

c1e

mlmorie

se

organizeazi

incit

raportul celor

doui

dimensiuni

(linii/coloane)

si fie

rilnu

sau

cit

mai

aproape

de

unu. Cu

jurnittate

din

bilii

de adresi

An-r

...

...

-40

prin intermediul

decodificatoruiui, se

poate

adresa oricare

dintcele

Z'12

linii ale

matricei;

fiecare

linie

a matiicei

contine

212

ceh'aJe

de

lrremorare,

cleci

tot

"iitiu

biti. Bitii

liniei

adresate sint

apiicali multi-

plexorului

care

prin ciivintul

de'adres5"

A,r,t....4,+,

sel'ecteaz\

doar

C

I

T

R

E

il

A

E

f.l

5

R

I

E

R

z

D

A

T

;

'

'A'

u

cELFir=

I

rrro

>'Ra-i-G--l

, ii5'=

o-'

\.vE

-

r f-

^:RFszRE.co\-Ror

\__IWAJ__J

CS

..1A,rrsrr.rLA

ti--1

se-E -i-l

wr o f-

arRt:ARF. ^o

tiRO*

I

WR=C

i

d)

OJ

7/21/2019 Introducere in Microprocesoare_Part6

http://slidepdf.com/reader/full/introducere-in-microprocesoarepart6 3/6

|.*^j

DAT

Fig. 2.11.

blenoria

dinamicd RAtrI:

a

-

clistribuirea

sarci-

nilor

de

selectare

intre

un

DCD

(pe

linii)

9i

un

IIU,\

(pe

coloarie)

'

',

:",:,'J:..,H1":;

i1il,

in'TJtoftl"ilt

DRArI;

un

bit

din

cei

2"12

ai liniei-si care

are

acces la

magistrala

de'clate,

capa.-

citatea

nremoriei flind

z't2.2'tt2

-

zil

bi{i.

pentrr-r"realizarea

unui ciitr,.l

de

memorie (de

scriere

sau

citire)

este

necesari

mai

i'tii

o

selectare

a-

y-":1.-Ilt:_;i

lp:i,_

{qe1f_ .tirziere

clat5.

de propagruea

prin

DCD

;i

IIATRICEA

DE

IIEIIORIE,

selectarea

unei

atr.,mitc colo^ane.

Acc-asrlir

intirziere

in

selectarea

unui

bit poate

fi foiosit:'r

pentrr-r

ull

?lcces

st-cl-r-r1-

fial

la un circuit

de

memorie

dinamiciL.

Pentru

circuitul

de

meruo,ie

d.irrn*icti DRANI

di'

figura

Z.ll,b

corespund

notaliile

[43]:

-

LL,

registru

latch (vezi

$

3.2)

pentru

adresarea

liniilor-;

-

LC,

registru

latch

pentru

selectarea

coloanelor;

-

LCLK,

ceas

(tact)

pentru

selectarea

registrului

LL,

'otat

cllrerlt

cu

RAS (Rorv

Address

Strob);

-

CCLK, ceas

pentru

selectarea

registrului

LC,

notat cul-enr

ci_r

{).l,S

iCotomn

Address

Strob).

La

memoriile

dinamice operarea

asupra

unui

bit

se

{ace

mai

intii

prin

aplicarea

adresei

de

linic

strobati prin

RrS;i

apoi

aplica.err,

1l'

aceia;i

pini,

-a

adresei

de

coloan5.

strobatii

prin

C-LS.

Rezultri cl

num6"-

rul

de

pini

de

adresi.,

fa 5"

de

un

circuit SifAU,

se

reduc

la

ir,i2,

adicl_

64

lrr

fumS-tate,

ceea

ce

este

un

crr

un

cuvint

de

lungime

mare

rrri,t5"fire

a

nums.rului

de

pini

tlfii

circuitelor

DRAM

a

fost

I

pare

pentru

z.

avantaj

mai

ales clnd

adresarea

se

face

de

exemplu

14,

16,

18

biti.

Aceastd.

iniu-

explicS. (in

parte)

de

ce

evolutia

capaci-

I(bit,

4K,

l6K,

64K, 256K,

adici

r':alori

celulele

de mernorie

dinamicd.

au

ca

suport

fizic starea

de functio-

rlare a

unui

tranzistor

ntOS

care

poate

fi

cbmandat

de

sarcina

stocaie

pe capacitatea

de

intrare

(grild-suisr)

aceasta

determinind

un

potenfial

de

cornandi

ce trebuie

pritrat

constant.

Deoarece

aceasti

sircinl'se

d.escarci

in

iimp

pe rezistenla

de

intrare

(grild"_sursl)

este necesar

a

tr

rcincS"rca\5.

(

,,refresh"

)

cel

pulin

o

dat6 ra

2

ms,

adicl

un

acces

la

rlecare

cerule

sr reimprospdtati.

informa,tia.

Dar,

accesul

pentru

re-

irnprospital

se^

poate^

ta.i

simutin"-i"

t6ut"

.etut"te

e;-p"

o

linie

si

nu separat

la

cite

o celuli,

deci

adresarea

de regenerare

p'oate

fi limi-

tatir"

doar

la

transferui

adresei

ta

LL pentru

celj

znl,

linii'.

pentru

un

circuit

cy c.1p-a-cita-tea.2n

bifi_numdruf

de

cicruri

de

reimprospitare

ce

trebuie

si

aibS.loc

in

timp

de

2

ms

este

de

minimum

2,r2.

i.cesie

cicluri

de,.reimprospdtare

sfnt

iicluri

suplimentare,

fa I"

de cele

curente

ale

aplrcalrer

concrete,

in

intervalul

de

z

m-s.

ciclurile

suplimentare

pot

fi

realizate: a

-

uniform

in

intervalul

de 2

ms;

b

-

corirpact

in

inferva-

lul

de

2

ms:

c

-

in

intcr'alele

de

timp in

care

nu

erist'i

acces

din par-

tea

sistemului

in

care

lucreazi.

memoiia,

dacd"

se

poate

esti'ra ci.

rata

intervalelor

libere

este in

medie

mai

mare

de z/z'intr-un

interval

cie

2

ms.

De

exemplu, pentru

ql

cilcui

d.e

memorie

cle

64 Kbifi,

p."ti"

care

.nfz:7,

sint

necesare

128

de

cicluri

d,e regenerare

in

2'

mi,

deci

un ciclu

de

acces suplimentar

la

aproximativ

i6

ps.

Pentru

realizarea

unor

module

de memorie

RAM

din circuite

DRAM

este

necesari

folosirca

.unor

interf..tc.

fonnate

din circuite

suplimentare

de reimprospitare

gi

de ad.aptare

(de

tip

driver

sau

receiv6r).

Acesic

cirruite

suplimentare

pot

fi

in

numir

destul

de mare

mai ales

atunci

cind

se

con{igureazS,

din

circuite

de

capacitate

micb",

module

de

memorie

mai mari

de

64

Kbiti.

Peutru

capaciieli

mici

de

-"-oii"

aieste inter-

faf

iri

au

fost integrate

pe

aceia;i

iip

impreund. cu

circuitele

de

memorie

oblinindu-se

circuitele

IFAIII (Integrated

nAu),

iar pentru

capaciti i

mar.i interfelele

au-fost.integrate_xll.qt

sub foima

.itrot

"it.o'it.

.p.-

cializate

(de

exemplu,

circuitll

INTEL

gZ0S).

Deoarece

atit

circuitele.SRAM,

cit

;i

cele

DRANI

sint

de

tip

voia-

til

este

necesar

ca intr-un

sistem

modulul

RANI sd. fie

previzut

cu

un

sistem

de

alimentare

de

rezervd.

pentru

a

nu

se pierde

iniormafia.

unele

circuite

de

memorie RAM,

pentru

a

se

micsora

consumul

de

la

sursi,

mai

ales

la

sistemele

portabile,

sint

trecute

in

regimul

de

funclionare

de

rezervS.

(standby)

cind

nu sint

accesate.

Regimul

de

rezerr.i

nu este

operational

ci

doar

pS.streazl"

informatia

(chiar

;i

atunci

cind

nu

sint

alimentate

de

la

sursa

de

rezerr.i).

lal s

iRAS)

YiE

cc iii

iifsr

F.

l

65

7/21/2019 Introducere in Microprocesoare_Part6

http://slidepdf.com/reader/full/introducere-in-microprocesoarepart6 4/6

2.3.3.

Tipuri

de

memorii

semiconductoare

Pentru

realizarea

circuitelor

de

memorie,

la fel

ca

$i

pentru

alte

tlpuri

de L$^9au

VLSI, sint

utilizate

tehnologiile

bipotarl

(irr,

rii-

S

gh:

t

t

k*,P^gL),

t

ehnologiil

I

p_eJ

{

oxide

s

em-ico

ndu^c

t or,

t\ii

O

S (c ; ;;_

nal

N,_N-Mo_S;

cu canal

P, P-Mos;

cu

simetrie comprementari,'cMos

sau-cosMoS;

cu

dispozitive.metal-nitrurb.

oxid

de

siliiiu,

lwxos;

cu

dispozitive

l-iliciu

cristalin-nitrur5

oxid

de

siliciu

sNoS;

Mos

"o*-

lementar

cu siliciu

pe^safir,

SoS/cMoS)

tehnologia

penliu

logicl

inte-

gratd.

de

injecfie,

LzL.

ca

urmare

si

perfoimanlele

iircriitelor

de-memorie

rntegrate.

poartd

amprenta

caracteristicilor

tehnologiei

respective:

bipo_

13.1

-

viteza

de

plbc-e_s_ary

mare,

putere

disipati

tiaicJta,

densitate

de

rntegrare

sc5.zutJ";

llos

-

densitate

de intelrare

ridicati,

vitezi

de

proces-are.

mai

scS.zuti

decit la

bipolari, puteie

disipatf,

rclativ

scd,-

zutit,

i.ar

.la

cMos

;i

SoS/ciltoS,

ii

special,

putere

diiipati

foarte

sc5-

zuti

;i

viteze-mai

ridicate

decit

la

N-Mos

;

v

-

circu-ite

care

au

per_

formanle

mediate

intr-e

bipolare

;i

unipolare.

ln p."r"rri5.1i.

urm5toare

se

vor

indica

doar valorile

comercial

iccesibile,

^pr".om

si

cele

de

virf

ale

parametrilor

circuitelor-de

qnemorie.

tl0l

{201't301

i331.

ln

alegerea

unui

_circ-uit

integrat

de

memorie trebuie

ra

je

iibair,

lr'.d"r",

te"nsiu_

nile.de

alimentare,

capac-itatea,,

modul

de organizare

(iungimea

cuvin-

tului,

semnale

de

control.gi

adresare),

ngtei"r

aisipJta-[in

regim

de

funclionare

sau

in

regim

de rezervf,-st"nauy;,

timpui

a*

J"""r,"ti-pJ

de

ciclu

memorie,

disponibititate

;i

prel

<iti-cost.'

circr'titele

de memorie

RoMgenereazd"

un

set

fix

d,e cuvinte

(inscris

anterior)

atu-1ci

cind

este

adrelat.

In

funclie

de

modul

cum

aceste

cuvinte..pot^fi

inscrise

(;i

eventual

gterse)

"*i.tl

mai

multe

tipuri

de

memorii

ROI{.

.

"ryemlAl^Nll-eu,teesew,e^

ROM.

La

aceste circuite

d,e memorie,

indiferent

de

tehnologia

folositd.,

inscrierea

bililor

in

noduiile

matricei

se face

in

timpul

procesului,

tehnologic

prin-iorosir""

,toor

m4ti

1cu

.ace.pt5".

operalie,

in

schema

de principiu

din figura

2.7,

a,

se

reaiizeizralegd.turi galvanice

in

unele

noduri).

-Evident,

p"entru

insciierea

progra-

mului,

acesta

tlebuie

elaborat

;i

trimis

fabriiantului

inainte

de rJali-

zarea circuitului.

Sint

recomandate

astfel

de circuite

pentru

produclie

de-mare

serie (articole

de

larg

consum,

automobile,

jocu'ri

etc.) rezultind

cel

mai:c5"?yt

p{"t

de

cost-.

valori

obfinute

p"t

t.o

pararrietrii

sint:

pentru

RQM

bipbJare

timpi

de acces

25-_30

ns, putere

disipati

0,5 mw/

bit, capacitate

pin5"

in

o4

K; pentru

RoM

uiipolare

ti'mpi

de acces

100.-500

I ..9ap{Tlt^q

pi"a

6 256

Kbit,

putere

disipatd.^de

ordinul

zeci

de pW/bit

(CMOS).-

circuitele

de rnernorie

progr-amabile,

pRoM.

N{emoriile

pRoM,

dupi

cum

rezultS.

;i

din

denumirea

lor

abreviati,

pot

fi

programate

de

ci.t're

utilizator.

circuitul

este

produs

,,plin"

in

no'durile'mairicei

sau

cu

,,

1,.

sall

cu ,,0".

utilizatorui

cu

ajutorul unui

programator

sctrimbi

(irever-

66

sibil) in

unele

nodnri

valoarea initial5"

a

bitului

cu

-,,aloarea

comple-

me'ntarb.

Prin

procesul

de programare,

intr-un

nod

ra

care

se

schimbi

valoarea

bitului,

sg__ln*1gpe

un

ilzrbd_(siliciu,

nichel-crom)

f4U__s,e

strlpJ+U"e-o*1sl1c i[gg.

In

iaport

cu

RONi-urile

bipolare

cu

mascare,

PRoM-urile

(care

sint

numai de

tip

bipolar)

au

cam

iceeasi

putere

disi-

pat5",

dar

densitate

de

integrare

;i

timp

de

acces

pulin

mai

reduse.

Mai versatile sint memoriile

RoM

care

pot

fi

;terse

gi

reprogramate

PROM

(Programable

RoM)

de

c[tre

utili/ator.

bxistb-

doili.

i-ariante

de

PROE{-uri,

in funcfie

de

modalitatea

de

a

sterse

informatia:

1

-

 

enp| 1u;t_"rff

Ig

ggxpunere

de

radialie

ultraviolet

I

.

"rr

r.diu1i"

X

;

2

-

EEPROII,I (E'?PROM)

cu

;tergere

pe

cale

electricd.

Memoriile

EPROM

confin

ln

noduriie

matricei

un

tranzistor

IIOS

x"

_pg1rt,I

flotanti

(FAMos).

conductanla

canalului

tranzistorului

FAhIos

este zero

atunci cind

nu existi

sarcini.

pe

poarta

flotanti

(poarta

flotantl

este

un strat

de

siliciu

polic-ristalin

incfus

in

stratul

*"i

gtot

de

izolator

Sio2

situat

deasupra-ianalului

intre

dren gi

surs5").

apiiiind

o

tensiunc.

Voo

2

20

V

.pe

gana),

elcctronii,eliberafi

'prin

avalan;e

tie

cie

la

joncfipnea

s.ursei,

fie

de la

joncfiunea

de

dren'.'oi

fi

injectall prin

stratui

de

sioe

;i

cpptafi

pe,poarta

flotanti-..Aceasti

."rcitr[

cip'tata

(care sc

poate

pistra

de

ordin{il a

10

ani) are'aceragi

rol

ca

si

o

ten-siune

perman&t

apiicatS.

pe

o

poart[

normali

a

unui

tianzistor'MOS,

adicl

induce

un

canal, conductanla

intre

dren

;i

sursi

cre;te

(deci

in

figura

de

principiu

27.,

a_se rearizeaz5-

permanenl

o

iegd.turb,

in

unele

nodirri).

Expunind

circuitul

(care

este

prev[zut

cu un

seam

din

cuart)

la

raclia-

 S

"llfl:e1"te-sa_+

\,tirypl"

zi-so

-ffiG"t

-dFpe"t";iTal"

FT='ta

"dtieis

elq,_desi*.-"sdgeletk_e@iqi$ad;;ai.

r

.*fi

"

@

circuitele

EPROM,

care

au devenit referinle,

sint

cele

are

firmei

INTEL

gi

acoperl

capacitS"liie

de

la

2 Kbili

la

256

Kbili,

organizate

in

cuvinte

de un

bait,

reprezentate

prin

tipui-ile:

I7TZ,

Z1OS,

iltO, ZISZ,

2764,

21128.;i

21256.-(L_a

sfirgitul

anului

1984

firma

AMD

a

anunlai

realizarea

circuitului

de 512

Kbifi-27512.)

configuralia

pinilot

p".rtrrt

2,708,

2716

;i

2132

este

reprezentati

in figura

z.ri';

se'obs-ervb.

tendinta

de

reducere

a surselor.de-

alimentare

(de

tJ

as

v,

+1.2

v la

una singurb.

*5V;

Vpp:25.

\')..;i de

multiplexare

a

semnalelor

pe

pini

odati

cu

cre;terea

capacitl ii.

Circuitui

27256

prezinti

o configurafie

de

28

pini,

t.scc

:200

ns,

consum

de

100

rnA in

starea

activi" si

40 mA

in regim

de

reibrvi,

Vpp:

:^.tz

,s

V. (f a

a

9"

.2

I

Y

Ia

21

t 28)

,

idlntificator

(Sificon

sig"nr"r"j

.

I',i="-

tificatorul

inscris

in

.cip

este transmis

utilizatoiului

inaiite

de i incepe

inscrierea

pentru

a-l informa

asupra

diferenlelor

de

programare

fati

de

circuitele

anterioare

cu

cap€"citate

mai

redusd.

La

ciicuitele

pii;.

Ia

2'732

inscrierea

datelor

Do-D,

(programarea)

se

face

prin

aplicirea

tensiunii

Vpp:25

V timp

de

50 ms pentru

'fiecare

biit.

D-eoai.ece

timpui

de

programare,

c'd

un

singut

impuL

cu

durata

de 50 rns,

ar

fi

de

67

7/21/2019 Introducere in Microprocesoare_Part6

http://slidepdf.com/reader/full/introducere-in-microprocesoarepart6 5/6

ln

funcfior.are

gi

de

25

mA

in_regim

de

rezer'i,

pe

partea

de

ErpRolI

Jrrogramabil[

cu

tensirtnea

Von;21 ^cq

timp-de

l0

ms pentru

un

lrait,

posibilitate

de

stergere

totala

a

EzpROMlului

in

timp

^de

10

ms.

circuilele

de

memovie

RAIII

pot

fi

realizate

fie

cu.6lri"

statice

(clasicui

circuit

bistabil

in

tehnolbgie

unipolard.

sau

bipolari),

fie

cu

cclulc

dinamice

({olosegtc

sarcina

Jtocatr^pe

capacitatea

de'intrare,

g'li.-sursi.,

a unui

tranzistor

nlos).

Memoriile

RA-it

bipolare

prezinti

timpi

de

acc-es-chiar

sub

25

ns,

cam

de

r,5

pind

la 2

ori^mai

mici

decir

cele cu

canal

l/

si cam

cu

aproape

un ordii

de

m5rime

mai

mic

decit

cele

cu

canal

P.'Memoriile

'cMoT

fi.ri"ta

puteri

ai.ip"i"-a"

ordinul

u3_i e i

sau

zeci

mw/cip,

iar

in regim

cle

rezirvi

de orfinul

zecimi

dc

pw/cip

si.in_variantelccHlTos

pirfornran e

de r.itcza

care

se

apropie

de cele

bipolare.

circuitele

RAII

statice

accesibile

sint pin_b.-la

64.Iibit

(configurafie

Fig.

2.12.

Configurafia

pinil.irqi,"Tir,-,"icuitete

de

memorie

30

minute,

la

27256

::-

"pti."i

lno:

1?,-5

v..doar

timp

de 1

ms

pentru

inscrierea

fiecirui

bait

v-erificiudu-se

(de

fiecare

dafa)

dace

rlit"i

i

fost

inscris,

Ln

caz

aJirnativ

se

mai

aptic5,

p_entru

sigur'"" t,

""

i*p"r,

Vpp:12,5.V

timp

de

4

ms,

astfel-se

ijunge-l1un

tirip

totuf

ae

prolia_

T"-rl

pe

,circuit

cgal

cu

5

minute.

-ilajoritatea

BpRo,tn-urit6r

iint

N-r'Ios,

da'in

ultimul

t-i-p

"_lygreazd'in

te-h*ologie

cMos

t"

i-p1"-

ment5.ri.

(pentru

i98.4;

de

256

Kbit (cuvint_de

16

6ip;

i"

configuriiie

de

40

pini,

ggte-re

djsipati

de ordinui,s'te

de mw, ial

ir,

t"gir"J"

i"-

zerv5.

de

ordinul

u.w,

timp

de

acces

100

ns.

Dezavantajele

lrezentate

de circuitele

npRor,t

(;teigere.a

intt"gol"i

.""1i""t,-1ffi",i,,

;t"rger"

ridicat,

scoaterea

circuitului

din

sochi

pentru

itergere)

s'int

elimiiate

de circuitele

EEPROM.

Memoriile

E'zPRo\t

conlin

in

nodurile

matricei

tot

un

tranzistor

FAI{os,

dar

care

are,

in plus,

aplicati.

deasupra

portii

flotante

si o

pogta

metalizatS"

ca

la

un

tranziitor

MoS

norrnal.

Inscrier"u

,"

i.r"

ca

la

EPRolI,

dar stergerea

nu prin

radialie,

ci

pr-in

aplicarea

unei ten-

srunl

pe

poarta

tr-arzistorului.

Alte

rrariante

loloses-c

ca

element

de

memorie

tranzistoare

_{S

^ f

lfNos,

sNos

sau dispozitivele

cNIoS.

La

aceste memorii

E'?PRon{

sepcglg

$terge

deodat5'o

pa.eind.

[r6.

32

s3u

q-gglsli)

sau intreg

confinuTuf-d@ncreze

un

semnal

(cdtre

microprocesor)

care

s[

certilice

momentul

cTnd

s-a

ter-

minat

inscrierea;

in

viitor

se'tinde

sd"

se

lucreze

numai

la

tensiunea

de

5v.De

exemplu,-circuitul

IMS

3630

are:

capacitatea64

Kbit,

alimentare

numai

de

l.a

*5v,

tirnp

de

acces

maj mic

decit

200

ns,

timp

de pb.strare

10

ani,

104

cicluri

de

ltergere-inscriere,

cicluri

de

citire

nitimiiate.

eu

{plrut.

;i

structuri

hibride

(pe

acela;i

cip)

intre

RoM

ri

EEpRoM

denumite

RE2c,

de

exemplu,

circuitul

MCuosso.

Acest

circuit

are

urmltoarele

caracteristici:

capacitate

de

l}g

I{biti,

orsanizati"

in

115*8

\Olt,

programati

p_rin

mascare,

ZKxS

nrFROn["

;i

in plus

256

octeli

pentru

rezerr'5.,

250

ns

timp

de acces,

consum

db

100

mA

6B

de

2S,pini)

organizate

in

blocuri

de

cite

S

XUit,

timpi

dL;;;;r;;i

-^-;

l^ Qn

-^

^l:-^

^--r,--

ari

de

80

ns,

alimentare

numai

de la

f5y

an

oe

yu

tt:,

allmentare

lem?1

de la

f

5\r,

putere

disipati

300

m\\r.

In

schimb cele

dinamice,

DRAM,

permit

o

densitate

de'integrare

mai

A7

Ab,

A5

A1'

A3

A2

A1

Ao

Do

D1

'5V

Ag

i1g

5V

ae.

Vpp

D7

D6

D5

U/

D3

A7

A6

A5

A4

4.2

A1

4o

Do

D1

D2

Gt']

D

'5v

A9

Ag

Att

9E/uoo

D2

GNO

rr

{fv]l[.sv

a6

{z

,r'u

::Pae

Ao

4:

rox bir

22hAB

i:J:

llp

lJ;tr;hlr

Ao

{8

nbDt

Do

ie

*hoo

.i'lijfr

Ato

c-S

D7

D6

D5

D4

D3

mat

mare.

(pin[

l.a

256

Kbit/cip),

putere

consumatd"

mai redusd",

dai-

necesitx

ctrcurte de improsoltare

_-

.,(p,r]"

ra zJo

r\r)tt/clp),

p-utere

consumatd"

mai redusd",

dAl_lgg

tte

de

improspltare (,,rql1g$r")

Memoriile dinamice

vorli

di

namice

votlf

dinT.

in

cef

iriTffioiogia

MoS

.o-pr"*""taie

cHlros

DFA]

.avind

performanle

remarca6ile:

r-iteze

de' lucru

apropiate

de

cele

SRANI,

consum

de

pute-re

redus,

insensibilitate

ridicatS.

rJp"r1"i.-

bafii,

toleranll

ta

varialiile

de tensiune.

circuitele

IRAM

sint

folosite

pentru

rearizarea

de

module

de

me-

morie

pini

in

?56_5qTJi,

aceste

iircuile

au integrate

pe

aceta;i

iii,

pe ling5.

rnemoria

DRAM,

toatl

partea

de

comandi

pentr'u

r"i-pio.pi-

tare

;i

interfa are.

circuitele

E'?PRoM

pot

fi

privite

ca memorii

RAM

nevolatile

cu

ciclu

de citire

de

ordinul

microsdcundelor,

iar cel

de

inscriere

de

ordinul

milisecundelor.

Derivate

din

E2pRoM-uri

au

apdrut

memoriile

nevo-

laiile

RAM,

NVRAM

(Non-volatile

RAM)

."r"

io

uiteza

ae

i".crier"7

citire comp^Tqlile.cu

S.RAM.

De fapt

memoria

NVRAM

este

compuse

din

celule

SRAM

gi

la

fiecare

din

ac6stea

sc

atageazd.

o

celulr

Brpnbu.

cind

se

sesizeazd.'disparifia

"ti*""ie"ii

i"i"i-"ti"

i""riiu

este

in-

scris5"

in E'zPRoM.

Amintim

circuitele

NVRAM

: 2zt0

(6+

x

a

bi1ij,

2zt2

(zs6x4),

2001

(128x

8),

z00z

(zs6x8),

2004

(slzx

8).

'

''

cip_urile

de

memorie

cu

densitate

de

integrare

ridicati

de

tip

DIp

{Dual

rn-line

Package)

utiiizate

pentru

roifigutur"a

modulelor

de

rnemorie^de-capacitate

mare

din

sisiemele-digltaie

sint

realizate

in pre-

zent

cu

28

de^pini,

conform

standardului

JEDEC

(Joint

Electron

Deirice

Engineering

concil)

avind asignarea

repiezentati"in

fig*ra

2.13.

.u:

{Ltlp_de

memoi'ie

volatili

este cea

cu

dispozitive cuprate

prin

;1lc]ne

ccD (charge-coupled-Devices),

care

nu uillizeaze

trinzist6are

l\loS,

dar avind

tra

bazl

func{io-narea

capacitoruiui

MoS

in

regim

de

golire

adincS"

este

considerati

a

fi

tot

circiit

realizat

in

tehnolog'iu

,ril

69

7/21/2019 Introducere in Microprocesoare_Part6

http://slidepdf.com/reader/full/introducere-in-microprocesoarepart6 6/6

NVRAI,l_

NE

IRAIl-

RDY

SRA|\4-N

C

E'MOI/-

RDY

EPROM-Vnn

R

CI^,4_ N

C

WE- rRAr'1

(16K

x

0)

W:-

t'tVRlt,l

(

16Xx

I

)

l

I

J?

D6

D5

D4

A1z

A7

A6

A5

Au.

A3

A2

A1

D1

D2

Fig.

2.13.

Asigndrile

pinilor

pen-

tru

cipurile

de

memorie

DIL

cu

2g

Ag-ROM

(

32Kx8)

de

Pini

At+ LFROI1{IFr

8

WE-r2rRopl(r6x*a)

W-E_ SRAM

cuite

de

1K),corespunde

cite

o

locafie

in

fiecare

din circuitele

care

com-

pun

modulul.

Adresele

acestor

localii

di{erb.

doai prin

uiiii

i"p"r"ii

rlin

cuvintul

de adresare

(de

exempiu,

err-errf.-

dd;;;rd.

exclusivi.

pcntru

fiecare

locafie

impune

in

primul

rina

seieliai.i-.".i"ri"i

a cir_

cuitului,cs,

care

acoperi

segmentur

in

care

se afri

locafia

Ei

spoi-adre-

sarea

locatiei

din

circuit.

Li

realizar*

."*rr"lor,ri

a"- J.,'ctare

exclu-

sili

a

unui

circuit sint.folosili

bifii

superiori

."i"

""

.i"t-

"pri."1i-p""-

ru

adresele

din

acel

circuit.'ln

plus,^fa1a

oe

uigii1"p"ii",ii,

Ia

reali_

zarea

semnalului

de

selectare

exclusiv

T_S,

printr-o

logicd.

exterioari,

mai

sint

utilizate

semnalele

de

control

W-RITE

;i

READ,

ca

in

fig'-

rjle.2, ,

q

t\?.10,

a..

De

exemptu,

daci

*oarriut

".t;?;;;t

din

circuite

d.9- i

+;r

ZK

atunci

cu

primii

zecc

bili,

Ar_Ao

se

adreseazd.

toate

loca_

fiile

din cipurile

{q

1I,

iar

cu-primii'unspr"ri""Ai;tri,

Aro_a6,

se

ad.re_

seazd

toate

locatiite

din cipurile^

de

2K;

ryStUl-biEgf

(iu_irr)

y_.ili

utilizSlt*Pgn1r1l&agierea

..*ird.l;.

i1,A

a-gilcuiteior.

Extinderea

la

ie;ire

se

rearizeazd.

prin

punerea

i"

p-"r"i

" "*i

nultor

circuite

pind

ri

lungimea

de

cu'int

dSriit.

l;;;":;;"2

semna_

tele

de adresare

;i

de

selectare

sint

comune

pentru

toate

aceste

circuite

:11".ii1:

in

paralel. Uneori, cind

circuitele'in

paratel

iirri-rrrr*"ro".",

slnt

necesare

drlvere

pentru

regenerarea

semnalelo?

de

adresare

si

selectare.

Penrru

extensia

mixt6

ie

aplici

r""orn"r.aari;-;;;"te

de

la

extensia

de

adrese

si

de ra

iegire.

bupi

felul

cum

r"

olti"

i"*narere

de

:]"_.,11".,

din

bilii duperiori

a'i c.,.'int'uiui;;;#;.;"

i;li,i"

nn modul

cle

memorle,

se

drsting

trei

moduri

de: 1

-

selectare

riiriarn;

z

-

serec-

tare

decodificati;

3

-

selectare

mixtd.

lcomnlnate;.

1.

selectarea

liniard

este

recomandati.

pentru

sisteme

la

care

se

.otllizeazd'

doar

o

micr

parte

din

spaliul

de

memorie

si ra care

r[min

liberi,mai

mulli-biti

surperiori

din iuvintut-ae

;;;;^

jLit

'o-ar't

trcurtelor

de seiectat.

Fiecxrui

circuit

de

memorie

i

se reparti"e^re

ii

bjt..taig

liber

pentru

serectare,

care

impreunl

cu

="-n"r"r"

wRllE

;i

READ

rcaJizeazva

semnarele

de

contror].ln.figura

2.14,

a

se prezintd.

structura

circuituiui

peltlg

selectarea

a

cinci

"T-"it"

nbnr-a"'""p".1_

1*:,lKJt_:i_yl

:l':qit

RAtrr,

compus

di;

do;r;ip";i;;

capacitate

l.t.l l-,1ur"pa

memorler

ocupate-.este

reprezentatd

irr

figura

Z.l-4,

b,

iar

cr \'rntele

de

adresare

pentru

fiecare

iircuit

sint: RAII

#

l

---+

0000

01XX

XxXx

XXXX_(]024_2047);

ROII

*

z

_*

0000 IOXX

XXXX

x,xxn(?o

48-3071)

;

Rou

#

3

_,'ooor

oolxkxx*x.{xx

u0s6_

s

i

1

9)

;

ROM

+

4

-+

0010

00xx

xxxx

xxxx

(8192_9215)

;

ROI,I

+

5

*-+

0100

00XX

XXXX

XXXX

(163B4

_t74aj);

ROM

#

6__+

1000

c0xx

xxxx

xxxx

(32i68-33791).

prin

aceasti

serectare

iiniari

se-utilizeazi

din

spafiul

total

de

adresare

de

64I(

doar 6K, restul

adre-

selor

fiind

neutiiizate.

Aceasti

pierdere

rerativ

mare

din

spaliul

de

adresare este compensatS

cu

simplitatea

circuitelor

de

adresare,

metoda

ct

polar6.

circuitul

ccD-RAM

nu este

cu

acces

aleatoriu,

ci

cu

acces

serial;

sjnt

1eali,,z1te

capacit5li

de 64

Kbit

sau

chiar

de

256'Kbit,

timp

mediu

de

acce_s/bit

mai

mic

de 1

rns,

putere

consumatd.

de 400

'irw

li

o

frec-

venfS-

de

tact

de

4

MHz.

Tot cu

mod

de

acces

seriai

sint

si

memoriile

cu

bule magnetice,,

dar

acestea

au

proprietatea

d.e

a

nu

fi

vdlatile,.

Aces-

tea

sint

recomandate

p-entru

stocfri

irasive

de

iaformafie,

deci

t;t;-

b.il in

viitor.

cind

prelul/bit

nu

va

mai {i

prohibitiv

vor'

inlocui

discu-

rrle

magnetrce.

I)e

exernplu,.modulul

7114

(INTEL)

in

cip

cu

20 pini

are o

capacitate

de

4

Mbit

;i

un tirnp

de aices

medi'

de

^40

ms.

2.3.4.

Adresarea

memoriei

intr-un

sistem

..

Il

:plgryele

digitale

modulele

de memorie.necesare

pot

fi pini

ia

ordinul.MW

(mega-cuvint)

sau

chiar

mai

mari,

iar

lungim'la

cuvintului

poa .e

fi

de

8,

16,32

sau

64

biti.

Aceste

module

de

iremorie

trebuie

configurate

(,,croite")

din circuiie

(cipuri)

care

au

or, ,r"rrrli

de

adrese

puteri

ale

lui

2,

iar

la_{igcge adreii.-pot'memora

o

r""gi*

de

cuvint

de

1,4

sau

ccl

mult

8

lilii

D9.e1emplu,

pen-tru

un

pp

aE

S

tiii.p"qi;i

maxim

de memorie

este

de

64

l( care

pbt

ti

adresite

.u

,r* cuvint

d.e

16

biti.

La

configurarea

unui

modur

de

memorie

de o

anumita."p..i

tate (nurnlrui

de localii

X

lungimea

cuvintului)

din circuiie

cu

capaci_

tate fixd

se.

poatc

ajunge-,

4"pa

cerinfe,

prini

t)

_

extinderea

'urnai

 

numirului

de

cuvinte,

ld"sind

numirul

niliior

pe

cuvint

neschimbat

(extindere

la intrare

sau

de

adresi")

;

z)

-

modificind

numirut

bitilor

de

ie;ire

la un

nunrlr

neschimbat

de cuvinte

(extindeie

1.1;;i*),

ti^-

modificind

atit numS"rul

de

cuvinte,

cit

si

lungimea

tor

lexten'si"

-i"ii;.

La

extinderea

numb.rului

de

adrese

fiecare

circuit

acoperi.

un

Anrr-

mit

segment

(interval)

din spaliu

de adresare.

cind

se

aphiJu'

cuvint

de

adresare pentru

subcuviniui

format

din

bili

-"i

poli,,

semnificativi

pini

la

un

anumit rang

(de

exempru,

An-

As'in.urirt'tol*iiii

,1e

.ir-

7C

5

6

1

I

I

10

fi

a

1:j