mc613 laboratório de circuitos lógicos

10
MC613 – 1s2010 MC613 1.1 2010 Profs.: Guido Araújo (guido @ ic ….) Mário Lúcio Cortes (cortes @ ic…..) MC613 Laboratório de Circuitos Lógicos

Upload: cynthia-nolan

Post on 01-Jan-2016

30 views

Category:

Documents


2 download

DESCRIPTION

MC613 Laboratório de Circuitos Lógicos. 2010 Profs.: Guido Araújo ( guido @ ic ….) Mário Lúcio Cortes ( cortes @ ic….. ). MC613 Debouncer. Conteúdo. O Problema SPDT – Single Pole, Single Throw SPST – Single Pole, Double Throw SPDT – Solução SPST – Solução Circuito RC - PowerPoint PPT Presentation

TRANSCRIPT

Page 1: MC613 Laboratório de Circuitos Lógicos

MC613 – 1s2010 MC613 1.1

2010

Profs.:Guido Araújo (guido @ ic ….)

Mário Lúcio Cortes (cortes @ ic…..)

MC613

Laboratório de Circuitos Lógicos

Page 2: MC613 Laboratório de Circuitos Lógicos

MC613 – 1s2010 MC613 1.2

MC613

Debouncer

Page 3: MC613 Laboratório de Circuitos Lógicos

MC613 – 1s2010 MC613 1.3

Conteúdo

• O Problema

– SPDT – Single Pole, Single Throw

– SPST – Single Pole, Double Throw

• SPDT – Solução

• SPST – Solução

– Circuito RC– Digital - Contador (freqüência de operação)– Digital - Shift-Register (baixa freqüência)

Page 4: MC613 Laboratório de Circuitos Lógicos

MC613 – 1s2010 MC613 1.4

O Problema

SPSTSPDT

Page 5: MC613 Laboratório de Circuitos Lógicos

MC613 – 1s2010 MC613 1.5

SPDT - Solução

Page 6: MC613 Laboratório de Circuitos Lógicos

MC613 – 1s2010 MC613 1.6

SPST – SoluçãoCircuito RC

Page 7: MC613 Laboratório de Circuitos Lógicos

MC613 – 1s2010 MC613 1.7

SPST – SoluçãoDigital - freqüência de operação

D Q

Borda

R D QQH

SwSujo

Countclk

SwLimpo

Page 8: MC613 Laboratório de Circuitos Lógicos

MC613 – 1s2010 MC613 1.8

LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all;USE IEEE.STD_LOGIC_ARITH.all;USE IEEE.STD_LOGIC_UNSIGNED.all;

-- Debounce Pushbutton: Filters out mechanical switch -- bounce for around 40Ms.

ENTITY debounce IS PORT(pb, clock_100Hz : IN STD_LOGIC; pb_debounced : OUT STD_LOGIC); END debounce;

SPST – Solução VHDL - baixa freqüência

Page 9: MC613 Laboratório de Circuitos Lógicos

MC613 – 1s2010 MC613 1.9

ARCHITECTURE a OF debounce IS SIGNAL SHIFT_PB : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN -- Debounce clock should be approximately 10ms or 100Hz

PROCESS BEGIN WAIT UNTIL (clock_100Hz'EVENT) AND (clock_100Hz = '1'); -- Use a shift register to filter switch contact bounce SHIFT_PB(2 DOWNTO 0) <= SHIFT_PB(3 DOWNTO 1); SHIFT_PB(3) <= NOT PB; IF SHIFT_PB(3 DOWNTO 0) = "0000" THEN PB_DEBOUNCED <= '0'; ELSE PB_DEBOUNCED <= '1'; END IF; END PROCESS; END a;

SPST – Solução VHDL (cont.)

Page 10: MC613 Laboratório de Circuitos Lógicos

MC613 – 1s2010 MC613 1.10

Debouncer na placa DE1

• Push buttons– sinais já limpos

com Schmitt Trigger

concepção

• Toggle switches– sinal sujo necessário passar por

debouncer se for usado como clock