quartus ii - timequest クイック・ガイド ペイン quartus ii - timequest...

22
Page 1 of 22 Altima Corporation Quartus II TimeQuest クイック・ガイド ver. 9.1 2010 6 1. はじめに この資料は、Quartus ® II のタイミング解析エンジン TimeQuest の基本的な操作方法をご紹介しています。 TimeQuest は、独立したツールとして高性能なタイミング解析を行えるだけでなく、Quartus II に対して TimeQuest の解析 結果に基づいた配置配線を実行させることもできます。タイミング制約の記述に業界標準となっている Synopsys Design Constrains (SDC) フォーマットを用いることで、今までより複雑な制約設定が可能です。例えば、従来は難しかったソース・シン クロナスの出力側のタイミング設定を一貫した方法で行うことができます。 また、TimeQuest SDC エディタを活用すると、GUI ベースで簡単に SDC コマンドを記述することが可能なため、SDC コマンドを初めて記述するユーザも手軽にタイミング制約を作成できます。 Quartus II TimeQuest タイミング・アナライザでサポートされるデバイス・ファミリは、Cyclone ® シリーズ、Stratix ® シリー ズ、MAX ® IIHardCopy ® シリーズ、Arria ® シリーズです。MAX7000MAX3000 シリーズは、TimeQuest をサポートしてい ないため、Classic Timing Analyzer をご利用ください。 2. 操作方法 この資料では、すでに Quartus II のプロジェクトが作成・起動されていることを前提にしています。プロジェクトの作成が未完 了である場合には、プロジェクトを作成し起動してください。 (参考資料: FPGA/CPLD 関連アルティマ情報サイト「EDISON」より Quartus II はじめてガイド プロジェクトの作成 』) TimeQuest は、配置配線後にタイミング解析のみを行う場合 と、タイミング制約を与えて Quartus II に配置配線を行わせる 場合(その後の解析も必要) との 2 通りの活用方法があります。 ここでは、それぞれの場合について操作手順を解説します。 2-1. TimeQuest でタイミング解析のみを行う手順 操作フローの概要は以下のとおりです。 コンパイル実行 TimeQuest 起動 タイミング・ネットリスト(post-fit)生成 SDC ファイル(クロック制約)作成 SDC ファイル読み込み SDC ファイル(I/O 制約)作成 タイミング・ネットリスト更新 レポート生成

Upload: truongque

Post on 20-Mar-2018

228 views

Category:

Documents


4 download

TRANSCRIPT

Page 1: Quartus II - TimeQuest クイック・ガイド ペイン Quartus II - TimeQuest クイック・ガイド ver.9.1 2010年 6月 Page 3 of 22 Altima Corporation ... クロック制約には、2

Page 1 of 22 Altima Corporation

― Quartus II ―

TimeQuest クイック・ガイド ver. 9.1 2010 年 6 月

1. はじめに

この資料は、Quartus® II のタイミング解析エンジン TimeQuest の基本的な操作方法をご紹介しています。

TimeQuest は、独立したツールとして高性能なタイミング解析を行えるだけでなく、Quartus II に対して TimeQuest の解析

結果に基づいた配置配線を実行させることもできます。タイミング制約の記述に業界標準となっている Synopsys Design

Constrains (SDC) フォーマットを用いることで、今までより複雑な制約設定が可能です。例えば、従来は難しかったソース・シン

クロナスの出力側のタイミング設定を一貫した方法で行うことができます。

また、TimeQuest の SDC エディタを活用すると、GUI ベースで簡単に SDC コマンドを記述することが可能なため、SDC

コマンドを初めて記述するユーザも手軽にタイミング制約を作成できます。

Quartus II の TimeQuest タイミング・アナライザでサポートされるデバイス・ファミリは、Cyclone® シリーズ、Stratix® シリー

ズ、MAX® II、HardCopy® シリーズ、Arria® シリーズです。MAX7000、MAX3000 シリーズは、TimeQuest をサポートしてい

ないため、Classic Timing Analyzer をご利用ください。

2. 操作方法

この資料では、すでに Quartus II のプロジェクトが作成・起動されていることを前提にしています。プロジェクトの作成が未完

了である場合には、プロジェクトを作成し起動してください。

(参考資料: FPGA/CPLD 関連アルティマ情報サイト「EDISON」より 『Quartus II はじめてガイド - プロジェクトの作成 』)

TimeQuest は、配置配線後にタイミング解析のみを行う場合と、タイミング制約を与えて Quartus II に配置配線を行わせる

場合(その後の解析も必要)との 2 通りの活用方法があります。

ここでは、それぞれの場合について操作手順を解説します。

2-1. TimeQuest でタイミング解析のみを行う手順

操作フローの概要は以下のとおりです。

コンパイル実行

TimeQuest 起動

タイミング・ネットリスト(post-fit)生成

SDC ファイル(クロック制約)作成

SDC ファイル読み込み

SDC ファイル(I/O 制約)作成

タイミング・ネットリスト更新

レポート生成

Page 2: Quartus II - TimeQuest クイック・ガイド ペイン Quartus II - TimeQuest クイック・ガイド ver.9.1 2010年 6月 Page 3 of 22 Altima Corporation ... クロック制約には、2

Quartus II - TimeQuest クイック・ガイド

ver.9.1 2010 年 6 月 Page 2 of 22 Altima Corporation

(1) コンパイル実行

TimeQuest でタイミング解析を行う場合には、プロジェクト・デザインにおいて配置配線が完了している必要があります。以

下のいずれかの方法でコンパイルを実行してください。すでにコンパイルが完了している場合には、次のステップ((2)

TimeQuest 起動)へ進んでください。

・ ボタンをクリック

・ Processing メニュー ⇒ Start Compilation をクリック

・ Tasks ウィンドウ ⇒ Compile Design をダブルクリック

(2) TimeQuest 起動

① TimeQuest Timing Analyzer を起動します。

Task ウィンドウ ⇒ TimeQuest Timing Analyzer ⇒

TimeQuest Timing Analyzer をダブルクリックします。

以下のメッセージが表示された場合には、“いいえ(N)”をクリック

してください。

※ 以下のメッセージは、.qsf ファイルから .sdc ファイル

を生成するかどうかを聞いています。すでに従来のタ

イミング制約(Classic Timing Analyzer)を設定している

場合は、“はい(Y)”を選択すると .qsf ファイルの内容

が .sdc へ引き継がれます。

TimeQuest Timing Analyzer が起動します。TimeQuest の GUI は、4 つの領域(ペイン)に分割されています。

Console ペイン

View ペイン

Tasks ペイン

Report ペイン

Page 3: Quartus II - TimeQuest クイック・ガイド ペイン Quartus II - TimeQuest クイック・ガイド ver.9.1 2010年 6月 Page 3 of 22 Altima Corporation ... クロック制約には、2

Quartus II - TimeQuest クイック・ガイド

ver.9.1 2010 年 6 月 Page 3 of 22 Altima Corporation

Tasks ペイン

TimeQuest の良く使用する実行コマンドがリスト化されています。各コマンドを選択し、ダブルクリックで実行できます。

Report ペイン

作成したレポートのリストが表示されます。

View ペイン

各種レポートの内容を表示します。

Console ペイン

コマンド実行時にメッセージを表示します。また Tcl、SDC コマンドを入力できます。

(3) タイミング・ネットリスト作成

TimeQuest を使用するために、タイミング・ネットリストを作成します。タイミング・ネットリストには Post-map(論理合成後)

と Post-fit(配置配線後) の 2 種類あります。ここでは配置配線後の解析なので、Post-fit を使用します。

① Netlist メニュー ⇒ Create Timing Netlist を選択します。(Create Timing Netlist ダイアログ・ボックスが表示されます)

② Create Timing Netlist ダイアログ・ボックスでは、以下のオプションが選択できます。

• Input netlist …… 使用するタイミング・ネットリストの種類を選択します。

Post-fit : 配置配線後のネットリストを使用します。(ここでは Post-fit を選択します。)

Post-map : 論理合成後のネットリストを使用します。

• Delay model …… タイミング・モデルの種類を選択します。

Slow corner : ワーストケースのタイミング・モデル(Max Delay)

Speed grade : スピードグレードを選択します。(デバイスの設定が Auto の場合は表示されません。)

Fast corner : ベストケースのタイミング・モデル(Minimum Delay)

Zero IC delays : チェックを入れると配線遅延なし

tcl コマンドの表示

タイミング・モデルの設定 ネットリストの設定

Page 4: Quartus II - TimeQuest クイック・ガイド ペイン Quartus II - TimeQuest クイック・ガイド ver.9.1 2010年 6月 Page 3 of 22 Altima Corporation ... クロック制約には、2

Quartus II - TimeQuest クイック・ガイド

ver.9.1 2010 年 6 月 Page 4 of 22 Altima Corporation

もし、TimeQuest 内の Tasks ペインからダブルクリックで実行する場合には、オプションは以下の設定内容になります。

• Post-fit

• Slow corner

• Speed grade = Quartus II で選択したグレード

(4) SDC ファイル(クロック 制約)作成

クロックの設定や入力、出力の遅延情報の設定するための SDC ファイルを作成します。(すでに必要な制約を全て記述し

た SDC ファイルがある場合には、このステップは必要ありません。“(7) タイミング・ネットリスト更新” へ進んでください。)

File メニュー ⇒ New SDC File を選択し、新規 SDC ファイル・エディタを起動します。

File メニュー ⇒ Save As により、ファイルを保存します。ファイル名は任意ですが、プロジェクト・リビジョン名にしておくと

自動で読み込まれます。

クロック制約には、2 タイプ(Clock と Generated Clock)あります。それらの SDC コマンドを作成する際に TimeQuest の

SDC ファイル・エディタの GUI 入力手法を活用すれば、簡単にコマンドを入力することができます。

入力クロック(Clock)

デザインの絶対クロックまたは基本クロックの設定を作成します。

① SDC ファイル・エディタの Edit メニュー ⇒ Insert Constraint ⇒ Create Clock を選択します。

② Create Clock ダイアログ・ボックスが表示されますので、クロックの設定をします。

Clock name : クロックの設定名(任意)を入力します。

Period : クロック周波数を入力します。(単位は ns)

Waveform edges : クロックの立ち上がりエッジ、立ち下がりエッジ時間を入力します。(Duty サイクルの設定)

Rising : 立ち上がりエッジ時間

Falling : 立ち下がりエッジ時間

※ 省略した場合は、Offset = 0、Duty = 50% のクロックになります。

Targets : Name Finder※ でクロック設定を適用する信号を選択します。(複数の信号を選択可能です)

※ Name Finder の使用方法については、5 ページをご覧ください。

Page 5: Quartus II - TimeQuest クイック・ガイド ペイン Quartus II - TimeQuest クイック・ガイド ver.9.1 2010年 6月 Page 3 of 22 Altima Corporation ... クロック制約には、2

Quartus II - TimeQuest クイック・ガイド

ver.9.1 2010 年 6 月 Page 5 of 22 Altima Corporation

以下の例は、設定名 clka、周波数 50 MHz、Duty 比 50% の場合の画面です。

SDC command 欄には、GUI で設定した制約内容が SDC コマンドで表示されます。この部分を直接編集することも可

能です。

Insert ボタンをクリックし、SDC ファイル・エディタ内に記述を取り込みます。複数のクロック系統がある場合は、この操作

を繰り返します。

【Name Finder の使い方】

1. Targets 欄の右側にある ボタンをクリックし、Name Finder ダイアログ・ボックスを起動します。

2. Collection 欄のプルダウン・リストから信号の種類を選択します。

(プルダウン・リストのフィルタ条件は、設定する制約ごとに変わります)

・ get_cells : セル(LUT、レジスタ、DSP ブロック、メモリブロック、IOE など)

・ get_clocks : (定義済みの)クロック信号

・ get_keepers : ポート、レジスタ

・ get_nets : ネット

・ get_nodes : ポート、ピン、レジスタ

・ get_pins : 各セル(ロジック、レジスタ)の入力、出力信号

・ get_ports : 回路の入出力ピン

・ get_registers : レジスタ

3. Filter 欄は * (アスタリスク)のままでもかまいませんが、リストアップさせたい信号の一部(キーワード)をワイルドカ

ードを使用して入力すると、より詳細な検索が可能です。

4. 必要に応じて Options を設定します。

・ Case-insensitive : Filter 欄に入力したキーワードは、大文字・小文字を区別しない。

・ Hierarchical : Filter 欄に入力したキーワードで一致したものを下位階層の信号も含め表示させる。

・ Compatibility mode : 常に全階層をサーチ。

生成されるクロックの

波形が表示されます

Name Finder ボタン

(5 ページ参照)

SDC コマンドが表示

されます。

Page 6: Quartus II - TimeQuest クイック・ガイド ペイン Quartus II - TimeQuest クイック・ガイド ver.9.1 2010年 6月 Page 3 of 22 Altima Corporation ... クロック制約には、2

Quartus II - TimeQuest クイック・ガイド

ver.9.1 2010 年 6 月 Page 6 of 22 Altima Corporation

5. List ボタンをクリック後、“matches found” (左枠)から信号を選択し ボタンまたはダブルクリックし、selected

names (右枠)に移動させます。(複数の信号に対して設定をする場合は対象となる信号を全て選択します)

6. OK ボタンをクリックし設定完了です。

生成クロック(Generated Clock)

デザイン内の別クロックから生成されるクロック(例えば、PLL、分周回路、リップルクロックなど)や、クロック・ドメインの異

なるデータパス(非同期クロック)がある場合には、生成クロックの設定を作成します。

① SDC ファイル・エディタの Edit メニュー ⇒ Insert Constraint ⇒ Create Generated Clock を選択します。

② Create Generated Clock ダイアログ・ボックスが表示されますので、クロックの設定をします。

Clock name : クロックの設定名(任意)を入力します。

Source : 生成クロックのソースを指定します。

Based on frequency : ソースに対する位相関係を定義します。

Divide by : 元のクロックに対する分周比を入力します。

Multiply by: 元のクロックに対する逓倍比を入力します。

Duty cycle : 生成クロックの Duty 比を入力します。

Phase : 元のクロックに対する位相を入力します。(単位は degree)

Offset : 元のクロックに対するオフセット値を入力します。(単位は ns)

Targets : クロックのノード、ピン名を指定します。(複数の信号を選択可能です)

※ Name Finder の使用方法については、5 ページをご覧ください。

Page 7: Quartus II - TimeQuest クイック・ガイド ペイン Quartus II - TimeQuest クイック・ガイド ver.9.1 2010年 6月 Page 3 of 22 Altima Corporation ... クロック制約には、2

Quartus II - TimeQuest クイック・ガイド

ver.9.1 2010 年 6 月 Page 7 of 22 Altima Corporation

以下の例は、設定名 clkb、周波数 Source クロック(clk50m)の 2 逓倍、Duty 比 50%、Offset 0ns の場合の画面です。

Insert ボタンをクリックし、SDC ファイル・エディタ内に記述を取り込みます。同様のクロックが複数系統ある場合は、この

操作を繰り返します。

(5) SDC ファイル読み込み

先ほど作成したクロック制約条件を TimeQuest に認識させるため、SDC ファイルを読み込みます。(事前に SDC ファイ

ルを保存してください。)

Tasks ペイン ⇒ Netlist Setup ⇒ Read SDC File をダブルクリックします。

※ Tasks ペインのコマンド実行により SDC ファイルを読み込ませる

場合には、ファイル名が “プロジェクト・リビジョン名.sdc” でなけ

れば自動読み込みは行われません。

もしも SDC ファイル名がプロジェクト・リビジョン名と異なる場合は、Constraints メニュー ⇒ Read SDC File よりファイ

ルを指定してください。

また、現プロジェクトに対して、タイミング制約を Classic Timing Analyzer で設定していた場合に、その制約内容を

SDC ファイルに展開(変換)し、TimeQuest へスムーズに移管することができます。

Constraints メニュー ⇒ Generate SDC File from QSF を実行後、生成された SDC ファイルを読み込みます。

Name Finder ボタン (5 ページ参照)

Page 8: Quartus II - TimeQuest クイック・ガイド ペイン Quartus II - TimeQuest クイック・ガイド ver.9.1 2010年 6月 Page 3 of 22 Altima Corporation ... クロック制約には、2

Quartus II - TimeQuest クイック・ガイド

ver.9.1 2010 年 6 月 Page 8 of 22 Altima Corporation

(6) SDC ファイル(I/O 制約)作成

ここでは、I/O 制約のうち、以下 2 つの設定方法をご紹介します。

組み合わせ回路(レジスタなし)の I/O パス

同期(レジスタあり)の I/O パス

組み合わせ回路 I/O

入力ピンから出力ピンへのパス間にレジスタを介さない I/O の設定方法です。ポイント間の最大パス遅延と最小パス遅

延を指定します。(従来の Tpd = Pin to Pin ディレイ設定です。)

① SDC ファイル・エディタの Edit メニュー ⇒ Insert Constrain ⇒ Set Maximum Delay または Set Minimum Delay を

選択します。

② Set Maximum Delay または Set Minimum Delay ダイアログ・ボックスが表示されますので、I/O の設定をします。

From : パスの始点のノードを指定します。

Through : (必要に応じて)特定のノードを通るパスのみを指定します。

To : パスの終点のノードを指定します。

Delay value : 要求値を入力します。

※ Name Finder の使用方法については、5 ページをご覧ください。

以下の例は、入力ピ in1、出力ピン out1、out2 間 全てのパスにおいて、5.0ns の最大パス遅延を設定した場合の画面で

す。

Insert ボタンをクリックし、SDC ファイル・エディタ内に記述を取り込みます。同様のクロックが複数系統ある場合は、この

操作を繰り返します。

Name Finder ボタン

(6 ページ参照)

Page 9: Quartus II - TimeQuest クイック・ガイド ペイン Quartus II - TimeQuest クイック・ガイド ver.9.1 2010年 6月 Page 3 of 22 Altima Corporation ... クロック制約には、2

Quartus II - TimeQuest クイック・ガイド

ver.9.1 2010 年 6 月 Page 9 of 22 Altima Corporation

同期 I/O

同期 I/O の制約用コマンドを作成するときは、クロック制約情報が必要です。あらかじめクロックの制約を作成し、Read SDC File コマン

ドにより読み込ませておく必要があります。

● 同期入力(Input Delay)の設定

FPGA/CPLD 内のセットアップ/ホールド時間を保証するために、外部デバイスから FPGA/CPLD までのタイミング関係を

指定します。FPGA/CPLD の入力ピンに最大(最小)で別々の遅延を設定します。

外部デバイスの最大(最小) tco + PCB 遅延 - PCB クロック・スキュー

tsuA ≦ tclk - Input Maximum Delay / thA ≦ Input Minimum Delay

① SDC ファイル・エディタの Edit メニュー ⇒ Insert Constrain ⇒ Set Input Delay を選択します。

② Set Input Delay ダイアログ・ボックスが表示されますので、I/O の設定をします。

Clock name : プルダウン・リストからソース・クロック名を選択します。(クロックの制約で定義したクロック

名が表示されます)

Input delay options : オプションの設定をします。

− データ遅延の設定

Minimum : ベストケース

Maximum : ワーストケース

Both : ベストケース、ワーストケース両方を考慮

立下りクロックで送信

される場合

Name Finder ボタン

Page 10: Quartus II - TimeQuest クイック・ガイド ペイン Quartus II - TimeQuest クイック・ガイド ver.9.1 2010年 6月 Page 3 of 22 Altima Corporation ... クロック制約には、2

Quartus II - TimeQuest クイック・ガイド

ver.9.1 2010 年 6 月 Page 10 of 22 Altima Corporation

− エッジの設定

Rise : 立ち上がりエッジ

Fall : 立ち下りエッジ

Both : 立ち上がり、立ち下がりエッジ両方を考慮

Delay value : FPGA/CPLD へ入力するまでの遅延値を設定します。(上図参考)

Add delay : 一つの入力に対して複数の制約を与える場合に使用します。

Targets : 設定する信号を Name Finder から選択します。(複数選択 可)

※ Name Finder の使用方法については、5 ページをご覧ください。

③ 設定完了後、Insert ボタンをクリックし、SDC ファイル・エディタ内に記述を取り込みます。同様の内容がある場合は、こ

の操作を繰り返します。

● 同期出力(Output Delay)の設定

FPGA/CPLD 内のクロック to アウトプット時間を保証するために、FPGA/CPLD から外部デバイスまでのタイミング関係

を指定します。FPGA/CPLD の出力ピンに最大(最小)で別々の遅延を設定します。

外部デバイスの最大(最小) tsu/-th + PCB 遅延 - PCB クロック・スキュー

tcoB ≦ tclk - Output Maximum Delay / –tcoB ≦ Output Minimum Delay

① SDC ファイル・エディタの Edit メニュー ⇒ Insert Constrain ⇒ Set Output Delay を選択します。

② Set Output Delay ダイアログ・ボックスが表示されますので、I/O の設定をします。

Clock name : プルダウン・リストからソース・クロック名を選択します。(クロックの制約で定義したクロック名

が表示されます)

Output delay options : オプションの設定をします。

− データ遅延の設定

Minimum : ベストケース

Maximum : ワーストケース

Both : ベストケース、ワーストケース両方を考慮

− エッジの設定

Rise : 立ち上がりエッジ

Fall : 立ち下がりエッジ

Page 11: Quartus II - TimeQuest クイック・ガイド ペイン Quartus II - TimeQuest クイック・ガイド ver.9.1 2010年 6月 Page 3 of 22 Altima Corporation ... クロック制約には、2

Quartus II - TimeQuest クイック・ガイド

ver.9.1 2010 年 6 月 Page 11 of 22 Altima Corporation

Both : 立ち上がり、立ち下がり両方を考慮

Delay value : FPGA/CPLD の出力ピンから外部デバイスの入力レジスタまでの遅延値を設定します。(上

図参考)

Add delay : 一つの出力に対して複数の制約を与える場合に使用します。

Targets : 設定する信号を Name Finder から選択します。(複数選択 可)

※ Name Finder の使用方法については、5 ページをご覧ください。

③ 設定完了後、Insert ボタンをクリックし、SDC ファイル・エディタ内に記述を取り込みます。同様の内容がある場合は、こ

の操作を繰り返します。

(7) タイミング・ネットリスト更新

デザインに与えた制約を新たに設定(または変更)後、タイミング・ネットリストを更新し、タイミングを解析します。(事前に

SDC ファイルを保存してください。)

Tasks ペイン ⇒ Update Timing Netlist をダブルクリックします。

(8) レポート生成

Reports メニューと Tasks ペインの Reports ディレクトリ内に、レポートを作成するためのコマンド(下表参照)が用意さ

れています。これらを実行し、タイミング解析した結果をレポートとして表示します。

Slack 概要

Report Setup Summary クロックごとのワースト・セットアップ・タイムを表示。

Report Hold Summary クロックごとのワースト・ホールド・タイムを表示。

立下りクロックで送信

される場合

Name Finder ボタン

ダブルクリック

Page 12: Quartus II - TimeQuest クイック・ガイド ペイン Quartus II - TimeQuest クイック・ガイド ver.9.1 2010年 6月 Page 3 of 22 Altima Corporation ... クロック制約には、2

Quartus II - TimeQuest クイック・ガイド

ver.9.1 2010 年 6 月 Page 12 of 22 Altima Corporation

Slack 概要

Report Recovery Summary クロックごとのワースト・リカバリ・パスを表示。

Report Removal Summary クロックごとのワースト・リムーバル・パスを表示。

Report Minimum Pulse Width Summary レジスタのクロック・ポートでの最小パルス幅を表示。

Datasheet 概要

Report Fmax Summary クロックごとのワースト Fmax を表示。

Report Datasheet tsu/th の形式でタイミング結果を表示。

Device Specific 概要

Report TCCS LVDS 送信のチャネル間スキューマージンを表示。

Report RSKM LVDS 受信のスキューマージンを表示。

Report DDR DDR のタイミング情報を表示。(ALTMEMPHY 使用時)

Report Metastability 同期チェインごとのメタステーブルを表示。

Diagnostic 概要

Report Clocks クロックの定義を表示。

Report Clock Transfers クロック・ドメイン間のパスの数のサマリを表示。

Report Unconstrained Paths 制約が与えられていないパスを表示。

Report SDC 設定したタイミング制約の内容を表示。

Report Ignored Constraints 無視された制約を表示。

Check Timing 制約の妥当性のチェック結果を表示。

Report Partitions パーティション間のタイミング概要と詳細を表示。

Custom Reports 概要

Report Timing 任意パスのタイミングを表示。

Report Minimum Pulse Width 任意パスのレジスタのクロック・ポートでの最小パルス幅を表示。

Report False Path 任意パスの解析から除外すると設定したパスを表示。

Report Exception 任意パスの Set False Path、Set Multicycle Path、Set Minimum Delay、

Set Maximum Delay コマンドにより設定された例外の詳細を表示。

Report Bottlenecks 任意パスのボトルネック・ノードを表示。

Report Net Timing 任意パスのネットの Fan-Out と遅延を表示。

Create Slack Histogram 任意パスのスラック分布をヒストグラムで表示。

Macros 概要

Report All Summaries Individual Reports の 2-6、8 のレポートを一度に作成。

Report Top Failing Paths 制約を満たせないパスを上位から表示。

Report All I/O Timings I/O のタイミング・パスを全て表示。

Report All Core Timings 内部のタイミング・パスを全て表示。

Create All Clock Histograms クロックごとのスラックをヒストグラムで表示。

これらのレポートを生成する基本操作は、以下のとおりです。

① Tasks ペインの希望するレポートの項目をダブルクリックします。

実行済みをあら

わします。

Page 13: Quartus II - TimeQuest クイック・ガイド ペイン Quartus II - TimeQuest クイック・ガイド ver.9.1 2010年 6月 Page 3 of 22 Altima Corporation ... クロック制約には、2

Quartus II - TimeQuest クイック・ガイド

ver.9.1 2010 年 6 月 Page 13 of 22 Altima Corporation

② Report ペインにレポートが作成されます。表示させたい項目をクリックします。

③ View ウィンドウに指定したレポートの内容が表示されます。

※ TimeQuest は制約を与えていないパスは解析を行いません。そのため、Report Unconstrained Paths を実行して確認す

ることを推奨します。

(9) 詳細レポートの作成

Tasks ペインで生成したレポートを、より詳細に検証することができます。ここでは「Report Setup Summary」を例に、詳細

レポートの作成と操作方法をご案内します。

① Tasks ペイン ⇒ Report Setup Summary をダブルクリックします。

② Report ペインより、表示させるレポートの項目を選択します。View ペインにクロック定義ごとのセットアップ・タイム

のレポート・サマリが表示されます。

③ View ペインより、詳細を確認したいレポートの一つを選択し、右クリックで表示されるプルダウン・メニューから

Report Timing をクリックします。

② ③

実行されたコマンドのレポート

が作成されます。

要求値を満たせない(タイミング違

反)内容や違反項目がある場合に

は、赤く表示されます。

Page 14: Quartus II - TimeQuest クイック・ガイド ペイン Quartus II - TimeQuest クイック・ガイド ver.9.1 2010年 6月 Page 3 of 22 Altima Corporation ... クロック制約には、2

Quartus II - TimeQuest クイック・ガイド

ver.9.1 2010 年 6 月 Page 14 of 22 Altima Corporation

④ 指定したクロック定義名が Clocks 枠の To clock 欄に選択された状態で Report Timing ダイアログ・ボックスが開

きます。Output 枠の Detail level においてレポートの詳細レベルをプルダウン・リストから選択し、Paths 枠 の

Report number of paths でリストアップさせるパス数を指定します。その後 Report Timing ボタンをクリックします。

下図の例では、クロック clkb を終点クロックとするレジスタ・パスのうち、スラックの少ない順に 10 パス表示されま

す。

Report Timing ダイアログ・ボックスの各オプションについては、以下のとおりです。

Clocks : From clock パスの起点クロックを選択します。

To clock パスの終点クロックを選択します。

Targets : From パスの起点信号を Name Finder で指定します。

Though パスの通過点となる信号を Name Finder で指定します。

To パスの終点信号を Name Finder で指定します。

Analysis type : パスの種類を選択します。

Paths : 表示するパスの数、スラック値の上限を指定します。(初期値 10)

Output : レポートの出力情報を設定します。

Detail level : レポートの詳細さを指定します。

Summary : スラック値、起点、終点、クロックだけが表示されます。

Path Only : データパスの遅延がステップごとに表示されます。

Path and Clock : クロック・ネットワークの遅延が詳しく表示されます。

Full Path : 派生クロック・ネットワークの遅延も表示されます。

Report panel name : Report ペイン上に表示される名前を設定できます。

File name : レポートの保存先とファイル名を指定できます。(拡張子の指定必須)

Page 15: Quartus II - TimeQuest クイック・ガイド ペイン Quartus II - TimeQuest クイック・ガイド ver.9.1 2010年 6月 Page 3 of 22 Altima Corporation ... クロック制約には、2

Quartus II - TimeQuest クイック・ガイド

ver.9.1 2010 年 6 月 Page 15 of 22 Altima Corporation

⑤ スラック/パスの詳細レポートが表示されます。

(次頁へ)

スラック値 ソース・ノード ディスティネーション・

ノード

ソースとディスティネー

ションのクロック

スラック値とパスのサマリ データ要求パスの詳細

Page 16: Quartus II - TimeQuest クイック・ガイド ペイン Quartus II - TimeQuest クイック・ガイド ver.9.1 2010年 6月 Page 3 of 22 Altima Corporation ... クロック制約には、2

Quartus II - TimeQuest クイック・ガイド

ver.9.1 2010 年 6 月 Page 16 of 22 Altima Corporation

(前頁から)

(10) ビューワの活用

View ペインで検証しているパス情報を、Quartus II の様々なビューワ機能とクロスプローブさせることで視覚的に確認す

ることができます。

① View ペインより、確認したい情報を右クリックで選択します。

② プルダウン・リストから Locate paths… を選択します。

③ Locate ダイアログ・ボックスより使用したい機能を選択し OK ボタンをクリックします。

パス遅延の統計 スラック情報を視覚的に波形表示

− Chip Planner デバイス内のリソース配置状況をグラフィカルに表示

− Technology Map Viewer 回路の配置配線後の結果をグラフィカルに表示

− Resource Property Editor ロジックの実装と接続の詳細をグラフィカルに表示

− Critical Path Settings Chip Planner を活用し、クリティカル・パスを表示

Page 17: Quartus II - TimeQuest クイック・ガイド ペイン Quartus II - TimeQuest クイック・ガイド ver.9.1 2010年 6月 Page 3 of 22 Altima Corporation ... クロック制約には、2

Quartus II - TimeQuest クイック・ガイド

ver.9.1 2010 年 6 月 Page 17 of 22 Altima Corporation

(11) 制約追加後のレポート更新

検証中に SDC ファイルに記載されている制約に加え、新しい制約をコマンド(Console ペイン)あるいは Constraints メ

ニューより実行すると、現在のレポートは無効であることを示す “OUT OF DATE” 表示になります。このとき、情報を更新

したレポートを再度生成する必要があります。

Report ペインにおいて、右クリックのプルダウン・メニューより “Regenerate All Out of Date” をクリックすると、Report ペ

インに生成されている全てのレポート内容が更新されます。

なお、SDC ファイルを編集して制約を追加する場合には “OUT OF DATE” 表示にはなりません。ですがレポートは更

新する必要がありますので、Constraints メニュー ⇒ Reset Design より SDC 情報一度リセットし、再度目的のレポートを

生成してください。(その際、自動的に SDC ファイルは読み込まれます、)

2-2. タイミング制約を与えて Quartus II に配置配線を行わせる手順

操作フローの概要は以下のとおりです。

論理合成の実行

TimeQuest 起動

タイミング・ネットリスト(post-map)生成

SDC ファイル読み込み

SDC ファイル(I/O 制約)作成 レポート生成

コンパイル実行

SDC ファイル(クロック制約)作成 Quartus II に SDC ファイルを登録

Page 18: Quartus II - TimeQuest クイック・ガイド ペイン Quartus II - TimeQuest クイック・ガイド ver.9.1 2010年 6月 Page 3 of 22 Altima Corporation ... クロック制約には、2

Quartus II - TimeQuest クイック・ガイド

ver.9.1 2010 年 6 月 Page 18 of 22 Altima Corporation

(1) 論理合成の実行

TimeQuest でタイミング制約を設定する場合には、プロジェクト・デザインにおいて最低限でも論理合成が完了している必

要があります。以下のいずれかの方法で論理合成を実行してください。すでに論理合成またはコンパイルが完了している場

合には、次のステップ((2) TimeQuest 起動)へ進んでください。

・ Processing メニュー ⇒ Start ⇒ Start Analysis & Synthesis を実行

・ Tasks ウィンドウ ⇒ Compile Design Analysis & Synthesis をダブルクリック

(2) TimeQuest 起動

TimeQuest Timing Analyzer を起動します。Task ウィンドウ ⇒ TimeQuest Timing Analyzer ⇒ TimeQuest Timing

Analyzer をダブルクリックします。

以下のメッセージが表示された場合には、“いいえ(N)”をクリックしてください。

※ 以下のメッセージは、.qsf ファイルから .sdc ファイルを生成するかどうかを聞いています。すでに従来のタイミ

ング制約(Classic Timing Analyzer)を設定している場合は、“はい(Y)”を選択すると .qsf ファイルの内容

が .sdc へ引き継がれます。

TimeQuest Timing Analyzer が起動します。TimeQuest GUI についての詳細は、2 ページをご参考ください。

(3) タイミング・ネットリスト作成

TimeQuest を使用するために、タイミング・ネットリストを作成します。タイミング・ネットリストには Post-map(論理合成後)

と Post-fit(配置配線後) の 2 種類あります。ここでは、論理合成まで完了している(配置配線は完了していない)ので

Post-map を選択します。

① Netlist メニュー ⇒ Create Timing Netlist を選択します。Create Timing Netlist ダイアログ・ボックスが表示されます。

(Tasks ペインからの実行では、Post-map ネットリストを使用することはできません。ご注意ください。)

② Create Timing Netlist ダイアログ・ボックスのオプションを選択します。各オプション項目については、3 ページ “(3) タイ

ミング・ネットリス作成” をご参考ください。

tcl コマンドの表示

タイミング・モデルの設定 ネットリストの設定

Page 19: Quartus II - TimeQuest クイック・ガイド ペイン Quartus II - TimeQuest クイック・ガイド ver.9.1 2010年 6月 Page 3 of 22 Altima Corporation ... クロック制約には、2

Quartus II - TimeQuest クイック・ガイド

ver.9.1 2010 年 6 月 Page 19 of 22 Altima Corporation

(4) SDC ファイル(クロック制約)作成

クロックの設定や入力、出力の遅延情報の設定するための SDC ファイルを作成します。(すでに必要な制約を全て記述

した SDC ファイルがある場合には、このステップは必要ありません。「(7) Quartus II に SDC ファイルを登録」 へ進んでく

ださい。)

また、SDC ファイル(クロック制約)作成の具体的な操作方法は、本資料 4 ページ 「(4) SDC ファイル(クロック 制約)作

成」 をご覧ください。

(5) SDC ファイル読み込み

先ほど作成したクロック制約条件を TimeQuest に認識させるため、SDC ファイルを読み込みます。(事前に SDC ファイ

ルを保存してください。)

Tasks ペイン ⇒ Netlist Setup ⇒ Read SDC File をダブルクリックします。

※ Tasks ペインのコマンド実行により SDC ファイルを読み込ませる

場合には、ファイル名が “プロジェクト・リビジョン名.sdc” でなけ

れば自動読み込みは行われません。

もしも SDC ファイル名がプロジェクト・リビジョン名と異なる場合は、Constraints メニュー ⇒ Read SDC File よりファイ

ルを指定してください。

また、現プロジェクトに対して、タイミング制約を Classic Timing Analyzer で設定していた場合に、その制約内容を

SDC ファイルに展開(変換)し、TimeQuest へスムーズに移管することができます。

Constraints メニュー ⇒ Generate SDC File from QSF を実行後、生成された SDC ファイルを読み込みます。

(6) SDC ファイル(I/O 制約)作成

I/O 制約のための SDC ファイルを作成します。具体的な操作方法は、本資料 8 ページ 「(6) SDC ファイル(I/O 制約)作

成」 をご覧ください。

(7) Quartus II に SDC ファイルを登録

作成・保存した SDC ファイルの制約内容を Quartus II のコンパイル実行時に有効にするため登録をします。

① Quartus II の Assignments メニュー ⇒ Timing Analysis Settings を選択します。

② Use TimeQuest Timing Analyzer during compilation を選択します。

Page 20: Quartus II - TimeQuest クイック・ガイド ペイン Quartus II - TimeQuest クイック・ガイド ver.9.1 2010年 6月 Page 3 of 22 Altima Corporation ... クロック制約には、2

Quartus II - TimeQuest クイック・ガイド

ver.9.1 2010 年 6 月 Page 20 of 22 Altima Corporation

③ Category の一欄より TimeQuest Timing Analyzer を選択します。

④ SDC filename 欄に、保存した SDC ファイルを指定します。(ブラウズ・ボタンを利用)

⑤ Add ボタンをクリックし、登録します。その後 OK ボタンをクリックします。

(8) コンパイル実行

コンパイルを実行します。以下のいずれかの方法で実行してください。

・ ボタンをクリック

・ Processing メニュー ⇒ Start Compilation をクリック

・ Tasks ウィンドウ ⇒ Compile Design をダブルクリック

いずれの方法も、コンパイル完了後 Quartus II の Tasks ウィンドウには実行が完了したことを示すチェックマークが表示

されます。

ブラウズ・ボタン

コンパイル実行と同時に、TimeQuest が

実行されていることが確認できます。

Page 21: Quartus II - TimeQuest クイック・ガイド ペイン Quartus II - TimeQuest クイック・ガイド ver.9.1 2010年 6月 Page 3 of 22 Altima Corporation ... クロック制約には、2

Quartus II - TimeQuest クイック・ガイド

ver.9.1 2010 年 6 月 Page 21 of 22 Altima Corporation

(9) レポート生成

Quartus II のコンパイル・レポートに、TimeQuest のサマリ・レポートが表示されます。

より詳細な解析を行う場合には、TimeQuest を起動し Tasks ペインより各種レポートを生成して検証を行います。操作方

法は、11 ページ以降の 「(8) レポート生成」、「(9) 詳細レポートの作成」、「(10) ビューワの活用」 をご参考ください。

− 使用された SDC ファイル − 生成されたクロック − タイミング違反 − 制約されていないパス など

要求値を違反しているものは赤く表示されます。

Page 22: Quartus II - TimeQuest クイック・ガイド ペイン Quartus II - TimeQuest クイック・ガイド ver.9.1 2010年 6月 Page 3 of 22 Altima Corporation ... クロック制約には、2

Quartus II - TimeQuest クイック・ガイド

ver.9.1 2010 年 6 月 Page 22 of 22 Altima Corporation

横浜本社 〒222-8563 横浜市港区新横浜 1-5-5 マクニカ第二ビル TEL 045-476-2155 FAX 045-476-2156

大阪営業所 〒532-0003 大阪市淀川区宮原 3-4-30 ニッセイ新大阪ビル 17F TEL 06-6397-1053 FAX 06-6397-1054

名古屋営業所 〒451-0045 名古屋市西区名駅二丁目 27-8 名古屋プライムセントラルタワー 20F TEL. 052-533-0252 FAX. 052-533-0253

宇都宮営業所 〒321-0964 栃木県宇都宮市駅前通り 1-3-1 フミックス STM ビル 10F TEL. 028-627-1071 FAX. 028-627-1072

弊社より資料を入手されましたお客様におかれましては、下記の使用上の注意を一読いただいた上でご使用ください。

1. 本資料は非売品です。許可無く転売することや無断複製することを禁じます。

2. 本資料は予告なく変更することがあります。

3. 本資料の作成には万全を期していますが、万一ご不明な点や誤り、記載漏れなどお気づきの点がありましたら、弊社までご一報いただければ幸いです。

4. 本資料で取り扱っている回路、技術、プログラムに関して運用した結果の影響については、責任を負いかねますのであらかじめご了承ください。

5. 本資料は製品を利用する際の補助的な資料です。製品をご使用になる場合は、英語版の資料もあわせてご利用ください。