ultrascale+ device integrated block for pci express v1 - xilinx...ultrascale+ device integrated...

317
UltraScale+ Device Integrated Block for PCI Express v1.1 LogiCORE IP 製品ガ イ ド Vivado Design Suite PG213 2016 10 5 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。 資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新 情報につきましては、必ず最新英語版をご参照ください。

Upload: others

Post on 02-Feb-2021

3 views

Category:

Documents


0 download

TRANSCRIPT

  • UltraScale+ Device Integrated Block for PCI Express v1.1

    LogiCORE IP 製品ガイド

    Vivado Design Suite

    PG213 2016 年 10 月 5 日

    この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきましては、必ず最新英語版をご参照ください。

  • UltraScale+ Device Block for PCIe v1.1 2PG213 2016 年 10 月 5 日 japan.xilinx.com

    目次

    IP の概要

    第 1 章: 概要機能概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7アプリ ケーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8サポート されていない機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8ラ イセンスおよび注文情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9

    第 2 章: 製品仕様準拠する規格 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10リ ソースの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10利用可能な PCI Express 用統合ブロッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10GT のロケーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13ポートの説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13コンフ ィギュレーシ ョ ン空間 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73

    第 3 章: コアを使用するデザインTandem コンフ ィギュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80ク ロ ッキング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102リセッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103AXI4-Stream インターフェイスの説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104パワー マネージメン ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 225割り込みリ クエス トの生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 228受信メ ッセージ インターフェイス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 232コンフ ィギュレーシ ョ ン マネージメン ト インターフェイス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 235リ ンク ト レーニング: 2 レーン、 4 レーン、 8 レーン、 および 16 レーン コンポーネン ト . . . . . . . . . . . . . . . . . . . 237レーン反転 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 239

    第 4 章: デザイン フローの手順コアのカスタマイズおよび生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 240コアへの制約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 261シ ミ ュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 262合成およびインプリ メンテーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 264

    第 5 章: サンプル デザインサンプル デザインの概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 265コアの生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 278サンプル デザインのシ ミ ュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 280サンプル デザインの合成およびインプリ メンテーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 281

    第 6 章: テストベンチエンドポイン ト用ルート ポート モデル テス ト ベンチ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 282ルート ポート用のエンドポイン ト モデル テス トベンチ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 296

    https://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG213&Title=UltraScale+%20Devices%20Integrated%20Block%20for%20PCI%20Express%20v1.1%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.1&docPage=2

  • UltraScale+ Device Block for PCIe v1.1 3PG213 2016 年 10 月 5 日 japan.xilinx.com

    付録 A: 移行およびアップグレードUltraScale から UltraScale+ デバイスへのデザイン移行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 298Vivado Design Suite でのアップグレード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 301

    付録 B: GT のロケーシ ョ ンVirtex UltraScale+ デバイスの GT のロケーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 304Kintex UltraScale+ デバイスの GT のロケーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 307Zynq UltraScale+ デバイスの GT のロケーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 308

    付録 C: デバッグザイ リ ンクス ウェブサイ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 310ハードウェア デバッグ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 311

    付録 D: その他のリソースおよび法的通知ザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 315参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 315改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 316法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 317

    https://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG213&Title=UltraScale+%20Devices%20Integrated%20Block%20for%20PCI%20Express%20v1.1%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.1&docPage=3

  • UltraScale+ Device Block for PCIe v1.1 4PG213 2016 年 10 月 5 日 japan.xilinx.com Production 製品仕様

    はじめに

    ザイ リ ンクスの UltraScale+ Device Integrated Block for PCIe ソリ ューシ ョ ン IP コアは、 UltraScale+™ デバイスで使用する、高帯域かつスケーラブルで信頼性の高いシ リ アル インターコネク トの構築ブロ ッ ク ソ リ ューシ ョ ンです。 このコアは、1 レーン、 2 レーン、 4 レーン、 8 レーン、 および 16 レーンのエンドポイン ト コンフ ィギュレーシ ョ ンをサポート し、Gen1 (2.5GT/s)、 Gen2 (5.0GT/s)、 および Gen3 (8GT/s) スピードに対応します。 『PCI Express Base Specification rev3.1』[参照 2] に準拠しています。 このソ リ ューシ ョ ンは、 カスタマー ユーザー インターフェイス用の AXI4-Stream インターフェイスをサポート しています。

    機能

    • 『PCI Express Base Specification 3.1』 [参照 2] に準拠

    • PCI Express エンドポイン ト、 レガシ エンドポイン ト またはルート ポート モード

    • x1、 x2、 x4、 x8、 x16 のリ ンク幅

    • Gen1、 Gen2、 Gen3 のリ ンク スピード

    • カスタマー ロジッ ク接続する AXI4-Stream インターフェイス

    • 内部ロジッ ク データ パスおよびデータ インターフェイスでのパリティ保護

    • アドバンス エラー レポート (AER) および End-to-End CRC (ECRC)

    • ト ランザクシ ョ ンのバッファ リ ングに使用するブロ ッ ク RAM

    • 仮想チャネル x 1、 ト ラフ ィ ッ ク ク ラス x 8

    • 最大 4 つの Physical Function (PV) および 252 の Virtual Function (VF)

    • ビルト インのレーン反転およびレシーバーのレーン間スキュー調整

    • 完全にコンフ ィギュレーシ ョ ン可能な 3 x 64 ビッ トまたは 6 x 32 ビッ トのベース アドレス レジスタ (BAR)

    機能の一覧は、 「機能概要」 を参照して ください。

    IP の概要

    この LogiCORE™ IP について

    コアの概要

    サポート される

    デバイス ファ ミ リ (1)

    UltraScale+

    サポート される

    ユーザー インターフェイス

    AXI4-Stream

    リ ソースPerformance and Resource Utilization

    (ウェブ ページ)

    コアに含まれるもの

    デザイン ファイル Verilog

    サンプル デザイン Verilog

    テス トベンチ Verilog

    制約ファイル XDC

    シ ミ ュレーシ ョ ン モデル

    Verilog

    サポート される ソフ ト ウェア ド ラ イバー

    N/A

    テスト済みデザイン フロー (2)

    デザイン入力 Vivado® Design Suite

    シ ミ ュレーシ ョ ン

    サポート されるシ ミ ュレータについては、

    『Vivado Design Suite ユーザー ガイ ド : リ リース ノート、 インス トールおよびライセンス』

    を参照

    合成 Vivado 合成

    サポート

    ザイ リ ンクス サポート ウェブ ページで提供

    注記:1. サポート されているデバイスの一覧は、 Vivado IP カタログを参

    照して ください。

    2. サポート されているツールのバージ ョ ンは、 『Vivado Design Suite ユーザー ガイ ド : リ リース ノート ガイ ド、 インス トールおよびライセンス』 を参照してください。

    http://japan.xilinx.com/cgi-bin/docs/ndoc?t=ip+ru;d=pcie4-uscale-plus.htmlhttp://japan.xilinx.com/cgi-bin/docs/ndoc?t=ip+ru;d=pcie4-uscale-plus.htmlhttp://japan.xilinx.com/cgi-bin/docs/rdoc?v=2016.3;t=vivado+release+noteshttp://japan.xilinx.com/cgi-bin/docs/rdoc?v=2016.3;t=vivado+release+noteshttp://japan.xilinx.com/supporthttp://japan.xilinx.com/supporthttp://japan.xilinx.com/supporthttp://japan.xilinx.com/supporthttp://japan.xilinx.com/cgi-bin/docs/rdoc?v=2016.3;t=vivado+release+noteshttp://japan.xilinx.com/cgi-bin/docs/rdoc?v=2016.3;t=vivado+release+noteshttp://japan.xilinx.com/cgi-bin/docs/rdoc?v=2016.3;t=vivado+release+noteshttps://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG213&Title=UltraScale+%20Devices%20Integrated%20Block%20for%20PCI%20Express%20v1.1%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.1&docPage=4

  • UltraScale+ Device Block for PCIe v1.1 5PG213 2016 年 10 月 5 日 japan.xilinx.com

    第 1 章

    概要UltraScale+ Device Integrated Block for PCIe® コアは、 UltraScale+™ デバイスで使用する高帯域幅でスケーラブルなシリアル インターコネク トの構築ブロ ッ クであ り、 UltraScale+ デバイス内の統合ブロ ッ クをインスタンシエート します。

    重要: UltraScale デバイスにデザインをインプリ メン トする場合は、 『UltraScale Devices Gen3 Integrated Block for PCI Express LogiCORE IP 製品ガイ ド』 (PG156) [参照 3] を参照してください。

    図 1-1 に、 このコアのインターフェイスを示します。

    https://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG213&Title=UltraScale+%20Devices%20Integrated%20Block%20for%20PCI%20Express%20v1.1%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.1&docPage=5

  • UltraScale+ Device Block for PCIe v1.1 6PG213 2016 年 10 月 5 日 japan.xilinx.com

    第 1 章: 概要

    X-Ref Target - Figure 1-1

    図 1-1: コアのインターフェイス

    https://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG213&Title=UltraScale+%20Devices%20Integrated%20Block%20for%20PCI%20Express%20v1.1%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.1&docPage=6

  • UltraScale+ Device Block for PCIe v1.1 7PG213 2016 年 10 月 5 日 japan.xilinx.com

    第 1 章: 概要

    機能概要

    Integrated Block for PCI Express (PCIe®) ソ リ ューシ ョ ンの GTH および GTY ト ランシーバーは、 1 レーン、 2 レーン、4 レーン、 8 レーン、 および 16 レーン動作をサポート し、 ラ イン レートは 2.5GT/s (Gen1)、 5.0GT/s (Gen2)、 および 8.0GT/s (Gen3) に対応しています。 またエンドポイン トおよびルート ポート コンフ ィギュレーシ ョ ンがサポート されています。

    カスタマー ユーザー インターフェイスは、 AMBA® AXI4-Stream インターフェイスに準拠しています。 このインターフェイスでは、 リ クエスター インターフェイス、 コンプリーシ ョ ン インターフェイス、 およびメ ッセージ インターフェイスを個別にサポート します。 これによって、 データ アライ メン ト とパリ ティ チェッ クに柔軟性が備わります。 データのフロー制御は、 受信および送信方向でサポート されています。 さ らに送信方向では、 進行中ト ランザクシ ョ ンの中断がサポート されています。 オプシ ョ ンの連続ト ランザクシ ョ ンでは、 ス ト ラ ドルを使用してよ り高いリ ンク帯域幅を提供します。

    このコアの特長は次のとおりです。

    • 『PCI Express Base Specification 3.1』 [参照 2] に準拠

    • PCI Express エンドポイン ト、 レガシ エンドポイン ト またはルート ポート モード

    • x1、 x2、 x4、 x8、 x16 のリ ンク幅

    • Gen1、 Gen2、 Gen3 のリ ンク スピード

    • カスタマー ロジッ クに接続する AXI4-Stream インターフェイス

    ° 64 ビッ ト /128 ビッ ト /256 ビッ ト /512 ビッ トに設定可能なデータ パス幅

    ° イニシエーター /ターゲッ ト、 リ クエス ト /コンプ リーシ ョ ンの 4 つの独立したス ト リーム

    • 内部ロジッ ク データ パスおよびデータ インターフェイスでのパリティ保護

    • アドバンス エラー レポート (AER) および End-to-End CRC (ECRC)

    • ト ランザクシ ョ ンのバッファ リ ングに使用するブロッ ク RAM

    ° 16 KB - リプレイ バッファー

    ° 4 KB または 16 KB に構成可能 - 受信ポステッ ド ト ランザクシ ョ ン FIFO

    ° 8 KB、 16 KB または 32 KB に構成可能 - 受信コンプリーシ ョ ン ト ランザクシ ョ ン FIFO

    ° ブロ ッ ク RAM の ECC 保護機能を使用

    • 仮想チャネル x 1、 ト ラフ ィ ッ ク ク ラス x 8

    • マルチ ファンクシ ョ ンおよびシングル ルート I/O 仮想化 (SR-IOV) をサポート

    ° 最大 4 つの Physical Function (PF)

    ° 最大 252 の Virtual Function (VF)

    • ビルト インのレーン反転およびレシーバーのレーン間スキュー調整

    • 完全にコンフ ィギュレーシ ョ ン可能な 3 x 64 ビッ ト または 6 x 32 ビッ トのベース アドレス レジスタ (BAR)

    ° 拡張 ROM BAR をサポート

    • 最大ペイロード サイズ: 128、 256、 512、 および 1024 バイ ト

    • 次のすべての割り込みタイプをサポート

    ° INTx

    ° 32 のマルチベクター MSI 機能

    ° オプシ ョ ンで使用される最大 2048 のベクターを備えた MSI-X 機能、 ビルト イン MSI-X ベクター テーブル

    • ビルト イン イニシエーター読み出し リ クエス ト /コンプリーシ ョ ン タグ マネージャー

    ° 最大 256 の未処理イニシエーター読み出し リ クエス ト ト ランザクシ ョ ンをサポート

    https://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG213&Title=UltraScale+%20Devices%20Integrated%20Block%20for%20PCI%20Express%20v1.1%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.1&docPage=7

  • UltraScale+ Device Block for PCIe v1.1 8PG213 2016 年 10 月 5 日 japan.xilinx.com

    第 1 章: 概要

    • DRP ポート をサポート

    • 高性能アプリ ケーシ ョ ンを可能にする機能

    ° AXI4 ス ト リーミ ング ト ランザクシ ョ ン層パケッ ト (TLP) のリ クエスター コンプリーシ ョ ン インターフェイスへのス ト ラ ドル

    ° 最大 256 の Rx コンプリーシ ョ ン ヘッダー クレジッ トおよび 32 KB の Rx コンプリーシ ョ ン ペイロード空間

    ° 受信データ パスにおける ト ランザクシ ョ ンの実行順序入れ替えをサポート

    ° アドレス変換サービス (ATS) のメ ッセージ表示

    ° ア ト ミ ッ ク操作ト ランザクシ ョ ンをサポート

    ° TLP Processing Hints (TPH)

    • 使用が簡単でコンフ ィギュレーシ ョ ン可能な機能をサポート

    ° 受信ト ランザクシ ョ ンの BAR および ID ベースのフ ィルタ リ ング

    ° オプシ ョ ン機能の ASPM

    ° コンフ ィギュレーシ ョ ン拡張インターフェイス

    ° AXI4 Stream インターフェイス アドレス アライン モード

    ° PCI Express (MCAP) を経由するコンフ ィギュレーシ ョ ンおよび 100 ms の電源投入-コンフ ィギュレーシ ョ ン時間 (今後の IP リ リースでサポート予定)

    ° デバッグおよび診断インターフェイス

    アプリケーシ ョ ン

    このコアのアーキテクチャは、 性能、 コス ト、 スケーラビ リティ、 機能の拡張性、 および絶対的な信頼性を重視し、コンピューティングや通信をターゲッ ト とする多様なアプリ ケーシ ョ ンを可能にします。 代表的なアプリ ケーシ ョンは次のとおりです。

    • データ通信ネッ ト ワーク

    • 電気通信ネッ ト ワーク

    • ブロードバンド アプリ ケーシ ョ ン (有線/無線)

    • ネッ ト ワーク インターフェイス カード

    • チップ間およびバッ クプレーン インターフェイス カード

    • 多様なアプリ ケーシ ョ ンに対応するためのサーバー アド イン カード

    サポート されていない機能

    PCI Express Base 仕様 3.1 には数多くのオプシ ョ ン機能があ り ます。 これらのうちサポート されない一部機能を次に示します。

    • アドレス変換サービスは実装されませんが、 外部のソフ ト ロジッ クに実装するこ とは可能です。

    • スイ ッチ ポート

    • Resizable BAR (RBAR) の拡張機能

    https://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG213&Title=UltraScale+%20Devices%20Integrated%20Block%20for%20PCI%20Express%20v1.1%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.1&docPage=8

  • UltraScale+ Device Block for PCIe v1.1 9PG213 2016 年 10 月 5 日 japan.xilinx.com

    第 1 章: 概要

    ライセンスおよび注文情報

    UltraScale+ Device Integrated Block for PCIe コアは、 ザイ リ ンクス エンドユーザー ライセンス規約のも と Vivado Design Suite を使用して追加コス ト なしで提供されています。 この IP およびその他のザイ リ ンクス LogiCORE™ IP に関する情報は、 ザイ リ ンクス IP コアページから入手できます。 その他のザイ リ ンクス LogiCORE IP モジュールやツールの価格および提供状況については、 お近くのザイ リ ンクス販売代理店にお問い合わせください。

    http://japan.xilinx.com/cgi-bin/docs/rdoc?d=end-user-license-agreement.txthttp://japan.xilinx.com/products/intellectual-property.htmlhttp://japan.xilinx.com/about/contact.htmlhttps://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG213&Title=UltraScale+%20Devices%20Integrated%20Block%20for%20PCI%20Express%20v1.1%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.1&docPage=9

  • UltraScale+ Device Block for PCIe v1.1 10PG213 2016 年 10 月 5 日 japan.xilinx.com

    第 2 章

    製品仕様

    準拠する規格

    UltraScale+ Device Integrated Block for PCIe ソ リ ューシ ョ ンは、PCI Express® Card Electromechanical (CEM) v3.0 や PCI™ Industrial Computer Manufacturers Group (PICMG) v3.4 仕様 [参照 2] などの業界標準のアプリ ケーシ ョ ン フォーム ファク ターと互換性があ り ます。

    リソースの使用

    リ ソース使用状況の詳細は、 Performance and Resource Utilization (ウェブ ページ) をご覧ください。

    利用可能な PCI Express 用統合ブロック表 2-1 に、 サポート されるデバイスを示します。 表 2-2、 表 2-3、 および表 2-4 に、 複数の統合ブロ ッ クを含んでいるデバイスで利用可能な PCI Express 用統合ブロッ クを一覧表示しています。 場合によっては、 統合ブロ ッ クに隣接してボンディングされた GTH および GTY ト ランシーバー サイ トが不足するため、 すべての統合ブロッ クが使用可能とは限り ません。

    表 2-1: サポート されるデバイス

    デバイスの選択 GTH GTY PCIe

    FFVC1760XCZU17EG 32 16 4

    XCZU19EG 32 16 5

    FFVE1924XCZU17EG 44 4

    XCZU19EG 44 5

    FFVB1517 XCZU19EG 16 5

    FFVE1517XCKU11P 32 20 4

    XCKU15P 32 24 5

    FFVC1517 XCVU3P 40 2

    FLVA2104

    XCVU5P 52 4

    XCVU7P 52 4

    XCVU9P 52 6

    https://japan.xilinx.comhttp://japan.xilinx.com/cgi-bin/docs/ndoc?t=ip+ru;d=pcie4-uscale-plus.htmlhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG213&Title=UltraScale+%20Devices%20Integrated%20Block%20for%20PCI%20Express%20v1.1%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.1&docPage=10

  • UltraScale+ Device Block for PCIe v1.1 11PG213 2016 年 10 月 5 日 japan.xilinx.com

    第 2 章: 製品仕様

    FLVB2104

    XCVU5P 76 4

    XCVU7P 76 4

    XCVU9P 76 6

    FLVC2104

    XCVU5P 80 4

    XCVU7P 80 4

    XCVU9P 104 6

    FLVA2577 XVCU9P 120 6

    表 2-2: 利用可能な PCI Express 用統合ブロック - Virtex UltraScale+

    デバイスの選択 PCI Express ブロックの場所

    デバイス パッケージ X0Y0 X0Y1 X0Y2 X0Y3 X0Y5 X1Y0 X1Y2 X1Y4

    XCVU3P FFVC1517 可 可

    XCVU5P

    FLVA2104 可 可 可 可

    FLVB2104 可 可 可 可

    FLVC2104 可 可 可 可

    XCVU7P

    FLVA2104 可 可 可 可

    FLVB2104 可 可 可 可

    FLVC2104 可 可 可 可

    XCVU9P

    FLGA2104 可 可 可 可

    FLGB2104 可 可 可 可

    FLGC2104 可 可 可 可 可 可

    FLGA2577 可 可 可 可 可 可

    FSGD2104 可 可 可 可 可

    XCVU11P

    FLGA2577 可 可 可

    FLGB2104 可 可 可

    FLGC2104 可 可 可

    FLGF1924 可 可 可

    FSGD2104 可 可 可

    XCVU13P

    FHGA2104 可 可

    FHGB2014 可 可 可

    FHGC2104 可 可 可 可

    FLGA2577 可 可 可 可

    FIGD2104 可 可 可 可

    表 2-1: サポート されるデバイス (続き)

    デバイスの選択 GTH GTY PCIe

    https://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG213&Title=UltraScale+%20Devices%20Integrated%20Block%20for%20PCI%20Express%20v1.1%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.1&docPage=11

  • UltraScale+ Device Block for PCIe v1.1 12PG213 2016 年 10 月 5 日 japan.xilinx.com

    第 2 章: 製品仕様

    表 2-3: 利用可能な PCI Express 用統合ブロック - Zynq UltraScale+

    デバイスの選択 PCI Express ブロックの場所

    デバイス パッケージ X0Y0 X0Y1 X0Y2 X0Y3 X1Y0 X1Y1 X1Y2

    XCZU11EG

    FFVC1760 可 可 可 可

    FFVB1517 可 可FFVC1156 可 可

    FFVF1517 可 可

    XCZU17EG

    FFVC1760 可 可 可 可 可FFVE1924 可 可 可

    FFVB1517 可 可 可

    FFVD1760 可 可 可 可 可

    XCZU19EG

    FFVC1760 可 可 可 可 可

    FFVE1924 可 可 可

    FFVB1517 可 可 可FFVD1760 可 可 可 可 可

    XCZU4EVFBVB900 可 可

    SFVC784 可 可

    XCZU5EVFBVB900 可 可

    SFVC784 可 可

    XCZU7EV

    FBVB900 可 可

    FFVC1156 可 可

    FFVF1517 可 可

    表 2-4: 利用可能な PCI Express 用統合ブロック - Kintex UltraScale+

    デバイスの選択 PCI Express ブロックの場所

    デバイス パッケージ X0Y0 X0Y2 X0Y3 X1Y0 X1Y1 X1Y2

    XCKU11P

    FFVE1517 可 可 可 可

    FFVA1156 可 可 可 可

    FFVD900 可 可

    XCKU15P

    FFVE1517 可 可 可 可 可

    FFVA1156 可 可 可 可 可

    FFVA1760 可 可 可 可 可

    FFVE1760 可 可 可 可 可

    XCKU3P

    FFVA676 可

    FFVB676 可

    FFVD900 可

    SFVB784 可

    https://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG213&Title=UltraScale+%20Devices%20Integrated%20Block%20for%20PCI%20Express%20v1.1%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.1&docPage=12

  • UltraScale+ Device Block for PCIe v1.1 13PG213 2016 年 10 月 5 日 japan.xilinx.com

    第 2 章: 製品仕様

    GT のロケーシ ョ ン有効なデバイス とパッケージの組み合わせにおいて推奨される GT のロケーシ ョ ンは、 付録 B 「GT のロケーシ ョン」 を参照してください。 パッケージ ピンは、 付録 B に示す GT の X-Y ロケーシ ョ ンから直接得られます。 表の内容と一致する選択したデバイス とパッケージの組み合わせに対して、 Vivado Design Suite が XDC を生成します。

    推奨される GT ロケーシ ョ ンは、 次を参照して ください。

    • 「Virtex UltraScale+ デバイスの GT のロケーシ ョ ン」

    • 「Kintex UltraScale+ デバイスの GT のロケーシ ョ ン」

    • 「Zynq UltraScale+ デバイスの GT のロケーシ ョ ン」

    ポートの説明

    このセクシ ョ ンでは、 次のインターフェイスのポートについて説明します。

    • 「AXI4-Stream コア インターフェイス」

    • 「その他のコア インターフェイス」

    AXI4-Stream コア インターフェイス

    64/128/256 ビッ ト インターフェイス

    ステータス インターフェイス と制御インターフェイスのほかに、 このコアにはト ランザクシ ョ ンの送信/受信に使用する AXI4-Stream インターフェイスが 4 つ必要です。 このセクシ ョ ンでは、 これらのインターフェイスについて説明します。

    XCKU5P

    FFVA676 可

    FFVB676 可

    FFVD900 可

    SFVB784 可

    表 2-5: デバイスの最低要件

    可能なリンク スピード 可能なリンク幅 サポート されるスピード グレード

    Gen1/Gen2 x16 -1、 -2、 -3、 -1L、 -1LV、 -2L、 -2LV

    Gen3

    x16 -1、 -2、 -3、 -1L、 -2L

    x8 NL -1、 -2、 -3、 -1L、 -1LV、 -2L、 -2LV

    x8 LL -2、 -3、 -1L、 -2L

    表 2-4: 利用可能な PCI Express 用統合ブロック - Kintex UltraScale+ (続き)

    デバイスの選択 PCI Express ブロックの場所

    デバイス パッケージ X0Y0 X0Y2 X0Y3 X1Y0 X1Y1 X1Y2

    https://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG213&Title=UltraScale+%20Devices%20Integrated%20Block%20for%20PCI%20Express%20v1.1%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.1&docPage=13

  • UltraScale+ Device Block for PCIe v1.1 14PG213 2016 年 10 月 5 日 japan.xilinx.com

    第 2 章: 製品仕様

    コンプリーター リクエス ト インターフェイス

    コンプ リーター リ クエス ト (CQ) インターフェイスを介して、 リ ンクからのすべてのリ クエス トがユーザー アプリケーシ ョ ンに送信されます。 表 2-6 では、 コアの CQ インターフェイスのポートについて説明します。 「幅」 の列にある DW は、 設定したデータ バス幅 (64、 128、 または 256 ビッ ト ) を示します。

    表 2-6: コンプリーター リクエスト インターフェイスのポートの説明

    ポート 方向 幅 説明

    m_axis_cq_tdata 出力 DW

    コンプ リーター リ クエス ト インターフェイス (CQ) からの送信データです。 インターフェイス幅が 128 ビッ トの場合は下位 128 ビッ トのみ使用され、 インターフェイス幅が 64 ビッ トの場合は下位 64 ビッ トのみ使用されます。コアは、 インターフェイス幅が 128 ビッ トの場合、 ビッ ト [255:128] を 0 に固定し、 インターフェイス幅が 64 ビッ トの場合、 ビッ ト [255:64] を 0 に固定します。

    m_axis_cq_tuser 出力 88

    CQ のユーザー データです。 この信号セッ トには、 送信される TLP の側帯波情報が含まれます。 これらの信号は、 m_axis_cq_tvalid が High のと きに有効になり ます。このセッ トの各信号については、 15 ページの表 2-7 を参照してください。

    m_axis_cq_tlast 出力 1

    CQ データ用の TLAST を示す信号です。 コアは、 パケッ トの最後のビートでこの信号をアサート して、 パケッ トの最後を示します。 シングル ビートで TLP が送信される場合、 コアは送信の最初のビートでこの信号を設定します。

    m_axis_cq_tkeep 出力 DW/32

    CQ データ用の TKEEP を示す信号です。 送信中にこのバスのビッ ト i がアサート される と、 m_axis_cq_tdata バスの Dword i に有効なデータが含まれているこ とをユーザー アプリ ケーシ ョ ンに示します。 コアは、 ディ スク リプターの最初の Dword からペイロードの最後の Dword まですべての Dword に対して、 このビッ ト を継続的に 1 にセッ ト します。 したがって、 パケッ トのすべてのビートで、 m_axis_cq_tdata が 1 にセット されます。 ただし、 パケッ トの合計サイズがデータ バス幅の倍数値でない場合の最後のビートは例外です (いずれも Dword 単位)。 これは、 ペイロード送信が Dword アライ メン トかつアドレス アライ メン トの場合に適用されます。

    コアは、 インターフェイス幅が 128 ビッ トの場合、 ビッ ト [7:4] を 0 に固定し、インターフェイス幅が 64 ビッ トの場合、 ビッ ト [7:2] を 0 に固定します。

    m_axis_cq_tvalid 出力 1

    CQ のデータが有効であるこ とを示します。 m_axis_cq_tdata バス上に有効なデータがある場合、 コアはこの出力をアサート します。 パケッ ト送信中、 この Valid 信号はアサート された状態を保持します。 ユーザー アプリ ケーシ ョ ンは、 m_axis_cq_tready 信号を使用してデータ送信を制御できます。

    m_axis_cq_tready 入力 1

    CQ のデータ Ready 信号です。 ユーザー ロジッ クでこの信号を High にアサートする と、 ユーザー アプリケーシ ョ ンがデータを受信する準備が整っているこ とをコアに示します。 同じサイクルで m_axis_cq_tvalid と m_axis_cq_tready が両方と もアサート されると、 インターフェイスを介してデータが送信されます。 m_axis_cq_tvalid が High のと きにユーザー アプリ ケーシ ョ ンが Ready 信号をディアサート した場合、 Ready 信号がアサート されるまで、 コアはバス上のデータを保持し、 また Valid 信号をアサート した状態で保持します。

    https://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG213&Title=UltraScale+%20Devices%20Integrated%20Block%20for%20PCI%20Express%20v1.1%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.1&docPage=14

  • UltraScale+ Device Block for PCIe v1.1 15PG213 2016 年 10 月 5 日 japan.xilinx.com

    第 2 章: 製品仕様

    表 2-7: m_axis_cq_tuser の側帯波信号の説明

    ビッ ト インデックス

    名称 幅 説明

    3:0 first_be[3:0] 4

    ペイロードの最初の Dword 用のバイ ト イネーブル信号です。 このフ ィールドは、 TLP のト ランザクシ ョ ン層ヘッダーの First_BE ビッ トの設定を反映しています。 メモ リ読み出しおよび I/O 読み出しの場合、 これらの 4 ビッ トは最初の Dword に読み出される有効なバイ ト を示します。 メモ リ書き込みおよび I/O 書き込みの場合、 これらのビッ トはペイロードの最初の Dword にある有効なバイ ト を示します。 ア ト ミ ッ ク操作やペイロードを含むメ ッセージの場合、 これらのビッ トはすべて 1 にセッ ト されます。このフ ィールドは、 パケッ トの最初のビートで有効になり ます。 つま り、 sop と m_axis_cq_tvalid は両方と もHigh になり ます。

    7:4 last_be[3:0] 4

    最後の Dword 用のバイ ト イネーブル信号です。 このフ ィールドは、 TLP のト ランザクシ ョ ン層ヘッダーの Last_BE ビッ トの設定を反映しています。 メモ リ読み出しの場合、 これらの 4 ビッ トは、 データ ブロッ クの最後の Dword に読み出される有効なバイ ト を示します。 メモ リ書き込みの場合、 これらのビッ トはペイロードの最後の Dword にある有効なバイ ト を示します。 ア ト ミ ッ ク操作やペイロードを含むメ ッセージの場合、 これらのビッ トはすべて 1 にセッ ト されます。

    このフ ィールドは、 パケッ トの最初のビートで有効になり ます。 つま り、 sop と m_axis_cq_tvalid は両方と も High になり ます。

    39:8 byte_en[31:0] 32

    ユーザー ロジッ クは、 オプシ ョ ンでこれらのバイ ト イネーブル信号を使用して、 送信されるパケッ トのペイロード内で有効なバイ ト を判断できます。 送信中にこのバスのビッ ト i がアサート される と、 m_axis_cq_tdata バスのバイ ト i に有効なペイロード バイ トが含まれているこ とを示します。 このビッ トは、 ディ スク リプター バイ トに対してアサート されません。

    バイ ト イネーブル信号は、 リ クエス ト ディ スク リプター内の情報 (アドレスおよび長さ ) や first_be および last_be 信号の設定からユーザー ロジッ クがを生成できますが、ほかのインターフェイス信号から生成する代わりに、 直接使用するこ と も可能です。

    ペイロード サイズが 2 Dword (8 バイ ト ) よ り大きい場合は、 ペイロード用のこのバス上の 1 ビッ トは常に連続します。 ペイロード サイズが 2 Dword またはそれよ り小さい場合は、 1 ビッ トは不連続になる場合があ り ます。特殊な例と して、 PCI Express の仕様で定義されている長さ 0 のメモ リ書き込みト ランザクシ ョ ンでは、 関連する 1 DW ペイロードが送信される間は byte_en ビッ トがすべて 0 とな り ます。コアは、 インターフェイス幅が 128 ビッ トの場合、 ビッ ト [31:16] を 0 に固定し、 インターフェイス幅が 64 ビッ トの場合、 ビッ ト [31:8] を 0 に固定します。

    40 sop 1パケッ トの開始を示します。 コアは、 パケッ トの最初のビートでこの信号をアサート して、 パケッ トの開始を示します。 この信号の使用はオプシ ョ ンです。

    41 discontinue 1

    内部 FIFO メモ リから TLP ペイロードを読み出している間に訂正不可能なエラーを検出した場合に、 コアは TLP の最後のビートでこの信号をアサート します。 このよ うなエラーがコアによって検出された場合、 ユーザー アプリ ケーシ ョ ンはすべての TLP を破棄する必要があ り ます。 TLP にペイロードがない場合に、 この信号がアサート されるこ とはあ り ません。m_axis_cq_tlast が High になっているサイ クルでのみアサート されます。コアがエンドポイン ト と してコンフ ィギュレーシ ョ ンされている場合、 Advanced Error Reporting (AER) 機能を使用するこ とで、 コアが接続されているルート コンプレッ クスに対してエラーがレポート されます。

    https://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG213&Title=UltraScale+%20Devices%20Integrated%20Block%20for%20PCI%20Express%20v1.1%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.1&docPage=15

  • UltraScale+ Device Block for PCIe v1.1 16PG213 2016 年 10 月 5 日 japan.xilinx.com

    第 2 章: 製品仕様

    コンプリーター コンプリーシ ョ ン インターフェイス

    コンプ リーター コンプリーシ ョ ン (CC) インターフェイスを介して、 ユーザー アプリ ケーシ ョ ンが生成したコンプリーシ ョ ンがコンプ リーター リ クエス トに対応して送信されます。 すべてのノンポステッ ド ト ランザクシ ョ ンをスプ リ ッ ト ト ランザクシ ョ ンと して処理できます。 つま り、 CC インターフェイスは 1 つのリ クエス トに対してコンプリーシ ョ ンを送信しながら、 リ クエスター コンプリーシ ョ ン インターフェイスで新しいリ クエス ト を連続して受信できます。 表 2-8 では、 コアの CC インターフェイスのポートについて説明します。 「幅」 の列にある DW は、 設定したデータ バス幅 (64、 128、 または 256 ビッ ト ) を示します。

    42 tph_present 1このビッ トは、 インターフェイスを介して送信される リ クエス ト TLP の中に Transaction Processing Hint (TPH) があるこ とを示します。 このビッ トは、 sop と m_axis_cq_tvalid の両方が High のと きに有効になり ます。

    44:43 tph_type[1:0] 2TPH がリ クエス ト TLP 内にある場合、 これらの 2 ビッ トがヒ ン ト と関連する PH[1:0] フ ィールドの値を提供します。 これらのビッ トは、 sop と m_axis_cq_tvalid の両方が High のと きに有効になり ます。

    52:45 tph_st_tag[7:0] 8TPH がリ クエス ト TLP 内にある場合、 この出力がヒン ト と関連する 8 ビッ トのステアリ ング タグを提供します。 これらのビッ トは、 sop と m_axis_cq_tvalid の両方が High のと きに有効になり ます。

    84:53 parity 32

    256 ビッ トの送信データ用の奇数パリティです。 ビッ ト i は、 m_axis_cq_tdata のバイ ト i について計算された奇数パリティを提供します。 インターフェイス幅が 128 ビッ トの場合は下位 16 ビッ トのみ使用され、 インターフェイス幅が 64 ビッ トの場合は下位 8 ビッ トのみ使用されます。 コアは、 インターフェイス幅が 128 ビッ トの場合、ビッ ト [31:16] を 0 に固定し、インターフェイス幅が 64 ビッ トの場合、 ビッ ト [31:8] を 0 に固定します。

    表 2-7: m_axis_cq_tuser の側帯波信号の説明 (続き)

    ビッ ト インデックス

    名称 幅 説明

    表 2-8: コンプリーター コンプリーシ ョ ン インターフェイスのポートの説明

    ポート 方向 幅 説明

    s_axis_cc_tdata 入力 DW

    コンプリーター コンプリーシ ョ ンのデータ バスです。 ユーザー アプリ ケーシ ョ ンからコアへ送信されるコンプリーシ ョ ン データです。 インターフェイス幅が 128 ビッ トの場合は下位 128 ビッ トのみ使用され、インターフェイス幅が 64 ビッ トの場合は下位 64 ビッ トのみ使用されます。

    s_axis_cc_tuser 入力 33

    コンプリーター コンプリーシ ョ ンのユーザー データです。 この信号セッ トには、 送信される TLP の側帯波情報が含まれます。 これらの信号は、s_axis_cc_tvalid が High のと きに有効になり ます。このセッ トの各信号については、 17 ページの表 2-9 を参照してください。

    s_axis_cc_tlast 入力 1

    コンプリーター コンプリーシ ョ ン データ用の TLAST を示す信号です。 ユーザー アプリ ケーシ ョ ンは、 パケッ トの最後を示すためにパケッ トの最後のサイクルでこの信号をアサートする必要があ り ます。 シングル ビートで TLP が送信される場合、 ユーザー アプリ ケーシ ョ ンは送信の最初のサイ クルでこの信号を設定する必要があ り ます。

    https://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG213&Title=UltraScale+%20Devices%20Integrated%20Block%20for%20PCI%20Express%20v1.1%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.1&docPage=16

  • UltraScale+ Device Block for PCIe v1.1 17PG213 2016 年 10 月 5 日 japan.xilinx.com

    第 2 章: 製品仕様

    s_axis_cc_tkeep 入力 DW/32

    コンプリーター コンプリーシ ョ ン データ用の TKEEP を示す信号です。 送信中にこのバスのビッ ト i がアサート される と、 s_axis_cc_tdata バスの Dword i に有効なデータが含まれているこ とをコアに示します。 ディ スク リプターの最初の Dword からペイロードの最後の Dword まですべての Dword に対して、 このビッ ト を継続的に 1 にセッ ト します。 したがって、 パケッ トのすべてのビートで、s_axis_cc_tdata が 1 にセッ ト されます。 ただし、 パケッ トの合計サイズがデータ バス幅の倍数値でない場合の最後のビートは例外です (いずれも Dword 単位)。 これは、ペイロード送信が Dword アライ メン トかつアドレス アライ メン トの場合に適用されます。

    インターフェイス幅が 128 ビッ トの場合、 ビッ ト [7:4] はコアで使用されず、 インターフェイス幅が 64 ビッ トの場合、 ビッ ト [7:2] はコアで使用されません。

    s_axis_cc_tvalid 入力 1

    コンプリーター コンプリーシ ョ ン データの Valid 信号です。 s_axis_cc_tdata バス上に有効なデータがある場合、 ユーザー アプリ ケーシ ョ ンはこの出力をアサートする必要があ り ます。 パケッ ト送信中、 この Valid 信号をアサート した状態で保持する必要があ り ます。 コアは、 s_axis_cc_tready 信号を使用してデータ送信を制御できます。

    s_axis_cc_tready 出力 4

    コンプリーター コンプリーシ ョ ン データの Ready 信号です。 コアがこの信号をアサートする と、 データの受信準備が整っているこ とを示します。同じサイクルで s_axis_cc_tvalid と s_axis_cc_tready が両方と もアサート される と、 インターフェイスを介してデータが送信されます。 Valid 信号が High のと きにコアが Ready 信号をディアサート した場合、 Ready 信号がアサート されるまで、 ユーザー アプリ ケーシ ョ ンはバス上のデータを保持し、 Valid 信号をアサート した状態で保持する必要があ り ます。

    表 2-8: コンプリーター コンプリーシ ョ ン インターフェイスのポートの説明 (続き)

    ポート 方向 幅 説明

    表 2-9: s_axis_cc_tuser の側帯波信号の説明

    ビッ ト インデックス

    名称 幅 説明

    0 discontinue 1

    送信されているデータ内にエラー (メモ リからペイロードを読み出している間に訂正不可能な ECC エラーなど) を検出した場合、 ユーザー アプリ ケーシ ョ ンはこの信号をアサート してパケッ トの送信を中断する必要があ り ます。 コアは、 リ ンク上の対応する TLP を無効にしてデータ破損を回避します。 ユーザー アプリ ケーシ ョ ンは、 送信中の任意のサイクルでこの信号をアサートできます。 エラーが示された場所よ り前のサイ クルでパケッ ト を中断、 またはペイロードのすべてのバイ トがコアへ送信されるまで継続できます。 後者の場合、 ユーザー アプリケーシ ョ ンがパケッ トの終了よ り前に discontinue 信号をディアサート しても、 パケッ トのその後のサイ クルではコアはこのエラーをスティ ッキー エラーと して処理します。discontinue 信号は、 s_axis_cc_tvalid が High の場合のみアサート されます。 コアは、s_axis_cc_tready 信号が High の場合のみこの信号をサンプルします。 したがって、 この信号がアサート された場合は、 s_axis_cc_tready が High になるまでディアサート してはいけません。

    コアがエンドポイン ト と してコンフ ィギュレーシ ョ ンされている場合、 AER 機能を使用するこ とで、 コアが接続されているルート コンプレッ クスに対してエラーがレポート されます。

    https://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG213&Title=UltraScale+%20Devices%20Integrated%20Block%20for%20PCI%20Express%20v1.1%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.1&docPage=17

  • UltraScale+ Device Block for PCIe v1.1 18PG213 2016 年 10 月 5 日 japan.xilinx.com

    第 2 章: 製品仕様

    リクエスター リクエスト インターフェイス

    リ クエスター リ クエス ト (RQ) インターフェイスのポート を介して、 ユーザー アプリ ケーシ ョ ンがリモートの PCIe® デバイスへリ クエス ト を生成します。 表 2-10 では、 コアの RQ インターフェイスのポートについて説明します。 「幅」 の列にある DW は、 設定したデータ バス幅 (64、 128、 または 256 ビッ ト ) を示します。

    32:1 parity 32

    256 ビッ ト データ用の奇数パリティです。 コアでパリティ チェッ クが有効の場合、 ユーザー ロジッ クはこのバスのビッ ト i を s_axis_cc_tdata のバイ ト i について計算された奇数パリティに設定する必要があ り ます。インターフェイス幅が 128 ビッ トの場合は下位 16 ビッ トのみ使用され、 インターフェイス幅が 64 ビッ トの場合は下位 8 ビッ トのみ使用されます。インターフェイス パリティ エラーが検出された場合、 これは訂正不可能な内部エラーと して記録され、 そのパケッ トは破棄されます。 PCI Express Base Specification (6.2.9) には、 次のよ うに記述されています。 「訂正不可能な内部エラーとは、 コンポーネン ト内に生じるエラーであ り、 コンポーネン トの不正動作を招きます。 訂正不可能な内部エラーから回復する唯一の方法は、 リセッ ト またはハードウェアの交換とな り ます。」

    コアでパリティ チェッ クが有効に設定されていない場合は、 パリティ ビッ トが永続的に 0 になり ます。

    表 2-9: s_axis_cc_tuser の側帯波信号の説明 (続き)

    ビッ ト インデックス

    名称 幅 説明

    表 2-10: リクエスター リクエスト インターフェイスのポートの説明

    ポート 方向 幅 説明

    s_axis_rq_tdata 入力 DW

    リ クエスター リ クエス トのデータ バスです。 この入力には、 ユーザー アプリ ケーシ ョ ンからコアへのリ クエスター側のリ クエス ト データが含まれます。 インターフェイス幅が 128 ビッ トの場合は下位 128 ビッ トのみ使用され、 インターフェイス幅が 64 ビッ トの場合は下位 64 ビッ トのみ使用されます。

    s_axis_rq_tuser 入力 62

    リ クエスター リ クエス トのユーザー データです。 この信号セッ トには、 送信される TLP の側帯波情報が含まれます。 これらの信号は、 s_axis_rq_tvalid が High のと きに有効になり ます。 このセッ トの各信号については、 20 ページの表 2-11 を参照してください。

    s_axis_rq_tlast 入力 1

    リ クエスター リ クエス ト データ用の TLAST を示す信号です。 ユーザー アプリ ケーシ ョ ンは、 パケッ トの最後を示すために TLP の最後のサイクルでこの信号をアサートする必要があ り ます。シングル ビートで TLP が送信される場合、 ユーザー アプリ ケーシ ョ ンは送信の最初のサイ クルでこの信号を設定する必要があ り ます。

    s_axis_rq_tkeep 入力 DW/32

    リ クエスター リ クエス ト データ用の TKEEP を示す信号です。 送信中にこのバスのビッ ト i がアサート される と、 s_axis_rq_tdata バスの Dword i に有効なデータが含まれているこ とをコアに示します。 ユーザー アプリ ケーシ ョ ンは、 ディ スク リプターの最初の Dword からペイロードの最後の Dword まですべての Dword に対して、 このビッ ト を継続的に 1 にセッ トする必要があ り ます。 したがって、 パケッ トのすべてのビートで、s_axis_rq_tdata が 1 にセッ ト されます。 ただし、 パケッ トの合計サイズがデータ バス幅の倍数値でない場合の最後のビートは例外です (いずれも Dword 単位)。 これは、 ペイロード送信が Dword アライ メン トかつアドレス アライ メン トの場合に適用されます。インターフェイス幅が 128 ビッ トの場合、 ビッ ト [7:4] はコアで使用されず、 インターフェイス幅が 64 ビッ トの場合、 ビッ ト [7:2] はコアで使用されません。

    https://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG213&Title=UltraScale+%20Devices%20Integrated%20Block%20for%20PCI%20Express%20v1.1%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.1&docPage=18

  • UltraScale+ Device Block for PCIe v1.1 19PG213 2016 年 10 月 5 日 japan.xilinx.com

    第 2 章: 製品仕様

    s_axis_rq_tvalid 入力 1

    リ クエスター リ クエス ト データの Valid 信号です。 s_axis_rq_tdata バス上に有効なデータがある場合、 ユーザー アプリ ケーシ ョ ンはこの出力をアサートする必要があ り ます。 パケッ ト送信中、 この Valid 信号をアサート した状態で保持する必要があ り ます。 コアは、s_axis_rq_tready 信号を使用してデータ送信を制御できます。

    s_axis_rq_tready 出力 4

    リ クエスター リ クエス ト データの Ready 信号です。 コアがこの信号をアサートすると、 データの受信準備が整っているこ とを示します。 同じサイクルで s_axis_rq_tvalid と s_axis_rq_tready が両方と もアサート される と、 インターフェイスを介してデータが送信されます。 Valid 信号が High のときにコアが Ready 信号をディアサート した場合、Ready 信号がアサート されるまで、 ユーザー アプリケーシ ョ ンはバス上のデータを保持し、 Valid 信号をアサート した状態で保持する必要があり ます。ユーザーは、4 つすべてのビッ ト を 1 または 0 に割り当てるこ とができます。

    pcie_rq_seq_num0 出力 6

    リ クエスター リ クエス ト TLP の送信シーケンス番号を示します。 オプシ ョ ンでこの出力を使用する と、 コアの送信パイプライン内におけるリ クエス トの進捗状況を追跡できます。 この機能を使用する場合は、seq_num[3:0] バスの各リ クエス トに対してシーケンス番号を与えます。ユーザー アプリ ケーシ ョ ンからコンプリーシ ョ ン TLP を送信できなくなるパイプライン内の地点にリ クエス ト TLP が到達する と、 コアはこのシーケンス番号を pcie_rq_seq_num0[3:0] 出力に出力します。 このメカニズムによって、 コアの CC インターフェイスへ送信されるコンプリーシ ョ ンと リクエスター リ クエス ト インターフェイスに送信されるポステッ ド リ クエス トの順序を管理できます。 Pcie_rq_seq_num0[3:0]0[3:0] 出力のデータは、pcie_rq_seq_num_vld0 が High の場合に有効になり ます。

    pcie_rq_seq_num_vld0 出力 1リ クエスター リ クエス ト TLP の送信シーケンス番号の Valid 信号です。 pcie_rq_seq_num0[3:0] に有効なデータが示される と、 コアはこの出力を 1 サイクル間アサート します。

    pcie_rq_tag0 出力 8

    リ クエスター リ クエス トのノンポステッ ド タグです。 コアでノンポステッ ド リ クエス トのタグ管理が実行される場合、 コアはこの出力を使用し、 受信した各ノンポステッ ド リ クエス トに対して割り当てられたタグを伝えます。 このバスのタグ値は、 pcie_rq_tag_vld0 が High になる 1 サイ クル間有効です。 ユーザーは、 このタグをコピーして使用し、コンプリーシ ョ ン データ とペンディング リ クエス ト を結び付けるこ とができます。

    リ クエス トに対して割り当てられたタグを与えるため、 s_axis_rq_tdata バス上にリ クエス トが送信されてからコアが pcie_rq_tag_vld0 をアサートするまでの間には数サイクルの遅延が生じます。 この間、 ユーザー アプリケーシ ョ ンは新しいリ クエス ト を継続して送信できます。 各リ クエス トのタグは、 このバスを使用して FIFO 順序で伝達されるため、 ユーザー アプリ ケーシ ョ ンは送信された リ クエス ト と タグの値を簡単に関連付けるこ とができます。

    pcie_rq_tag_vld0 出力 1

    リ クエスター リ クエス トのノンポステッ ド タグの Valid 信号です。 リ クエスター リ クエス ト インターフェイスから入力される ノンポステッド リ クエス トにタグを割り当てて、 pcie_rq_tag0 出力にそれが示される と、コアはこの出力を 1 サイ クル間アサート します。

    表 2-10: リクエスター リクエスト インターフェイスのポートの説明 (続き)

    ポート 方向 幅 説明

    https://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG213&Title=UltraScale+%20Devices%20Integrated%20Block%20for%20PCI%20Express%20v1.1%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.1&docPage=19

  • UltraScale+ Device Block for PCIe v1.1 20PG213 2016 年 10 月 5 日 japan.xilinx.com

    第 2 章: 製品仕様

    表 2-11: s_axis_rq_tuser の側帯波信号の説明

    ビッ ト インデックス

    名称 幅 説明

    3:0 first_be[3:0] 4

    最初の Dword 用のバイ ト イネーブル信号です。 このフ ィールドは、 リ クエス ト TLP のト ランザクシ ョ ン層ヘッダーの First_BE ビッ トの設定に基づいて設定されます。 メモ リ読み出し、 I/O 読み出し、 およびコンフ ィギュレーシ ョ ン読み出しの場合、 これらの 4 ビッ トは最初の Dword に読み出される有効なバイ ト を示します。 メモ リ書き込み、 I/O 書き込み、 およびコンフ ィギュレーシ ョ ン書き込みの場合、 これらのビッ トはペイロードの最初の Dword にある有効なバイ ト を示します。s_axis_rq_tvalid と s_axis_rq_tready が両方と も High になる と、 コアはパケッ トの最初のサイクルでこのフ ィールドをサンプルします。

    7:4 last_be[3:0] 4

    最後の Dword 用のバイ ト イネーブル信号です。 このフ ィールドは、 TLP のト ランザクシ ョ ン層ヘッダーの Last_BE ビッ トの設定に基づいて設定されます。 2 Dword またはそれ以上のメモ リ読み出しの場合、これらの 4 ビッ トは、 データ ブロッ クの最後の Dword に読み出される有効なバイ ト を示します。 2 Dword またはそれ以上のメモ リ書き込みの場合、 これらのビッ トはペイロードの最後の Dword にある有効なバイ ト を示します。s_axis_rq_tvalid と s_axis_rq_tready が両方と も High になる と、 コアはパケッ トの最初のサイクルでこのフ ィールドをサンプルします。

    10:8 addr_offset[2:0] 3

    このインターフェイスでアドレス アライ メン ト モードを利用している場合、 ユーザー アプリケーシ ョ ンはペイロード データが開始するデータ バス上のバイ ト レーン番号を提供する必要があり ます (この側帯波バスのモジュロ 4)。 これによって、 コアは送信されるデータ ブロッ クのアライ メン ト を判断できます。s_axis_rq_tvalid と s_axis_rq_tready が両方と も High になる と、 コアはパケッ トの最初のサイクルでこのフ ィールドをサンプルします。 リ クエスター リ クエス ト インターフェイスが Dword アライ メン ト モードでコンフ ィギュレーシ ョ ンされている場合、 このフ ィールドは常に 0 にセッ ト されている必要があ り ます。

    ルート ポート コンフ ィギュレーシ ョ ンでは、 コンフ ィギュレーシ ョ ン パケッ トは常に DW0 にアラインされてなければならないため、 このパケッ ト タイプに対しては、 このフ ィールドをどちらのアライ メン ト モードでも 0 にセッ トする必要があ り ます。

    11 discontinue 1

    送信されているデータ内にエラーを検出した場合、 ユーザー アプリ ケーシ ョ ンは送信中にこの信号をアサート してパケッ ト送信を中断する必要があ り ます。コアは、 リ ンク上の対応する TLP を無効にしてデータ破損を回避します。 送信中の任意のサイクルでこの信号をアサートできます。 エラーが示された場所よ り前のサイクルでパケッ ト を中断、 またはペイロードのすべてのバイ トがコアへ送信されるまで継続できます。 後者の場合、 ユーザー アプリケーシ ョ ンがパケッ トの終了よ り前に discontinue 信号をディアサート しても、 パケッ トのその後のサイクルではコアはこのエラーをスティ ッキー エラーと して処理します。discontinue 信号は、 s_axis_rq_tvalid が High の場合のみアサート されます。 コアは、 s_axis_rq_tready 信号が High の場合のみこの信号をサンプルします。 したがって、 この信号がアサート された場合は、 s_axis_rq_tready が High になるまでディアサート してはいけません。 discontinue 信号は、 ノンポステッ ド TLP に対しては有効ではあ り ません。 送信中、 ユーザー ロジッ クは、 最初のサイ クル以外の任意のサイクルでこの信号をアサートできます。

    コアがエンドポイン ト と してコンフ ィギュレーシ ョ ンされている場合、Advanced Error Reporting (AER) を使用するこ とで、コアが接続されているルート コンプレッ クスに対してエラーがレポート されます。

    https://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG213&Title=UltraScale+%20Devices%20Integrated%20Block%20for%20PCI%20Express%20v1.1%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.1&docPage=20

  • UltraScale+ Device Block for PCIe v1.1 21PG213 2016 年 10 月 5 日 japan.xilinx.com

    第 2 章: 製品仕様

    12 tph_present 1

    このビッ トは、 インターフェイスを介して送信される リ クエス ト TLP の中に Transaction Processing Hint (TPH) があるこ とを示します。 s_axis_rq_tvalid と s_axis_rq_tready が両方と も High になる と、 コアはパケッ トの最初のサイ クルでこのフ ィールドをサンプルします。 TPH 機能を使用しない場合、 このビッ トは常に 0 にセッ ト される必要があり ます。

    14:13 tph_type[1:0] 2

    TPH がリ クエス ト TLP 内にある場合、 これらの 2 ビッ トがヒ ン ト と関連する PH[1:0] フ ィールドの値を提供します。 s_axis_rq_tvalid と s_axis_rq_tready が両方と も High になる と、 コアはパケッ トの最初のサイ クルでこのフ ィールドをサンプルします。 tph_present が 0 にセッ ト されている場合、 これらのビッ トは任意の値に設定できます。

    15 tph_indirect_tag_en 1

    このビッ トが 1 の場合、 コアはステア リ ング タグ テーブルへのインデッ クス として tph_st_tag[7:0] の下位ビッ ト を使用し、送信される リ クエス ト TLP にこのロケーシ ョ ンからのタグを挿入します。 このビッ トが 0 の場合、 コアはステア リ ング タグと して tph_st_tag[7:0] の値を直接使用します。

    s_axis_rq_tvalid と s_axis_rq_tready が両方と も High になる と、 コアはパケッ トの最初のサイクルでこのビッ ト をサンプルします。

    tph_present が 0 にセッ ト されている場合、 このビッ トは任意の値に設定できます。

    23:16 tph_st_tag[7:0] 8

    TPH がリ クエス ト TLP 内にある場合、 この出力がヒン ト と関連する 8 ビッ トのステア リ ング タグを提供します。 s_axis_rq_tvalid と s_axis_rq_tready が両方と も High になる と、 コアはパケッ トの最初のサイクルでこのフ ィールドをサンプルします。 tph_present が 0 にセッ ト されている場合、 これらのビッ トは任意の値に設定できます。

    27:24 seq_num[3:0] 4

    オプシ ョ ンで、 このフ ィールドに 4 ビッ トのシーケンス番号を提供する と、 コアの送信パイプライン内における リ クエス トの進捗状況を追跡できます。 コンプリーシ ョ ン TLP を渡すこ とができなくなるパイプライン内の地点にリ クエスト TLP が到達する と、 コアはこのシーケンス番号を pcie_rq_seq_num[3:0] 出力に出力します。

    s_axis_rq_tvalid と s_axis_rq_tready が両方と も High になる と、 コアはパケッ トの最初のサイクルでこのフ ィールドをサンプルします。 ユーザー アプリ ケーシ ョ ンが、 コアの pcie_rq_seq_num[3:0] 出力をモニタ リ ングしていない場合には、 この入力を 0 にハード接続できます。

    59:28 parity 32

    256 ビッ ト データ用の奇数パリティです。 コアでパリティ チェッ クが有効の場合、 ユーザー ロジッ クはこのバスのビッ ト i を s_axis_rq_tdata のバイ ト i について計算された奇数パリティに設定する必要があ り ます。 インターフェイス幅が 128 ビッ トの場合は下位 16 ビッ トのみ使用され、 インターフェイス幅が 64 ビッ トの場合は下位 8 ビッ トのみ使用されます。 インターフェイス パリティ エラーが検出された場合、 これは訂正不可能な内部エラーと して記録され、 そのパケッ トは破棄されます。 PCI Express Base Specification (6.2.9) には、 次のよ うに記述されています。 「訂正不可能な内部エラーとは、 コンポーネン ト内に生じるエラーであ り、 コンポーネン トの不正動作を招きます。 訂正不可能な内部エラーから回復する唯一の方法は、 リセッ トまたはハード ウェアの交換となり ます。」

    コアでパリティ チェッ クが有効に設定されていない場合は、 パリティ ビッ トが永続的に 0 になり ます。

    61:60 seq_num[5:4] 2 [27:24] のよ うに、 seq_num の拡張です。

    表 2-11: s_axis_rq_tuser の側帯波信号の説明 (続き)

    ビッ ト インデックス

    名称 幅 説明

    https://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG213&Title=UltraScale+%20Devices%20Integrated%20Block%20for%20PCI%20Express%20v1.1%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.1&docPage=21

  • UltraScale+ Device Block for PCIe v1.1 22PG213 2016 年 10 月 5 日 japan.xilinx.com

    第 2 章: 製品仕様

    リクエスター コンプリーシ ョ ン インターフェイス

    リ クエスター コンプリーシ ョ ン (RC) インターフェイスを介して、 リ クエス トに対応して リ ンクから受け取ったコンプ リーシ ョ ンがユーザー アプリ ケーシ ョ ンに送信されます。 表 2-12 では、 コアの RC インターフェイスのポートについて説明します。 「幅」 の列にある DW は、 設定したデータ バス幅 (64、 128、 または 256 ビッ ト ) を示します。

    表 2-12: リクエスター コンプリーシ ョ ン インターフェイスのポートの説明

    ポート 方向 幅 説明

    m_axis_rc_tdata 出力 DW

    リ クエスター コンプリーシ ョ ンのデータ バスです。コアのリ クエスター コンプリーシ ョ ン インターフェイスからユーザー アプリケーシ ョ ンへデータを送信します。 インターフェイス幅が 128 ビッ トの場合は下位 128 ビッ トのみ使用され、 インターフェイス幅が 64 ビッ トの場合は下位 64 ビッ トのみ使用されます。

    コアは、 インターフェイス幅が 128 ビッ トの場合、 ビッ ト [255:128] を 0 に固定し、 インターフェイス幅が 64 ビッ トの場合、 ビッ ト [255:64] を 0 に固定します。

    m_axis_rc_tuser 出力 75

    リ クエスター コンプリーシ ョ ンのユーザー データです。 この信号セッ トには、 送信される TLP の側帯波情報が含まれます。 これらの信号は、 m_axis_rc_tvalid が High のと きに有効になり ます。 このセッ トの各信号については、 23 ページの表 2-13 を参照してください。

    m_axis_rc_tlast 出力 1

    リ クエスター コンプリーシ ョ ン データ用の TLAST を示す信号です。コアは、 パケッ トの最後のビートでこの信号をアサート して、 パケッ トの最後を示します。 シングル ビートで TLP が送信される場合、 コアは送信の最初のビートでこのビッ ト を設定します。 この出力は、 ス ト ラ ドル オプシ ョ ンが無効に設定されている場合のみ使用されます。 ス ト ラ ドル オプシ ョ ンが有効に設定されている場合 (256 ビッ ト インターフェイスの場合)、 常にコアはこの出力を 0 にセッ ト します。

    m_axis_rc_tkeep 出力 DW/32

    リ クエスター コンプリーシ ョ ン データ用の TKEEP を示す信号です。送信中にこのバスのビッ ト i がアサート される と、 m_axis_rc_tdata バスの Dword i に有効なデータが含まれているこ とをコアに示します。 コアは、 ディ スク リプターの最初の Dword からペイロードの最後の Dword まですべての Dword に対して、 このビッ ト を継続的に 1 にセッ ト します。 したがって、 パケッ トのすべてのビートで、 m_axis_rc_tkeep が 1 にセッ ト されます。 ただし、 パケッ トの合計サイズがデータ バス幅の倍数値でない場合の最後のビートは例外です (いずれも Dword 単位)。 これは、 ペイロード送信が Dword アライ メン トかつアドレス アライ メン トの場合に適用されます。

    コアは、 インターフェイス幅が 128 ビッ トの場合、 ビッ ト [7:4] を 0 に固定し、 インターフェイス幅が 64 ビッ トの場合、 ビッ ト [7:2] を 0 に固定します。これらの出力は、 インターフェイス幅が 256 ビッ トでス ト ラ ドル オプシ ョ ンが有効に設定されている場合にすべて 1 とな り ます。 インターフェイスを介して送信されたコンプ リーシ ョ ン TLP の開始および終了を判断する場合、 ユーザー ロジックは m_axis_rc_tuser のこの信号を使用する必要があ り ます。

    https://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG213&Title=UltraScale+%20Devices%20Integrated%20Block%20for%20PCI%20Express%20v1.1%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.1&docPage=22

  • UltraScale+ Device Block for PCIe v1.1 23PG213 2016 年 10 月 5 日 japan.xilinx.com

    第 2 章: 製品仕様

    m_axis_rc_tvalid 出力 1

    リ クエスター コンプリーシ ョ ン データの Valid 信号です。m_axis_rc_tdata バス上に有効なデータがある場合、 コアはこの出力をアサート します。 パケッ ト送信中、 この Valid 信号はアサート された状態を保持します。 ユーザー アプリ ケーシ ョ ンは、 m_axis_rc_tready 信号を使用してデータ送信を制御できます。

    m_axis_rc_tready 入力 1

    リ クエスター コンプリーシ ョ ン データの Ready 信号です。ユーザー ロジッ クでこの信号を High にアサートする と、 ユーザー アプリ ケーシ ョ ンがデータを受信する準備が整っているこ とをコアに示します。 同じサイ クルで m_axis_rc_tvalid と m_axis_rc_tready が両方と もアサート される と、 インターフェイスを介してデータが送信されます。 Valid が High のと きにユーザー アプリ ケーシ ョ ンが Ready 信号をディアサート した場合、 Ready 信号がアサート されるまで、 コアはバス上のデータを保持し、 また Valid 信号をアサート した状態で保持します。

    表 2-12: リクエスター コンプリーシ ョ ン インターフェイスのポートの説明 (続き)

    ポート 方向 幅 説明

    表 2-13: m_axis_rc_tuser の側帯波信号の説明

    ビッ ト インデックス

    名称 幅 説明

    31:0 byte_en 32

    ユーザー ロジッ クは、 オプシ ョ ンでこれらのバイ ト イネーブル信号を使用して、 送信されるパケッ トのペイロード内で有効なバイ ト を判断できます。 送信中にこのバスのビッ ト i がアサート される と、 m_axis_rc_tdata バスのバイ ト i に有効なペイロード バイ トが含まれているこ とを示します。 このビッ トは、 ディ スク リプター バイ トに対してアサート されません。

    バイ ト イネーブル信号は、 リ クエス ト ディ スク リプター内の情報 (アドレスおよび長さ ) からユーザー ロジッ クが生成できますが、 ほかのインターフェイス信号から生成する代わりに、 直接使用するこ と も可能です。 TLP のペイロードに対するこのバスの 1 ビッ トは常に連続します。コアは、 インターフェイス幅が 128 ビッ トの場合、 ビッ ト [31:16] を 0 に固定し、 インターフェイス幅が 64 ビッ トの場合、 ビッ ト [31:8] を 0 に固定します。 バイ ト イネーブル ビッ トは、 長さ 0 のメモリ読み出し リ クエス トに応答して受信されたコンプリーシ ョ ンでも設定されます。

    32 is_sof_0 1

    最初のコンプリーシ ョ ン TLP の開始を示します。 64 ビッ ト と 128 ビッ ト インターフェイス、 およびス ト ラ ドルが無効に設定されている 256 ビッ ト インターフェイスの場合、 TLP の開始を示すためにパケッ トの最初のビートでコアが is_sof_0 をアサート します。 これらのインターフェイスでは、 1 データ ビートで 1 TLP のみ開始可能であ り、 is_sof_1 は常に 0 にセッ ト されます。 この信号の使用は、 ス ト ラ ドル オプシ ョ ンが無効の場合のみ有効です。インターフェイス幅が 256 ビッ トでス ト ラ ドル オプシ ョ ンが有効な場合、 コアは同じビート内で 2 つのコンプリーシ ョ ン TLP をス ト ラ ドルできます。 この場合、 コンプリーシ ョ ン TLP は AXI4-Stream パケッ ト と してフォーマッ ト されません。 is_sof_0 のアサートは、 ビート内におけるコンプリーシ ョ ン TLP の開始を示します。 以前の TLP が現ビート よ り も前に終了している場合、 現コンプリーシ ョ ン TLP の最初のバイ トはバイ ト レーン 0 に含まれ、 また以前の TLP が現ビートで継続している場合、コンプリーシ ョ ン TLP の最初のバイ トはバイ ト レーン 16 に含まれます。

    https://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG213&Title=UltraScale+%20Devices%20Integrated%20Block%20for%20PCI%20Express%20v1.1%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.1&docPage=23

  • UltraScale+ Device Block for PCIe v1.1 24PG213 2016 年 10 月 5 日 japan.xilinx.com

    第 2 章: 製品仕様

    33 is_sof_1 1

    2 つ目のコンプ リーシ ョ ン TLP の開始を示します。 インターフェイス幅が 256 ビッ トでス ト ラ ドル オプシ ョ ンが有効な場合にこの信号が使用され、 コアは同じビート内で 2 つのコンプリーシ ョ ン TLP をス ト ラ ドルできます。 その他の場合、 この出力は常に 0 になり ます。is_sof_1 のアサートは、 ビート内における 2 つ目のコンプ リーシ ョ ン TLP の開始を示します。 このと き、 最初のバイ トはバイ ト レーン 16 に含まれます。 以前の TLP が同じビートの 0 ~15 のいずれかのバイ ト位置で終了している場合のみ、 コアはバイ ト位置 16 で 2 つ目の TLP を開始します。 つま り、 同じビートで is_eof_0[0] も設定されている場合に限られます。

    37:34 is_eof_0[3:0] 4

    最初のコンプリーシ ョ ン TLP の終了と最後の Dword のオフセッ ト を示します。これらの出力は、 インターフェイス幅が 256 ビッ トでス ト ラ ドル オプシ ョ ンが有効に設定されている場合のみ使用されます。 ビッ ト is_eof_0[0] のアサートは、 現ビートにおける最初のコンプリーシ ョ ン TLP の終了を示します。 このビッ トが設定される と、 is_eof_0[3:1] がこの TLP の最後の Dword のオフセッ ト を示します。

    41:38 is_eof_1[3:0] 4

    2 つ目のコンプ リーシ ョ ン TLP の終了と最後の Dword のオフセッ ト を示します。 これらの出力は、 インターフェイス幅が 256 ビッ トでス ト ラ ドル オプシ ョ ンが有効に設定されている場合のみ使用されます。 コアは、 同じビート内で 2 つのコンプリーシ ョ ン TLP をス ト ラ ドルできます。 その他の場合、 これらの出力は予約されています。

    is_eof_1[0] のアサートは、 同じビートにおける 2 つ目の TLP の終了を示します。is_eof_1 のビッ ト 0 がセッ ト される と、 ビッ ト [3:1] は現ビートで終了する TLP の最後の Dword のオフセッ ト を示します。2 つ目の TLP は、バイ ト位置 27 ~ 31 の間でのみ終了可能なため、 is_eof_1[3:1] は 2 つの値 (6 または 7) のいずれかとな り ます。2 つ目の TLP の最後のバイ トのオフセッ トは、 TLP の開始アドレス と長さ、 あるいはバイ ト イネーブル信号 byte_en[31:0] から判断できます。is_eof_1[0] が High の場合、 is_eof_0[0] 信号と is_sof_1 信号も同じビート内で High になり ます。

    42 discontinue 1

    内部 FIFO メモ リから TLP ペイロードを読み出している間に訂正不可能なエラーを検出した場合に、 コアは TLP の最後のビートでこの信号をアサート します。 このよ うなエラーがコアによって検出された場合、 ユーザー アプリ ケーシ ョ ンはすべての TLP を破棄する必要があ り ます。 TLP にペイロードがない場合に、 この信号がアサート されるこ とはあ り ません。 この信号は、 ペイロード送信の最後のビートでのみアサート されます (つま り、is_eof_0[0] が High の場合)。 ス ト ラ ドル オプシ ョ ンが有効の場合、 コアが discontinue 信号をアサート している と 2 つ目の TLP が開始されません。コアがエンドポイン ト と してコンフ ィギュレーシ ョ ンされている場合、 Advanced Error Reporting (AER) 機能を使用するこ とで、 コアが接続されているルート コンプレッ クスに対してエラーがレポート されます。

    74:43 parity 32

    256 ビッ トの送信データ用の奇数パリティです。 ビッ ト i は、 m_axis_rc_tdata のバイ ト i について計算された奇数パリティを提供します。 インターフェイス幅が 128 ビッ トの場合は下位 16 ビッ トのみ使用され、 インターフェイス幅が 64 ビッ トの場合は下位 8 ビッ トのみ使用されます。 コアは、 インターフェイス幅が 128 ビッ トの場合、 ビッ ト [31:16] を 0 に固定し、 インターフェイス幅が 64 ビッ トの場合、 ビッ ト [31:8] を 0 に固定します。

    表 2-13: m_axis_rc_tuser の側帯波信号の説明 (続き)

    ビッ ト インデックス

    名称 幅 説明

    https://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG213&Title=UltraScale+%20Devices%20Integrated%20Block%20for%20PCI%20Express%20v1.1%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.1&docPage=24

  • UltraScale+ Device Block for PCIe v1.1 25PG213 2016 年 10 月 5 日 japan.xilinx.com

    第 2 章: 製品仕様

    512 ビッ ト インターフェイス

    こ こでは、 コアのユーザー インターフェイスに関連するポートについて説明します。 512 ビッ ト インターフェイスを選択する場合、 サンプル デザインの最上位 XDC ファ イルの Pblock 制約を確認します。 これらの制約は、 タイ ミングの向上を目的と して 512 ビッ ト AXI4-Stream ソフ ト ロジッ クを PCIe 統合ブロッ クの近くに配置しておくために必要です。

    コンプリーター リクエス ト インターフェイス

    表 2-14: コンプリーター リクエスト インターフェイスのポートの説明

    名称 幅 方向 説明

    m_axis_cq_tdata 512 出力 PCIe コンプリーター リ クエス ト インターフェイスからユーザー アプリケーシ ョ ンへデータを送信します。

    m_axis_cq_tuser 183 出力送信される TLP の側帯波情報を含む信号セッ トです。 これらの信号は、m_axis_cq_tvalid が High のと きに有効になり ます。 このセッ トの各信号は、 表 2-15 で説明しています。

    m_axis_cq_tlast 1 出力

    コアは、 パケッ トの最後のビートでこの信号をアサート して、 パケッ トの最後を示します。 シングル ビートで TLP が送信される場合、 コアは送信の最初のビートでこのビッ ト を設定します。 この出力は、 ス ト ラ ドル オプシ ョ ンが無効に設定されている場合のみ使用されます。 ス ト ラ ドル オプシ ョ ンが有効に設定されている場合、 常にコアはこの出力を 0 にセッ トします。

    m_axis_cq_tkeep 16 出力

    送信中にこのバスのビッ ト i がアサート される と、 m_axis_cq_tdata バスの Dword i に有効なデータが含まれているこ とをユーザー ロジッ クに示します。 コアは、 ディ スク リプターの最初の Dword からペイロードの最後の Dword まですべての Dword に対して、このビッ ト を継続的に 1 にセッ ト します。 したがって、 パケッ トのすべてのビートで、 m_axis_cq_tdata が 1 にセッ ト されます。 ただし、 パケッ トの合計サイズがデータ バス幅の倍数値でない場合の最後のビートは例外です (いずれも Dword 単位)。 これは、 ペイロード送信が Dword アライ メン トかつ 128 バイ ト アドレス アライ メン トの場合に適用されます。

    tkeep ビッ トは、 ス ト ラ ドルが CQ インターフェイスで無効の場合のみのみ有効です。 ス ト ラ ドルが有効の場合、 tkeep ビッ トはすべてのビートで常にすべて 1 とな り ます。インターフェイスを介して送信された TLP の開始および終了を判断する場合、 ユーザー ロジッ クは m_axis_cq_tuser バスの is_sop/is_eop 信号を使用する必要があ り ます。

    m_axis_cq_tvalid 1 出力

    m_axis_cq_tdata バス上に有効なデータがある場合、 コアはこの出力をアサート します。 パケッ ト送信中、 この Valid �