vivado design suite ユーザー ガイド - xilinx · 2019-10-14 · vivado design suite 2013.2...

70
Vivado Design Suite ユーザー ガイド リリース ノート、 インストールお よびライセンス UG973 (v2013.3) 2013 10 23

Upload: others

Post on 10-Jun-2020

7 views

Category:

Documents


0 download

TRANSCRIPT

  • Vivado Design Suite ユーザー ガイド

    リ リース ノート、 インス トールおよびライセンス

    UG973 (v2013.3) 2013 年 10 月 23 日

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 2UG973 (v2013.3) 2013 年 10 月 23 日

    Notice of DisclaimerThe information disclosed to you hereunder (the “Materials”) is provided solely for the selection and use of Xilinx products.To the maximum extent permitted by applicable law:(1) Materials are made available "AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort, including negligence, or under any other theory of liability) for any loss or damage of any kind or nature related to, arising under, or in connection with, the Materials (including your use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage (including loss of data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if such damage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same.Xilinx assumes no obligation to correct any errors contained in the Materials or to notify you of updates to the Materials or to product specifications.You may not reproduce, modify, distribute, or publicly display the Materials without prior written consent.Certain products are subject to the terms and conditions of the Limited Warranties which can be viewed at http://www.xilinx.com/warranty.htm; IP cores may be subject to warranty and support terms contained in a license issued to you by Xilinx.Xilinx products are not designed or intended to be fail-safe or for use in any application requiring fail-safe performance; you assume sole risk and liability for use of Xilinx products in Critical Applications:http://www.xilinx.com/warranty.htm#critapps.© Copyright 2013 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brands included herein are trademarks of Xilinx in the United States and other countries.ARM® is a registered trademark of ARM in the EU and other countries.CPRI is a trademark of Siemens AG.MATLAB and Simulink are registered trademarks of The MathWorks, Inc. PCI, PCIe and PCI Express are trademarks of PCI-SIG and used under license.All other trademarks are the property of their respective owners.

    本資料は英語版 (v2013.3) を翻訳したもので、 内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあ り ます。日本語版は参考用と してご使用の上、 最新情報につきましては、 必ず最新英語版をご参照ください。

    この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、[email protected] までお知らせください。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受け付けており ません。 あらかじめご了承ください。

    改訂履歴次の表に、 この文書の改訂履歴を示します。

     

    日付 バージョ ン 改訂内容

    2013/10/2 2013.3 第 1 章 「 リ リース ノート 2013.3」 および 第 6 章 「以前のバージ ョ ンの リ リース ノート 」 に詳細を追加

    2013/06/19 2013.2 2013.2 リ リース用にアップデート

    2013/04/15 2013.1 第 1 章の 「Vivado XDC の変更」 に詳細を追加、第 2 章 「互換性のあるサードパーティ ツール」 にザイ リ ンクス IP に対する Vivado 合成の使用について追記

    2013/03/20 2013.1 初版

    mailto:[email protected]://www.xilinx.com/warranty.htmhttp://www.xilinx.com/warranty.htm#critappshttp://japan.xilinx.com

  • Vivado Design Suite 2013.3 リ リース ノート japan.xilinx.com 3UG973 (v2013.3) 2013 年 10 月 23 日

    目次

    改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2

    第 1章 : リ リース ノート  2013.3新機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5重要な情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11既知の問題 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12

    第 2章 : アーキテクチャ  サポートおよびシステム要件オペレーティング システム . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13アーキテクチャ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13互換性のあるサードパーティ ツール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14システム要件 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15

    第 3章 : ダウンロードおよびインストールVivado Design Suite ツールのダウンロード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18Vivado Design Suite ツールのインス トール全 OS 共通の手順 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19OS 別インス トール手順 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19インス トール フロー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21USB FLEXid ドングル ド ラ イバのインス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28ネッ ト ワーク インス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29アップデートの取得 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30Vivado Design Suite ツールのアンインス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31

    第 4章 : WebTalkWebTalk への参加. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32WebTalk インス トールのプリ ファレンス設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32WebTalk ユーザー プリ ファレンスの設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33WebTalk インス トールおよびユーザー プリ ファレンスの確認 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35収集されるデータの種類 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35データの送信 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35

    第 5章 : ライセンスの取得および管理 製品ライセンス サイ トへのアクセス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36ザイ リ ンクス ユーザー アカウン ト情報の変更 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37製品ライセンスのアカウン ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39ユーザー タイプとアクシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39ラ イセンス キー ファ イルの作成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40ラ イセンス キー ファ イルの管理 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46古いライセンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49ツールおよび IP の購入情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50ユーザー アクセスの管理 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51

    Send Feedback

    http://japan.xilinx.comhttp://www.xilinx.com/about/feedback.html?docType=Release_Notes&docId=973&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%3A%20%26%2312522%3B%26%2312522%3B%26%2312540%3B%26%2312473%3B%20%26%2312494%3B%26%2312540%3B%26%2312488%3B%26%2312289%3B%26%2312452%3B%26%2312531%3B%26%2312473%3B%26%2312488%3B%26%2312540%3B%26%2312523%3B%26%2312362%3B%26%2312424%3B%26%2312403%3B%26%2312521%3B%26%2312452%3B%26%2312475%3B%26%2312531%3B%26%2312473%3B&releaseVersion=&docPage=3

  • Vivado Design Suite 2013.3 リ リース ノート japan.xilinx.com 4UG973 (v2013.3) 2013 年 10 月 23 日

    ラ イセンス キー ファ イルのインス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52

    第 6章 : 以前のバージ ョ ンのリ リース ノートVivado 2013.2. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54重要な情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58既知の問題 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59Vivado 2013.1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59重要な情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64既知の問題 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68

    付録 A : その他のリソースザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69ソ リ ューシ ョ ン センター . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69Xilinx Documentation Navigator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69ラ イセンスおよびエンド ユーザー ラ イセンス契約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69リ ファレンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70

    Send Feedback

    http://japan.xilinx.comhttp://www.xilinx.com/about/feedback.html?docType=Release_Notes&docId=973&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%3A%20%26%2312522%3B%26%2312522%3B%26%2312540%3B%26%2312473%3B%20%26%2312494%3B%26%2312540%3B%26%2312488%3B%26%2312289%3B%26%2312452%3B%26%2312531%3B%26%2312473%3B%26%2312488%3B%26%2312540%3B%26%2312523%3B%26%2312362%3B%26%2312424%3B%26%2312403%3B%26%2312521%3B%26%2312452%3B%26%2312475%3B%26%2312531%3B%26%2312473%3B&releaseVersion=&docPage=4

  • Vivado Design Suite 2013 リ リース ノート japan.xilinx.com 5UG973 (v2013.3) 2013 年 10 月 23 日

    第 1章

    リ リース ノート  2013.3

    新機能Vivado® Design Suite 2013.3 では、プラグ アンド プレイ IP を使用して生産性をさらに高めるこ とが可能です。IP ポート、 GUI、および資料がすべてよ り一貫したものになっています。 システム レベルでの統合を向上するため、 IP インテグレーターおよびサードパーティ シ ミ ュレータのサポートが改善されています。 また、 階層デザイン フローおよび自動 IP アップデート も向上しており、 デザイン プロセスをよ り短時間で実行できます。

    デバイス サポート次のデバイスをプロダクシ ョ ンでサポート

    • Artix®-7

    ° XC7A75T

    • Zynq®-7000

    ° SBG485 パッケージの XC7Z030

    Zynq-7000 の追加デバイス サポート :

    • XC7Z015 デバイスをこのリ リースでサポート

    Vivado System Edition 製品

    Vivado 高位合成

    • FFT および FIR 関数の新しい C ライブラ リによ り、システム統合を向上し、 ク ラス最高のインプリ メンテーシ ョンを提供

    ° 高パフォーマンスのザイ リ ンクス IP に確実にインプ リ メン ト される C 関数を使用して、 システムを短時間で開発

    • sin、 cos、 および sqrt 関数の新しい固定小数点インプリ メンテーシ ョ ンを含めるこ とによ り、 C 数学ライブラ リのサポート を向上

    • Vivado HLS IP を使用したよ り高速で簡単なシステム統合

    ° Vivado デザイン チェッ クポイン トのフォーマッ ト (.dcp) でパッケージ IP をサポート

    ° System Generator for DSP の IP で AXI4 インターフェイスを完全にサポート

    ° パッケージ IP に生成されたソフ ト ウェア ド ラ イバーが含まれるよ うにな り、 よ り簡単にソフ ト ウェアを統合可能

    • 使いやすさを向上し、 AXI4-Steam インターフェイスを 1 つの最適化指示子で合成およびシ ミ ュレーシ ョ ン可能

    • Windows 64 ビッ ト OS を完全サポート し、 処理能力を向上

    Send Feedback

    http://japan.xilinx.comhttp://www.xilinx.com/about/feedback.html?docType=Release_Notes&docId=973&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%3A%20%26%2312522%3B%26%2312522%3B%26%2312540%3B%26%2312473%3B%20%26%2312494%3B%26%2312540%3B%26%2312488%3B%26%2312289%3B%26%2312452%3B%26%2312531%3B%26%2312473%3B%26%2312488%3B%26%2312540%3B%26%2312523%3B%26%2312362%3B%26%2312424%3B%26%2312403%3B%26%2312521%3B%26%2312452%3B%26%2312475%3B%26%2312531%3B%26%2312473%3B&releaseVersion=&docPage=5

  • Vivado Design Suite 2013 リ リース ノート japan.xilinx.com 6UG973 (v2013.3) 2013 年 10 月 23 日

    新機能

    • 合成を向上 : パイプライン AXI4 マスター インターフェイスのパフォーマンスを向上、 高度な ROM の自動複製によ り レイテンシを削減

    System Generator for DSP

    • DDS および複素乗算器ブロッ クを使用するデザインのシ ミ ュレーシ ョ ン速度を向上

    • System Generator for DSP ブロッ クのザイ リ ンクス システムへの統合を高速化および簡略化

    ° AXI4-Lite インターフェイスが完全にサポート され、 System Generator for DSP で自動生成可能、 シングル クロ ッ クおよびデュアル ク ロ ッ クをサポート

    ° AXI4-Lite のアドレス オフセッ ト を自動生成するか、 明示的に定義可能

    ° AXI4-Lite インターフェイスに対してソフ ト ウェア ド ラ イバー ファ イルを自動生成

    ° AXI4 インターフェイスを使用する Vivado HLS IP を直接 System Generator for DSP に組み込むこ とが可能

    ° Vivado デザイン チェッ クポイン トのフォーマッ ト (.dcp) を出力フォーマッ ト と してサポート

    ° インターフェイスの資料でゲート ウェイ入力および出力インターフェイスをすべてサポート

    • MATLAB® API フレームワークによ り コンパイル ターゲッ トのカスタマイズをよ り簡単に実行可能

    • 現在の階層内で信号を表示できる機能を追加し、波形ビューアーの設定をシ ミ ュレーシ ョ ン間で保持できるよ うにするこ とによ り、 検証およびデバッグをよ り簡単に実行可能

    • 使いやすさの向上 : コード生成でユーザー定義 VHDL ライブラ リ をサポート、 最上位クロ ッ ク イネーブル ロジッ クの リセッ ト をユーザーが制御可能

    パーシャル リコンフ ィギュレーシ ョ ン

    • パーシャル リ コンフ ィギュレーシ ョ ンが Vivado Design Suite 内でプロダクシ ョ ン ステータスで使用できるよ うになり ました。このバージ ョ ンでは、特定の 7 シ リーズ デバイスでの非プロジェク ト Tcl ベース フローがサポート されます。

    ° デバイス サポート : Kintex®-7、Virtex®-7 T および XT (7V2000T および 7VX1140T を含む)、Zynq® 7Z045 および 7Z030

    - SSI デバイスでは、 パーシャル リ コンフ ィギュレーシ ョ ン領域が 1 つの SLR に制限されているこ とが必要

    ° PR 検証、 リ コンフ ィギュレーシ ョ ン後のリセッ ト 、 ビッ ト ス ト リームの圧縮および暗号化、 ブラ ッ ク ボックス ビッ ト ス ト リームなど、ほとんどの標準インプリ メンテーシ ョ ン機能およびビッ ト ス ト リーム機能は使用可能

    - フレームごとの CRC チェッ クは使用不可

    ° パーシャル リ コンフ ィギュレーシ ョ ン フローは、 ISE® Design Suite と同じライセンス コードで有効化

    • 詳細は、 『Vivado Design Suite ユーザー ガイ ド : パーシャル リ コンフ ィギュレーシ ョ ン』 (UG909) [参照 2] および『Vivado Design Suite チュート リ アル : パーシャル リ コンフ ィギュレーシ ョ ン』 (UG947) [参照 3] を参照して ください。

    階層デザイン  : モジュール再利用フロー

    • モジュール解析フローにモジュール再利用フローをプロダクシ ョ ン ステータスで追加

    ° 最上位からデザインのモジュールを OOC (Out-of-Context) でインプ リ メン ト し、 配置配線結果を再利用

    ° チーム デザインまたは並列インプリ メンテーシ ョ ンでデザイン制約を設定しやすくするため、 サンプル デザインをスク リプ ト と共に提供

    • 詳細は、『Vivado Design Suite ユーザー ガイ ド : 階層デザイン』 (UG905) [参照 4] および『Vivado Design Suite チュート リ アル : 階層デザイン』 (UG946) [参照 5] を参照してください。

    Send Feedback

    http://japan.xilinx.comhttp://www.xilinx.com/about/feedback.html?docType=Release_Notes&docId=973&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%3A%20%26%2312522%3B%26%2312522%3B%26%2312540%3B%26%2312473%3B%20%26%2312494%3B%26%2312540%3B%26%2312488%3B%26%2312289%3B%26%2312452%3B%26%2312531%3B%26%2312473%3B%26%2312488%3B%26%2312540%3B%26%2312523%3B%26%2312362%3B%26%2312424%3B%26%2312403%3B%26%2312521%3B%26%2312452%3B%26%2312475%3B%26%2312531%3B%26%2312473%3B&releaseVersion=&docPage=6

  • Vivado Design Suite 2013 リ リース ノート japan.xilinx.com 7UG973 (v2013.3) 2013 年 10 月 23 日

    新機能

    Vivado Design Edition ツール

    デザイン ルール チェ ック  (DRC)

    • 最適な HDL コーディング スタイル、 XDC タイ ミ ング制約および物理制約のためのリ ンティング ルールとテンプレート を提供し、 UltraFast™ 設計手法の一部を自動化

    ° methodology_checks および timing_checks という 2 つのルール デッ クで新しい設計手法ルールを提供

    ° 新しいルール チェッ クの一部と して、 エラボレート済みデザインに使用する RTL チェッ ク、 合成またはインプ リ メンテーシ ョ ン後に使用するタイ ミ ング チェッ クを提供

    ° 詳細は、 http://japan.xilinx.com/ultrafast を参照してください。

    言語テンプレート  

    • HDL テンプレート を使用するこ とによ り最適な合成結果を生成可能

    • XDC テンプレート を使用するこ とによ り正確な制約を作成可能

    統合設計環境

    • デバイス プロパティのコンフ ィギュレーシ ョ ン ダイアログ ボッ クス

    ° ビッ ト ス ト リームの生成で使用するデバイス プロパティの設定、表示、および編集を簡単に実行できるダイアログ ボッ クスを追加

    消費電力

    • ベク ターなし消費電力予測用に、 デザイン全体に消費電力制約を入力する方法を簡略化

    ° [Report Power] ダイアログ ボッ クスで、主な入力、ブラ ッ ク ボッ クス出力のデザイン全体でのデフォルト トグル レート を指定

    ° ブロ ッ ク RAM、 出力、 BiDi のイネーブル レート を指定

    • Vivado から階層デザイン情報を XPE にエクスポー ト

    ° Vivado からエクスポート したデータを使用して、 XPE (2013.3 以降) でよ り詳細な what-if 解析を実行可能

    ° XPE の [Logic]、 [BRAM]、 および [DSP] タブに消費電力情報を階層表示

    Vivado メ ッセージのオブジェク トのクロスプローブ

    • Vivado メ ッセージのデザイン オブジェク トから [Schematic] ビュー、 [Device] ビュー、 HDL、 および階層ブラウザーによ り効率的にクロスプローブ

    • 制約の処理、 デザインの最適化、 物理デザインの最適化、 および配線のメ ッセージからのク ロスプローブをサポート。 今後のリ リースでメ ッセージが追加される予定です。

    デザイン ルール チェ ック

    DRC 違反に基づいてデザイン オブジェク ト (セル、 ネッ ト 、 ポート、 およびピン) を特定する機能を追加

    Send Feedback

    http://japan.xilinx.com/ultrafasthttp://japan.xilinx.comhttp://www.xilinx.com/about/feedback.html?docType=Release_Notes&docId=973&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%3A%20%26%2312522%3B%26%2312522%3B%26%2312540%3B%26%2312473%3B%20%26%2312494%3B%26%2312540%3B%26%2312488%3B%26%2312289%3B%26%2312452%3B%26%2312531%3B%26%2312473%3B%26%2312488%3B%26%2312540%3B%26%2312523%3B%26%2312362%3B%26%2312424%3B%26%2312403%3B%26%2312521%3B%26%2312452%3B%26%2312475%3B%26%2312531%3B%26%2312473%3B&releaseVersion=&docPage=7

  • Vivado Design Suite 2013 リ リース ノート japan.xilinx.com 8UG973 (v2013.3) 2013 年 10 月 23 日

    新機能

    Vivado IDE

    • 制約編集画面で無効なタイ ミ ング制約を検出し、 レポートする機能を追加

    ° テキス ト エディ ター

    ° テキス ト ファ イルの比較機能を追加。 2 つのファイルを選択して、 違いを表示できます。

    ° 冒頭および末尾のスペースの削除、 タブをスペースに変換、 スペースをタブに変換などの機能をサポート

    • [Sources] ビューの [Hierarchy] タブをよ り高速に更新。大型のデザインで実行時間を短縮するため、更新をディ スエーブルにする機能を追加。

    • 複数オブジェク トのプロパティの編集機能によ り、 選択した Vivado IDE オブジェク トのすべてのプロパティを同時に表示および編集可能

    Vivado IP フロー

    • ボ ト ムアップ合成がデフォルト フローとな り ました。次の IP を除き、すべての IP に対してデフォルトで合成済みデザイン チェッ クポイン ト (.dcp) が作成されます。

    ° MIG 7 Series、IBERT、ILA、VIO、PCI32、PCI64、Image Stabilization、Object Segmentation、AXI BFM、Zynq BFM

    • デザイン run のインフラス ト ラ クチャを利用

    • AXI4 インターフェイスを使用する新規カスタム IP の作成をサポートするよ う Vivado IP パッケージャーを向上し、 メニュー オプシ ョ ンの名前を [Create and Package IP] に変更

    • 1 言語シ ミ ュレータ用に IP シ ミ ュレーシ ョ ン モデルを提供するフロー自動化を追加

    ° 新しいプロジェク ト プロパティ [Simulator Language] によ り、 各 IP に対して正しい言語モデルを提供

    • get_files コマンドにファイルをコンパイル順に表示する -compile_order –used_in オプシ ョ ンを追加。 これは、サードパーティ フロー用にスク リプ ト を作成する場合や、リ ビジ ョ ン制御システムにチェッ ク インするファ イルを確認する場合に有益です。

    • Vivado シ ミ ュレータおよび ModelSim/QuestaSim の統合シ ミ ュレーシ ョ ン フローをサポート

    • Synopsys 社 VCS-MX および Cadence 社 IES 用に完全なシ ミ ュレーシ ョ ン スク リプ ト を生成する Tcl コマンド

    Vivado IP インテグレーター

    • IP インテグレーターで次を含む 50 個以上の IP を新規サポート

    ° 接続 IP

    - CPRI™ および JESD204

    - GMII to RGMII

    - Virtex-7 PCIe (Gen2 および Gen3)

    - RXAUI および XAUI

    - Ten Gigabit Ethernet MAC および PCS PMA

    - SelectIO Wizard

    • ブロ ッ ク デザイン全体を OOC (Out-Of-Context) モジュールと して設定し、 デザインの反復実行で変更のないブロ ッ クの合成時間を短縮

    • ユーザー IP をダイアグラムに追加した後に再パッケージ化するこ とが可能。 プロジェク トで使用されている IPのすべてのインスタンスが、 変更を反映してアップデート されます。

    • リモート ソースのサポート を追加。一時プロジェク ト を作成して、 リモートの場所に初期 BD を作成する必要があ り ます。

    • IP インテグレーターで read_bd を使用する非プロジェク ト フローをサポート

    • AXI スレーブ、 ブロ ッ ク RAM コン ト ローラー、 Zynq ボード プリセッ トおよび AXI イーサネッ トに関する設計アシス ト を追加

    Send Feedback

    http://japan.xilinx.comhttp://www.xilinx.com/about/feedback.html?docType=Release_Notes&docId=973&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%3A%20%26%2312522%3B%26%2312522%3B%26%2312540%3B%26%2312473%3B%20%26%2312494%3B%26%2312540%3B%26%2312488%3B%26%2312289%3B%26%2312452%3B%26%2312531%3B%26%2312473%3B%26%2312488%3B%26%2312540%3B%26%2312523%3B%26%2312362%3B%26%2312424%3B%26%2312403%3B%26%2312521%3B%26%2312452%3B%26%2312475%3B%26%2312531%3B%26%2312473%3B&releaseVersion=&docPage=8

  • Vivado Design Suite 2013 リ リース ノート japan.xilinx.com 9UG973 (v2013.3) 2013 年 10 月 23 日

    新機能

    • IP インテグレーターで 32 ~ 64 ビッ トのアドレス幅をサポート。 これは、 IP インテグレーターでマルチポートメモ リ コン ト ローラーを設計する場合に有益です。

    • Ctrl + F キーを押すこ とによ り、 IP インテグレーター キャンバス上の IP またはオブジェク ト を検索可能

    • 複数のオブジェク ト を同時に接続する [Make Connection] オプシ ョ ンを追加

    • AXI4 インターフェイス タイプに基づいて、 ダイアグラムの AXI4 インターフェイスの色をカスタマイズ可能。デフォルトでは、 すべてのインターフェイスが同じ色で表示されます。

    Vivado IP カタログ

    • ほとんどの Vivado IP に対してサンプル デザインおよびシ ミ ュレーシ ョ ン テス トベンチを提供

    • GT ベースの IP を大幅に変更

    次の変更は、 Vivado 2013.3 の GT ベースの IP すべてに適用されます。 次の変更によ り、 GT ベースの IP がよ り使いやすく、 わかりやすいものになっています。 初期の導入は、 2013.3 よ り後のバージ ョ ンの IP にスムーズにアップグレード可能です。

    ° 2013.3 のバージ ョ ンの IP にアップグレードする と、 GT ベースの IP の階層が大幅に変更されます。 IP コアの最上位には、暗号化されたコアと GT への接続が含まれます。以前のバージ ョ ンの IP には暗号化されたコアが含まれ、 GT への接続はサンプル デザインのレベルで設定されていました。 GT ベースの IP を新しいバージ ョ ンにアップグレードする際は、 ユーザー デザインに変更が必要です。

    ° GT ベースの IP にオプシ ョ ンで IP コアレベル内または外に共有ロジッ クを含むこ とができるよ うになり、複数の IP インスタンスでク ロ ッ クおよびリセッ ト を共有できるよ うになり ました。

    ° GT ベースの IP にオプシ ョ ンで ト ランシーバー デバッグ ポート を含めるこ とができるよ うにな り、 GT デバッグ ポートに簡単にアクセスできるよ うにな り ました。 GT デバッグ ポート をインスタンシエートすると、 IP インスタンスに多数のポートが追加されるため、 デザインの変更が必要になり ます。

    サードパーティのシミ ュレーシ ョ ンのサポート

    • すべての Vivado IP で ModelSim/QuestaSim および Vivado シ ミ ュレータの統合シ ミ ュレーシ ョ ン フローをサポート

    • Cadence 社のシ ミ ュレータで使用するシ ミ ュレーシ ョ ン スク リプ ト を記述する export_simulation コマンドを追加

    • Incisive Enterprise Simulator (IES)、 Synopsys 社 VCS および VCS MX のサポート されるバージ ョ ンは、 「互換性のあるサードパーティ ツール」 の表を参照してください。

    • compile_simlib で使用するサードパーティ シ ミ ュレータ オプシ ョ ンを設定する config_compile_simlib コマンドを追加

    Vivado シミ ュレータ

    • ユーザー インターフェイスを向上

    ° [Object] ビューから定数およびクロ ッ クを強制

    ° [Object] ビューに [Show Drivers] メニューを追加

    • 言語のサポート を向上

    ° Verilog サブプログラム内の自動変数での待機をサポート

    ° 混合言語シ ミ ュレーシ ョ ンで VHDL のレコードをサポート

    • メ ッセージを向上 :

    ° 説明と解決法を含む詳細なメ ッセージ

    Send Feedback

    http://japan.xilinx.comhttp://www.xilinx.com/about/feedback.html?docType=Release_Notes&docId=973&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%3A%20%26%2312522%3B%26%2312522%3B%26%2312540%3B%26%2312473%3B%20%26%2312494%3B%26%2312540%3B%26%2312488%3B%26%2312289%3B%26%2312452%3B%26%2312531%3B%26%2312473%3B%26%2312488%3B%26%2312540%3B%26%2312523%3B%26%2312362%3B%26%2312424%3B%26%2312403%3B%26%2312521%3B%26%2312452%3B%26%2312475%3B%26%2312531%3B%26%2312473%3B&releaseVersion=&docPage=9

  • Vivado Design Suite 2013 リ リース ノート japan.xilinx.com 10UG973 (v2013.3) 2013 年 10 月 23 日

    新機能

    Vivado 合成

    • 前のバージ ョ ンに比べて実行時間を 35% 短縮

    • RTL 合成属性を制約ファイル (XDC) でサポート

    • QoR (結果の品質) をいくつかの点で向上 (特にステート マシンおよびプライオ リティ エンコーダー )

    • シフ ト レジスタの新しい RTL 属性 srl_style を追加

    Vivado デバッグ

    • ILA 3.0 のアドバンス ト リ ガー機能 :

    ° アドバンス ト リ ガー ステート マシン

    - 実行時にプログラム可能

    - 16 ステート まで

    - ステート ごとに 3 方向に分岐

    - PROBE 入力ごとに 4 つまでのコンパレータ

    - 4 つのプログラム可能なカウンター

    - 4 つのプログラム可能なフラグ

    • 基本キャプチャ制御機能 :

    ° ILA コアでキャプチャするデータを制御するキャプチャ条件フ ィルターをユーザーが指定可能

    ° 使いやすくするため PROBE ポート上の専用コンパレータを使用

    ° 基本およびアドバンス ト リ ガー モードを使用可能

    • JTAG から AXI へのマスター :

    ° マイクロプロセッサ コードを記述せずに AXI ベースのシステムと通信

    ° AXI または AXI-Lite インターフェイスに接続

    ° IP インテグレーター ブロッ ク デザインおよび HDL ベースのデザインで使用可能

    ° AXI ト ランザクシ ョ ンを作成および実行する Vivado ランタイム Tcl

    - 詳細は、 「help *hw_axi*」 と入力してください。

    Vivado 物理インプリ メンテーシ ョ ン

    • 前のバージ ョ ンに比べて配置配線の実行時間を 11% 短縮

    • オプシ ョ ンの配置後の最適化によ り、 配置後または配線後のク リティカル パスのタイ ミ ングを向上

    ° place_design -post_place_opt

    • シフ ト レジスタの最適化によ り、 SRL プリ ミ ティブに関連するク リ ティカル パスのタイ ミ ングを向上

    ° SRL16E および SRLC32E からシフ ト レジスタを抽出し、 FPGA ロジッ クに移動

    ° phys_opt_design のデフォルト最適化に含まれる

    ° phys_opt_design -shift_register_opt を使用して個別に実行可能

    • ブロ ッ ク RAM イネーブルの最適化によ り、 消費電力が最適化されたブロ ッ ク RAM のタイ ミ ングを向上

    ° phys_opt_design のデフォルト最適化に含まれる

    ° phys_opt_design -bram_enable_opt を使用して個別に実行可能

    • phys_opt_design -directive Explore の QoR を向上

    ° ブロ ッ ク RAM、 DSP、 シフ ト レジスタの最適化を複数回実行

    Send Feedback

    http://japan.xilinx.comhttp://www.xilinx.com/about/feedback.html?docType=Release_Notes&docId=973&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%3A%20%26%2312522%3B%26%2312522%3B%26%2312540%3B%26%2312473%3B%20%26%2312494%3B%26%2312540%3B%26%2312488%3B%26%2312289%3B%26%2312452%3B%26%2312531%3B%26%2312473%3B%26%2312488%3B%26%2312540%3B%26%2312523%3B%26%2312362%3B%26%2312424%3B%26%2312403%3B%26%2312521%3B%26%2312452%3B%26%2312475%3B%26%2312531%3B%26%2312473%3B&releaseVersion=&docPage=10

  • Vivado Design Suite 2013 リ リース ノート japan.xilinx.com 11UG973 (v2013.3) 2013 年 10 月 23 日

    重要な情報

    ザイリンクス PCIe IP の Tandem コンフ ィギュレーシ ョ ン

    • Tandem コンフ ィギュレーシ ョ ンは、PCIe® デザインの高速コンフ ィギュレーシ ョ ンでオープン PCIe システム内での列挙に関する要件を満たすためのザイ リ ンクスのソ リ ューシ ョ ンです。 2013.3 の新機能は次のとおりです。

    ° XC7K325T、XC7VX485T、および XC7VX690T に加え、XC7K160T をプロダクシ ョ ン ステータスでサポート

    ° これらのデバイスですべてのパッケージと IP カタログ内の PCIe ブロ ッ ク位置をサポート

    詳細は、 PCI Express IP の製品ガイ ド (Gen2 PCIe IP の場合は PG054 (v2.2)、 Gen3 PCIe IP の場合は PG023 (v2.2)) を参照してください。

    重要な情報

    Vivado デザインの Vivado Design Suite 2013.2 から  2013.3 への移行

    IP アップグレードに関する推奨事項

    IP を含むデザインを Vivado Design Suite 2013.2 から 2013.3 に移行する際の推奨事項は、 次のとおりです。

    1. IP ステータス レポート を生成し、 各 IP の変更ログを確認します。

    2. マイナーな変更またはリ ビジ ョ ン レベルの変更を含む IP からアップグレード し、 各 IP に生成されたアップグレード ログを確認します。

    3. デザインを再検証し、 メジャーなバージ ョ ン変更を含む IP をアップグレード します。

    4. 各 IP に生成されたアップグレード ログを参照し、デザインにアップグレード された IP を組み込むために必要な変更を加えます。

    5. デザインを再検証します。

    ヒ ン ト :

    • 2013.3 でメジャーな リ ビジ ョ ン変更があった IP に対して 2013.2 でデザイン チェッ クポイン ト を作成し、すぐにアップグレード しな くても続行できるよ うにします。

    • マイナーな変更またはリ ビジ ョ ン レベルの変更を含む IP からアップグレード し、 デザインを再検証します。

    • ほかのユーザーおよびデザインに影響を与えないよ うにするため、 2013.3 にアップグレードする前に 2013.2 のIP 管理プロジェク ト ロケーシ ョ ンおよびリモート プロジェク ト IP のバッ クアップを作成します。

    • Vivado 2013.3 に移行する前に、 IP を含む 2013.2 デザイン プロジェク トのアーカイブを作成します。

    Send Feedback

    http://japan.xilinx.comhttp://www.xilinx.com/about/feedback.html?docType=Release_Notes&docId=973&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%3A%20%26%2312522%3B%26%2312522%3B%26%2312540%3B%26%2312473%3B%20%26%2312494%3B%26%2312540%3B%26%2312488%3B%26%2312289%3B%26%2312452%3B%26%2312531%3B%26%2312473%3B%26%2312488%3B%26%2312540%3B%26%2312523%3B%26%2312362%3B%26%2312424%3B%26%2312403%3B%26%2312521%3B%26%2312452%3B%26%2312475%3B%26%2312531%3B%26%2312473%3B&releaseVersion=&docPage=11

  • Vivado Design Suite 2013 リ リース ノート japan.xilinx.com 12UG973 (v2013.3) 2013 年 10 月 23 日

    既知の問題

    既存 IP のアップデート• PCI Express (Gen3/Gen2/AXI-PCIe)

    ° IP インテグレーターでサポート

    ° ト ランシーバー デバッグ ポート を追加

    ° 使いやすさを向上するためのアップデート

    ° シ ミ ュレーシ ョ ンおよび合成の警告メ ッセージを削減

    ° 共有ロジッ ク オプシ ョ ンを導入

    • Aurora

    ° リセッ ト /初期化でのリ ンクの安定性に関する修正

    ° 使いやすさを向上するためのアップデートおよび XDC のアップデート

    ° 7 シ リーズでプロダクシ ョ ン IP

    System Generator for DSP• SBG485 の XC7Z030 および Zynq デバイス 7Z015 をサポート

    既知の問題Vivado Design Suite の既知の問題は、 アンサー 55120 を参照してください。

    Send Feedback

    http://japan.xilinx.com/cgi-bin/docs/ndoc?t=answer+record;d=55120.htmhttp://japan.xilinx.comhttp://www.xilinx.com/about/feedback.html?docType=Release_Notes&docId=973&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%3A%20%26%2312522%3B%26%2312522%3B%26%2312540%3B%26%2312473%3B%20%26%2312494%3B%26%2312540%3B%26%2312488%3B%26%2312289%3B%26%2312452%3B%26%2312531%3B%26%2312473%3B%26%2312488%3B%26%2312540%3B%26%2312523%3B%26%2312362%3B%26%2312424%3B%26%2312403%3B%26%2312521%3B%26%2312452%3B%26%2312475%3B%26%2312531%3B%26%2312473%3B&releaseVersion=&docPage=12

  • Vivado Design Suite 2013 リ リース ノート japan.xilinx.com 13UG973 (v2013.3) 2013 年 10 月 23 日

    第 2章

    アーキテクチャ サポートおよびシステム要件

    オペレーティング システムザイ リ ンクスでサポート される OS は、 x86 および x86-64 プロセッサ アーキテクチャの OS のみです。

    Microsoft Windows サポート• Windows XP Professional (32 ビッ トおよび 64 ビッ ト )、 英語版/日本語版

    • Windows 7 Professional (32 ビッ トおよび 64 ビッ ト )、 英語版/日本語版

    • Windows Server 2008 (64 ビッ ト )

    Linux サポート• Red Hat Enterprise Workstation 5 (32 ビッ トおよび 64 ビッ ト )

    • Red Hat Enterprise Workstation 6 (32 ビッ トおよび 64 ビッ ト )

    • SUSE Linux Enterprise 11 (32 ビッ トおよび 64 ビッ ト )

    アーキテクチャ次の表は、 Vivado® Design Suite WebPACK™ ツールとその他の Vivado Design Suite エディシ ョ ンのコマーシャル製品のアーキテクチャ サポート を リ ス ト しています。

    コマーシャル製品以外 :

    • ザイ リ ンクス オートモーティブ デバイスはすべて Vivado Design Suite WebPACK ツールでサポート されます。

    • ディフェンス グレードのザイ リ ンクス FPGA デバイスは、 同等のコマーシャル パーツ サイズのサポート されるエディシ ョ ンでサポート されます。

    表 2‐1 : アーキテクチャ  サポート

    Vivado WebPACK ツール Vivado Design Suite(全エディシ ョ ン)

    Zynq™ デバイス Zynq-7000 デバイス • XC7Z010、XC7Z015、XC7Z020、XC7Z030

    Zynq-7000 デバイス• すべて

    Virtex® FPGA Virtex-7 FPGA• なし

    Virtex-7 FPGA• すべて

    Send Feedback

    http://japan.xilinx.comhttp://www.xilinx.com/about/feedback.html?docType=Release_Notes&docId=973&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%3A%20%26%2312522%3B%26%2312522%3B%26%2312540%3B%26%2312473%3B%20%26%2312494%3B%26%2312540%3B%26%2312488%3B%26%2312289%3B%26%2312452%3B%26%2312531%3B%26%2312473%3B%26%2312488%3B%26%2312540%3B%26%2312523%3B%26%2312362%3B%26%2312424%3B%26%2312403%3B%26%2312521%3B%26%2312452%3B%26%2312475%3B%26%2312531%3B%26%2312473%3B&releaseVersion=&docPage=13

  • Vivado Design Suite 2013 リ リース ノート japan.xilinx.com 14UG973 (v2013.3) 2013 年 10 月 23 日

    互換性のあるサードパーティ  ツール

    互換性のあるサードパーティ  ツール

    Kintex™ FPGA Kintex-7 FPGA• XC7K70T、 XC7K160T

    Kintex-7 FPGA• すべて

    Artix™ FPGA Artix-7 FPGA• XC7A100T、 XC7A200T、 XC7A75T

    Artix-7 FPGA• すべて

    表 2‐2 :互換性のあるサードパーティ  ツール

    サードパーティ  ツール

    Red Hat Linux

    Red Hat Linux‐64 SUSE Linux

    Windows XP 32 ビッ ト

    Windows XP 64 ビッ ト

    Windows‐7 32 ビッ ト

    Windows‐7 64 ビッ ト

    シミ ュレーシ ョ ン

    Mentor Graphics ModelSim SE/DE (10.2a)

    ○ ○ ○ ○ ○ ○ ○

    Mentor Graphics ModelSim PE (10.2a) なし なし なし ○ ○ ○ ○

    Mentor Graphics Questa Advanced Simulator(10.2a)

    ○ ○ ○ ○ ○ ○ ○

    Cadence Incisive Enterprise Simulator (IES) (12.2-016)

    ○ ○ ○ なし なし なし なし

    Synopsys VCS および VCS MX (H-2013.06-3*)

    *- このバージ ョ ンのVCS の入手については、 Synopsys 社に連絡して ください

    ○ ○ ○ なし なし なし なし

    The MathWorks MATLAB® および Simulink® with Fixed-Point Toolbox (2012a、 2012)

    ○ ○ ○ ○ ○ ○ ○

    Aldec Active-HDL (9.2)a なし なし なし ○ ○ ○ ○

    Aldec Riviera-PRO (2013.02) ○ ○ ○ ○ ○ ○ ○

    合成b

    Synopsys Synplify/Synplify Pro (H-2013.03)c

    ○ ○ ○ ○ ○ ○ ○

    表 2‐1 : アーキテクチャ  サポート

    Vivado WebPACK ツール Vivado Design Suite(全エディシ ョ ン)

    Send Feedback

    http://japan.xilinx.comhttp://www.xilinx.com/about/feedback.html?docType=Release_Notes&docId=973&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%3A%20%26%2312522%3B%26%2312522%3B%26%2312540%3B%26%2312473%3B%20%26%2312494%3B%26%2312540%3B%26%2312488%3B%26%2312289%3B%26%2312452%3B%26%2312531%3B%26%2312473%3B%26%2312488%3B%26%2312540%3B%26%2312523%3B%26%2312362%3B%26%2312424%3B%26%2312403%3B%26%2312521%3B%26%2312452%3B%26%2312475%3B%26%2312531%3B%26%2312473%3B&releaseVersion=&docPage=14

  • Vivado Design Suite 2013 リ リース ノート japan.xilinx.com 15UG973 (v2013.3) 2013 年 10 月 23 日

    システム要件

    システム要件このセクシ ョ ンでは、 システム メモ リ要件、 ケーブル インス トール、 およびその他の要件について説明します。

    システム メモリ要件Vivado Design Suite ツールの推奨メモ リについては、 http://japan.xilinx.com/design-tools/vivado/memory.htm を参照してください。

    OS と使用可能なメモリ

    Microsoft Windows および Linux® OS のアーキテクチャ場合、 ザイ リ ンクス プログラムに使用できる メモ リの最大容量に制限があ り、 大規模デバイスおよび複雑なデバイスを作成する際に問題となる こ とがあ り ます。 Vivado DesignSuite には最適化メモ リが含まれており、 ザイ リ ンクス ツール用に RAM を増加できるよ うになっています。

    Windows XP Professional 32 ビッ ト

    ザイ リ ンクス アプリ ケーシ ョ ンでは、 Windows 32 ビッ ト システムでメモリ増加機能が取り入れられるよ うになっていますが、 ユーザーの方でもよ り大規模なメモ リ を使用できるよ うに Windows 設定を変更する必要があ り ます。

    標準の Windows では、ザイ リ ンクスのプロセスに使用できる最大メモ リ容量は 2GB ですが、Windows XP Professionalの場合は、 RAM を 3 GB まで増加できるよ うになっています。 Vivado Design Suite にはこのオプシ ョ ンがビルト インされていますが、 Windows XP OS にも修正を加えないと、 メモ リは拡張できません。 拡張するには boot.ini ファ イルの startup ラ インの終わりに /3GB を追加する必要があ り ます。

    ザイ リ ンクス アプリ ケーシ ョ ンで 3GB サポート を使用する前に、 マイ クロ ソフ トのサポート技術情報 #328269 を参照してください。 Windows XP サービス パッ ク 1 にアップグレード し、 /3GB オプシ ョ ンを使用する場合、マイ クロ ソフ トからのパッチをインス トールしていないとマシンを再起動できません。詳細については、 アンサー 17905 を参照してください。

    変更を加える前に、 次を参照してください。

    Mentor Graphics Precision RTL/Plus (2012c)

    ○ ○ ○ ○ ○ ○ ○

    等価性チェ ック

    Cadence Encounter Conformal (9.1) ○ ○ ○ なし なし なし なし

    OneSpin 360 (2013_06) ○ ○ ○ なし なし なし なし

    a. 注記 : Aldec シ ミ ュレータのサポートは Aldec 社よ り提供されています。

    b. 注記 : RTL ソースには暗号化されたファイルが含まれる可能性があるので、ほとんどの Vivado IP は Vivado 合成でのみ合成できます。 これらの IP をサードパーティ合成フローで使用するには、 Vivado ツールから合成済みネッ トリ ス ト を最適な形式でエクスポート して、 サードパーティ合成プロジェク トで使用できるよ うにします。

    c. 注記 : Synplify Overlay またはサービス パッ クの利用については、 Synopsys 社にお問い合わせください。

    表 2‐2 :互換性のあるサードパーティ  ツール

    サードパーティ  ツール

    Red Hat Linux

    Red Hat Linux‐64 SUSE Linux

    Windows XP 32 ビッ ト

    Windows XP 64 ビッ ト

    Windows‐7 32 ビッ ト

    Windows‐7 64 ビッ ト

    Send Feedback

    http://japan.xilinx.com/design-tools/vivado/memory.htmhttp://support.microsoft.com/?kbid=328269http://japan.xilinx.com/cgi-bin/docs/ndoc?t=answer+record;d=17905.htmhttp://japan.xilinx.comhttp://www.xilinx.com/about/feedback.html?docType=Release_Notes&docId=973&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%3A%20%26%2312522%3B%26%2312522%3B%26%2312540%3B%26%2312473%3B%20%26%2312494%3B%26%2312540%3B%26%2312488%3B%26%2312289%3B%26%2312452%3B%26%2312531%3B%26%2312473%3B%26%2312488%3B%26%2312540%3B%26%2312523%3B%26%2312362%3B%26%2312424%3B%26%2312403%3B%26%2312521%3B%26%2312452%3B%26%2312475%3B%26%2312531%3B%26%2312473%3B&releaseVersion=&docPage=15

  • Vivado Design Suite 2013 リ リース ノート japan.xilinx.com 16UG973 (v2013.3) 2013 年 10 月 23 日

    システム要件

    • 4GT RAM チューニン グのアプ リ ケーシ ョ ン使用については、 Microsoft Bulletin Q17193 を参照してください。

    • boot.ini フ ァ イルの修正方法については、 Microsoft Bulletin Q289022 を参照してください。

    Linux

    32 ビッ トの Red Hat Enterprise Linux では、 大規模メモ リのカーネルを使用して各プロセスに 4GB 割り当てるこ とができます。 詳細については、 Red Hat のサポート サイ ト (http://www.redhat.com/docs/manuals/enterprise/) を参照して ください。

    ケーブル インストール要件ザイ リ ンクス デザイン ツールでターゲッ ト ハードウェアをプログラムおよびコンフ ィギュレーシ ョ ンするには、 ハイ パフォーマンスなケーブル、 Platform Cable USB II が必要です。

    注記 :デバッグまたはプログラムに使用されていた Xilinx Parallel Cable IV は、 サポート されなくなっています。

    Platform Cable USB II をインス トールする場合は、 少なく と も USB 1.1 ポートが必要にな り ます。 最適なパフォーマンスには、 USB 2.0 ポートで Platform Cable USB II を使用するこ とをお勧めします。

    ケーブルは、 Windows XP Professional、 Windows 7、 Redhat Linux Enterprise、 SUSE Linux Enterprise 11 の 32 ビッ トおよび 64 ビッ ト バージ ョ ンで公式にサポート されています。 OS 別の注意点は、 次を参照してください。

    • ルート ディレク ト リへの権限が必要です。

    • SUSE Linux Enterprise 11 :Platform Cable USB II が正し く動作するためには、 fxload ソフ ト ウェア パッケージが必要です。 fxload パッケージは SUSE Linux Enterprise 11 には自動的にインス トールされないので、 そのユーザーまたはシステム管理者がインス トールしておく必要があ り ます。

    • Linux LibUSB サポート :LibUSB パッケージに基づく Platform Cable USB II のサポートは、ザイ リ ンクスの Web サイ トから入手できます。 詳細は、 アンサー 29310 を参照してください。

    ザイ リ ンクス ケーブルに関するその他の情報は、 次のマニュアルを参照してください。

    • 『USB ケーブル インス トール ガイ ド』 (UG344) [参照 7]

    • 『Platform Cable USB II データシート 』 (DS593) [参照 8]

    • 『Parallel Cable IV データシート 』 (DS097) [参照 9]

    装置とアクセス権

    次の表は、 関連装置、 アクセス権、 ネッ ト ワーク接続の条件を示しています。

    表 2‐3 :装置とアクセス権の要件 項目 要件

    ディ レク ト リのアクセス権 編集するデザイン ファ イルが含まれるディ レ ク ト リすべての書き込み権が必要です。

    モニター 解像度 1024x768 ピクセル以上の 16 ビッ ト カラー VGA をお勧めします。

    ド ラ イブ Vivado Design Suite には DVD-ROMが必要です (Web からのダウンロードではな く、DVD を請求してインス トールする場合)。

    ポート デバイスのプログラムには、 ザイ リ ンクス プログラム ケーブル用にパラレル ポート、USB ポートのいずれかが必要です。ポートの仕様については、ケーブルのマニュアルを参照してください。

    注記 : ケーブル ド ラ イバ ソフ ト ウェアのインス トールには、Windows XP Pro SP1 (またはそれ以降) または Windows-7 が必要です。 これらの OS を使用していない場合、ケーブルが正し く動作しないこ とがあ り ます。

    Send Feedback

    http://japan.xilinx.com/support/documentation/data_sheets/ds097.pdfhttp://japan.xilinx.com/support/documentation/data_sheets/ds097.pdfhttp://japan.xilinx.com/support/documentation/data_sheets/ds097.pdfhttp://support.microsoft.com/default.aspx?scid=kb;en-us;Q171793http://support.microsoft.com/default.aspx?scid=kb;en-us;q289022http://www.redhat.com/docs/manuals/enterprise/http://japan.xilinx.com/cgi-bin/docs/ndoc?t=answer+record;d=29310.htmhttp://japan.xilinx.comhttp://www.xilinx.com/about/feedback.html?docType=Release_Notes&docId=973&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%3A%20%26%2312522%3B%26%2312522%3B%26%2312540%3B%26%2312473%3B%20%26%2312494%3B%26%2312540%3B%26%2312488%3B%26%2312289%3B%26%2312452%3B%26%2312531%3B%26%2312473%3B%26%2312488%3B%26%2312540%3B%26%2312523%3B%26%2312362%3B%26%2312424%3B%26%2312403%3B%26%2312521%3B%26%2312452%3B%26%2312475%3B%26%2312531%3B%26%2312473%3B&releaseVersion=&docPage=16

  • Vivado Design Suite 2013 リ リース ノート japan.xilinx.com 17UG973 (v2013.3) 2013 年 10 月 23 日

    システム要件

    注記 : Exceed、 ReflectionX、 XWin32 のよ うな X サーバー / リモート デスク ト ップ サーバーはサポート されません。

    ネッ トワーク時刻の同期化

    ソフ ト ウェアがインス トールされたコンピュータ とは別のネッ ト ワーク コンピュータにユーザーのデザイン ファ イルを保存する場合、 どちらのコンピュータも同時刻に設定する必要があ り ます。 ソフ ト ウェアのファンクシ ョ ンを最適にするため、 時刻は定期的に合わせてください。

    Send Feedback

    http://japan.xilinx.comhttp://www.xilinx.com/about/feedback.html?docType=Release_Notes&docId=973&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%3A%20%26%2312522%3B%26%2312522%3B%26%2312540%3B%26%2312473%3B%20%26%2312494%3B%26%2312540%3B%26%2312488%3B%26%2312289%3B%26%2312452%3B%26%2312531%3B%26%2312473%3B%26%2312488%3B%26%2312540%3B%26%2312523%3B%26%2312362%3B%26%2312424%3B%26%2312403%3B%26%2312521%3B%26%2312452%3B%26%2312475%3B%26%2312531%3B%26%2312473%3B&releaseVersion=&docPage=17

  • Vivado Design Suite 2013 リ リース ノート japan.xilinx.com 18UG973 (v2013.3) 2013 年 10 月 23 日

    第 3章

    ダウンロードおよびインストールこの章では、 Vivado® Integrated Design Environment (IDE)、 高位合成合成および System Generator for DSP などを含むVivado Design Suite のダウンロードおよびインス トール方法について説明します。

    Vivado Design Suite ツールのダウンロードこのセクシ ョ ンでは、 Vivado Design Suite のダウンロード方法を説明します。

    Vivado Design Suite ツールはスタン ドアロンでダウンロードできるので、容量が少ない高速なダウンロードができます。

    注記 : Vivado Design Suite 2013.3 には、 次のよ うなデバイス プログラム機能が含まれます。

    - JTAG ケーブルに接続して、 デバイス チェーンをクエ リー検索

    - BIT ファ イルを使用してザイ リ ンクス 7 シ リーズ FPGA デバイスを直接プログラム

    - ザイ リ ンクス 7 シ リーズ FPGA デバイスのさまざまなステータス レジスタをチェッ ク

    ザイ リ ンクス ISE ラボ ツールおよび ISE Design Suite に含まれるその他すべての機能を使用する場合は、 ザイ リ ンクス ISE ラボ ツールのスタンドアロン バージ ョ ンをインス トールする必要があ り ます。

    まず、 インターネッ ト ブラウザを起動し、 http://japan.xilinx.com/support/download/index.htm にアクセスします。

    ザイ リ ンク ス ダウンロード センターのファ イルはほとんどが Akamai ダウンロード マネージャを使用してダウンロード されます。 ダウンロード前に、 次を設定しておいてください。

    • http://xilinx.entitlenow.com からのポップアップを許可する

    • 保護されている項目も されていない項目も同じページに表示されるよ うにセキュ リ ティ設定をする

    • Java をインス トールして Akamai マネージャを実行できるよ うにする

    ザイ リ ンクス デザイン ツールをダウンロードするには、 次の手順に従ってください。

    1. ダウンロード サイ トで該当するデザイン ツールのタブ (例 : [Vivado デザイン ツール] タブ) をク リ ッ ク します。

    2. 左側からダウンロードするツールのバージ ョ ンをク リ ッ ク します。

    3. ダウンロードするインス トーラーのリ ンクをク リ ッ ク します。

    注記 :複数ファイル ダウンロードのオプシ ョ ンには、 4 つの小さいダウンロード可能な圧縮ファイルが含まれており、大きなインス トール ファ イルをダウンロードするのに問題があるお客様にお勧めします。このオプシ ョ ンを使用する場合は、 インス トーラーを実行する前に、 このセクシ ョ ンに リ ス ト される 4 つのファイルすべてがダウンロード されている必要があ り ます。 詳細は、 ウェブページの 「重要な情報」 部分を参照してください。

    4. ユーザー ID とパスワードを入力し、 ザイ リ ンクス アカウン トにログインします。

    注記 :ザイ リ ンクス アカウン ト をお持ちでない場合は、 アカウン ト を作成してください。

    住所を確認する画面が表示されます。

    Send Feedback

    http://japan.xilinx.comhttp://japan.xilinx.com/support/download/index.htmhttp://www.xilinx.com/about/feedback.html?docType=Release_Notes&docId=973&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%3A%20%26%2312522%3B%26%2312522%3B%26%2312540%3B%26%2312473%3B%20%26%2312494%3B%26%2312540%3B%26%2312488%3B%26%2312289%3B%26%2312452%3B%26%2312531%3B%26%2312473%3B%26%2312488%3B%26%2312540%3B%26%2312523%3B%26%2312362%3B%26%2312424%3B%26%2312403%3B%26%2312521%3B%26%2312452%3B%26%2312475%3B%26%2312531%3B%26%2312473%3B&releaseVersion=&docPage=18

  • Vivado Design Suite 2013 リ リース ノート japan.xilinx.com 19UG973 (v2013.3) 2013 年 10 月 23 日

    Vivado Design Suite ツールのインストール全 OS 共通の手順

    5. 住所を確認し、 [次へ] をク リ ッ ク します。

    6. Akamai ダウンロード マネージャがブラウザ内で起動され、 ダウンロード プロセスが実行されます。 Akamai ダウンロード マネージャーの使用に問題がある場合は、 ダウンロード ページの 「重要な情報」 の 「ダウンロードできない場合」 セクシ ョ ンを参照して ください。ダウンロード マネージャーを使用しないでツールを取得する方法については、 そこに表示されるアンサーへのリ ンクを参照してください。

    ザイ リ ンクス ダウンロード センターファ イルのほとんどは TAR を使用して圧縮されており、 解凍にはサードパーティ プロバイダーのソフ ト ウェアが必要になり ます。 どのソフ ト ウェアを使用するかは、 各企業の IT 部門にお尋ねください。TAR ファ イルによ く使用されるソフ ト ウェアには、7-ZIP、GNU ビルト イン ツール、WinZIP、WinRar などがあ り、それぞれのプロバイダーで開発されているので、ザイ リ ンクスでは関与しており ません。ザイ リ ンクスでは、 これらの提案されるソフ ト ウェア ツールに関して、市販性、特定目的との適合性、不侵害などの点を含め、 明示黙示を問わず一切保証も表明もいたしません。

    Vivado Design Suite ツールのインストール全 OS 共通の手順このセクシ ョ ンでは、 すべての OS に共通する Vivado Design Suite のインス トール方法を示します。 各 OS でインストールを始める前に、 次を実行してください。

    • インス トール時間を削減するため、 アンチウイルス ソフ ト ウェアをオフにします。

    • デザイン ツールをインス トールするシステムに適切な権限があるこ とを確認します。 プログラム ケーブル デバイス ド ラ イバなどのコンポーネン トには、 管理者権限の必要なものもあ り ます。

    • インス トールを開始する前に、 すべてのプログラムを終了します。

    • システムが第 2 章 「アーキテクチャ サポートおよびシステム要件」 に示されている必要条件を満たしているかどうか確認します。

    • システムまたは製品オプシ ョ ンに伴う インス トールの問題については、 第 1 章の 「重要な情報」 を参照してください。

    • Vivado Design Suite のインス トーラーは、Windows では XILINX のよ うなグローバル環境変数を設定しません。これによるザイ リ ンクス デザイン ツールへの影響ついては、 「OS 別インス トール手順」 を参照してください。

    • ネッ ト ワークの 64 ビッ ト マシンに 32 ビッ ト マシンから xsetup.exe を実行する と、 32 ビッ トの EXE ファ イルが 64 ビッ ト マシンにインス トールされます。

    OS 別インストール手順このセクシ ョ ンでは、 Vivado Design Suite ツールのインス トール方法について、 OS 別に説明します。

    Microsoft Windowsインス トールの開始方法は、 インス トール プログラムの取得方法によって異なり ます。 詳細は、 「Vivado Design Suiteツールのダウンロード」 を参照してください。

    • インス トール ファ イルをダウンロード した場合は、 そのファイルを解凍し、 含まれる xsetup.exe を実行します。

    • インス トール ファ イルを複数部分に分けてダウンロード した場合は、 .zip 拡張子の付いたファイルを解凍し、xsetup.exe を実行します。 それ以外のファイルは解凍しないでください。

    Send Feedback

    http://japan.xilinx.comhttp://www.xilinx.com/about/feedback.html?docType=Release_Notes&docId=973&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%3A%20%26%2312522%3B%26%2312522%3B%26%2312540%3B%26%2312473%3B%20%26%2312494%3B%26%2312540%3B%26%2312488%3B%26%2312289%3B%26%2312452%3B%26%2312531%3B%26%2312473%3B%26%2312488%3B%26%2312540%3B%26%2312523%3B%26%2312362%3B%26%2312424%3B%26%2312403%3B%26%2312521%3B%26%2312452%3B%26%2312475%3B%26%2312531%3B%26%2312473%3B&releaseVersion=&docPage=19

  • Vivado Design Suite 2013 リ リース ノート japan.xilinx.com 20UG973 (v2013.3) 2013 年 10 月 23 日

    OS 別インストール手順

    • ザイ リ ンクス デザイン ツールの DVD をお持ちの場合は、 その DVD をド ライブに入れます。 インス トールは自動的に始まるはずですが、 始まらない場合は、 Windows Explorer から DVD ディ レク ト リの xsetup.exe を実行します。

    Linuxインス トールの開始方法は、 インス トール プログラムの取得方法によって異なり ます。 詳細は、 「Vivado Design Suiteツールのダウンロード」 を参照してください。

    • インス トール ファ イルをダウンロード した場合は、 そのファイルを解凍し、 含まれる xsetup プログラムを実行します。

    • インス トール ファ イルを複数部分に分けてダウンロード した場合は、 .zip 拡張子の付いたファイルを解凍し、xsetup を実行します。 それ以外のファイルは解凍しないでください。

    • ザイ リ ンクス デザイン ツールの DVD を請求してお持ちの場合は、 その DVD をド ライブに入れます。 ファ イルマネージャでセ ッ ト ア ップ フ ァ イルを ク リ ッ クするか、 DVD ド ラ イブのルー ト ディ レ ク ト リ を参照し、./xsetupと入力します。

    Send Feedback

    http://japan.xilinx.comhttp://www.xilinx.com/about/feedback.html?docType=Release_Notes&docId=973&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%3A%20%26%2312522%3B%26%2312522%3B%26%2312540%3B%26%2312473%3B%20%26%2312494%3B%26%2312540%3B%26%2312488%3B%26%2312289%3B%26%2312452%3B%26%2312531%3B%26%2312473%3B%26%2312488%3B%26%2312540%3B%26%2312523%3B%26%2312362%3B%26%2312424%3B%26%2312403%3B%26%2312521%3B%26%2312452%3B%26%2312475%3B%26%2312531%3B%26%2312473%3B&releaseVersion=&docPage=20

  • Vivado Design Suite 2013 リ リース ノート japan.xilinx.com 21UG973 (v2013.3) 2013 年 10 月 23 日

    インストール フロー

    インス トール フローこのセクシ ョ ンでは、 インス トール プロセス中に表示される主な画面について説明します。

    注記 : インス トール画面でチェッ ク ボッ クスのあるテキス ト部分をク リ ッ クする と、画面下のフ ィールドにその詳細が表示されます。

    ウェルカム画面

    EXE ファ イルを実行する と、 ウェルカム画面が表示されますので、 [Next] をク リ ッ ク します。X-Ref Target - Figure 3-1

    図 3‐1 : ウェルカム画面

    Send Feedback

    http://japan.xilinx.comhttp://www.xilinx.com/about/feedback.html?docType=Release_Notes&docId=973&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%3A%20%26%2312522%3B%26%2312522%3B%26%2312540%3B%26%2312473%3B%20%26%2312494%3B%26%2312540%3B%26%2312488%3B%26%2312289%3B%26%2312452%3B%26%2312531%3B%26%2312473%3B%26%2312488%3B%26%2312540%3B%26%2312523%3B%26%2312362%3B%26%2312424%3B%26%2312403%3B%26%2312521%3B%26%2312452%3B%26%2312475%3B%26%2312531%3B%26%2312473%3B&releaseVersion=&docPage=21

  • Vivado Design Suite 2013 リ リース ノート japan.xilinx.com 22UG973 (v2013.3) 2013 年 10 月 23 日

    インストール フロー

    ダウンロード  ディ レク ト リの選択この手順は、複数の圧縮ファイルををダウンロード した場合に実行する必要があ り ます。1 つのイ メージをダウンロード した場合は、 「ソフ ト ウェア ライセンスの承諾」 へ進んでください。

    この画面には、 インス トールを完了するのに必要な追加インス トール ファ イルがすべて リ ス ト されます。 ユーザーは、 ツールでこれらのファイルを含むディレク ト リ を指定する必要があ り ます。必要なファイルはすべて同じディ レク ト リにある必要があ り ます。 正しいファイルが認識される と、 圧縮ファイルが破損しないよ うに、 インス トーラーでこれらのファイルのインテグ リティがチェッ ク されます。 このプロセスには、 数分かかるこ とがあ り ます。

    X-Ref Target - Figure 3-2

    図 3‐2 : Vivado Design Suite のインストール ‐ ダウンロード  ディレク ト リの選択

    Send Feedback

    http://japan.xilinx.comhttp://www.xilinx.com/about/feedback.html?docType=Release_Notes&docId=973&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%3A%20%26%2312522%3B%26%2312522%3B%26%2312540%3B%26%2312473%3B%20%26%2312494%3B%26%2312540%3B%26%2312488%3B%26%2312289%3B%26%2312452%3B%26%2312531%3B%26%2312473%3B%26%2312488%3B%26%2312540%3B%26%2312523%3B%26%2312362%3B%26%2312424%3B%26%2312403%3B%26%2312521%3B%26%2312452%3B%26%2312475%3B%26%2312531%3B%26%2312473%3B&releaseVersion=&docPage=22

  • Vivado Design Suite 2013 リ リース ノート japan.xilinx.com 23UG973 (v2013.3) 2013 年 10 月 23 日

    インストール フロー

    ソフ トウェア ライセンスの承諾最初の画面の後は、次のよ うなソフ ト ウェア ライセンスの承諾を求める画面が 2 つ表示されます。どちらの画面でも次を実行します。

    1. チェッ ク ボッ クスをオンにします。

    2. [Next] をク リ ッ ク します。X-Ref Target - Figure 3-3

    図 3‐3 : ソフ トウェア ライセンスの承諾

    Send Feedback

    http://japan.xilinx.comhttp://www.xilinx.com/about/feedback.html?docType=Release_Notes&docId=973&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%3A%20%26%2312522%3B%26%2312522%3B%26%2312540%3B%26%2312473%3B%20%26%2312494%3B%26%2312540%3B%26%2312488%3B%26%2312289%3B%26%2312452%3B%26%2312531%3B%26%2312473%3B%26%2312488%3B%26%2312540%3B%26%2312523%3B%26%2312362%3B%26%2312424%3B%26%2312403%3B%26%2312521%3B%26%2312452%3B%26%2312475%3B%26%2312531%3B%26%2312473%3B&releaseVersion=&docPage=23

  • Vivado Design Suite 2013 リ リース ノート japan.xilinx.com 24UG973 (v2013.3) 2013 年 10 月 23 日

    インストール フロー

    インス トールするザイリンクス製品の選択

    インス トールする製品を選択します。

    X-Ref Target - Figure 3-4

    図 3‐4 : Vivado Design Suite のインストール ‐ 製品の選択

    Send Feedback

    http://japan.xilinx.comhttp://www.xilinx.com/about/feedback.html?docType=Release_Notes&docId=973&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%3A%20%26%2312522%3B%26%2312522%3B%26%2312540%3B%26%2312473%3B%20%26%2312494%3B%26%2312540%3B%26%2312488%3B%26%2312289%3B%26%2312452%3B%26%2312531%3B%26%2312473%3B%26%2312488%3B%26%2312540%3B%26%2312523%3B%26%2312362%3B%26%2312424%3B%26%2312403%3B%26%2312521%3B%26%2312452%3B%26%2312475%3B%26%2312531%3B%26%2312473%3B&releaseVersion=&docPage=24

  • Vivado Design Suite 2013 リ リース ノート japan.xilinx.com 25UG973 (v2013.3) 2013 年 10 月 23 日

    インストール フロー

    インス トール オプシ ョ ンの選択インス トール プロセス中には、複数のオプシ ョ ンのインス トール手順が実行可能です。 これらのオプシ ョ ンは、主なインス トールが終了した後、 プロセスの最後にインス トールされます。

    次の図は、 インス トール オプシ ョ ンを選択する画面です。.

    注記 : WebPACK™ の場合、WebTalk は常にオンになり ます。Edition 製品をインス トールした場合は WebTalk をイネーブルにするオプシ ョ ンをオフにするこ とができますが、デザインのプロセスに WebPACK ライセンスが使用される場合、 このプ リ ファレンスは無視されます。 詳細については、 インス トーラーで WebTalk をイネーブルにする [EnableWebTalk...] オプシ ョ ンをク リ ッ ク して、 下の説明をお読みください。

    X-Ref Target - Figure 3-5

    図 3‐5 : Vivado Design Suite のインストール ‐ インストール オプシ ョ ンの選択

    Send Feedback

    http://japan.xilinx.comhttp://www.xilinx.com/about/feedback.html?docType=Release_Notes&docId=973&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%3A%20%26%2312522%3B%26%2312522%3B%26%2312540%3B%26%2312473%3B%20%26%2312494%3B%26%2312540%3B%26%2312488%3B%26%2312289%3B%26%2312452%3B%26%2312531%3B%26%2312473%3B%26%2312488%3B%26%2312540%3B%26%2312523%3B%26%2312362%3B%26%2312424%3B%26%2312403%3B%26%2312521%3B%26%2312452%3B%26%2312475%3B%26%2312531%3B%26%2312473%3B&releaseVersion=&docPage=25

  • Vivado Design Suite 2013 リ リース ノート japan.xilinx.com 26UG973 (v2013.3) 2013 年 10 月 23 日

    インストール フロー

    インス トール ディ レク ト リの選択[Select Destination Directory] 画面では、 ソフ ト ウェアのインス トール先とプログラム フォルダーのリ ス トに表示される名前を入力します。 指定したインス トール パスの下には、 階層が 1 レベル追加されます。 このディ レク ト リ名は、インス トールする製品によって異なり ます。

    X-Ref Target - Figure 3-6

    図 3‐6 : Vivado Design Suite のインストール ‐ インストール ディレク ト リの選択

    Send Feedback

    http://japan.xilinx.comhttp://www.xilinx.com/about/feedback.html?docType=Release_Notes&docId=973&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%3A%20%26%2312522%3B%26%2312522%3B%26%2312540%3B%26%2312473%3B%20%26%2312494%3B%26%2312540%3B%26%2312488%3B%26%2312289%3B%26%2312452%3B%26%2312531%3B%26%2312473%3B%26%2312488%3B%26%2312540%3B%26%2312523%3B%26%2312362%3B%26%2312424%3B%26%2312403%3B%26%2312521%3B%26%2312452%3B%26%2312475%3B%26%2312531%3B%26%2312473%3B&releaseVersion=&docPage=26

  • Vivado Design Suite 2013 リ リース ノート japan.xilinx.com 27UG973 (v2013.3) 2013 年 10 月 23 日

    インストール フロー

    インス トール オプシ ョ ンのサマリインス トールするツール、 製品、 オプシ ョ ンのサマ リが表示されます。 インス トールを開始する場合は、 [Install] をク リ ッ ク します。

    インス トール プロセスの最後に Xilinx License Configuration Manager がデフォルトで開きます。 画面の指示に従って、ライセンス ファ イルを取得または指定します。

    注記 : EDK には、 Red Hat の Cygwin ツールが必要です。 このツールは、 EDK をインス トールする と コピーされます。

    環境変数の設定

    Microsoft Windows クライアン ト

    インス トールが終了する と、 環境変数バッチ ファ イルが作成されます。 デスク ト ップやプログラム グループなどのシ ョート カッ ト をク リ ッ クする と、 アプ リ ケーシ ョ ンが起動される前にこのファイルが呼び出されます。

    make または script ファ イルで環境変数を設定するには、 次の手順に従ってください。

    \settings32.bat または settings64.bat を追加します。 インス トールされた OS のビッ ト数に応じて、 32 か 64 を選んでください。 インス トールされた OS のビッ ト数に応じて、 32 か64 を選んでください。

    X-Ref Target - Figure 3-7

    図 3‐7 :サマリ画面

    Send Feedback

    http://japan.xilinx.comhttp://www.xilinx.com/about/feedback.html?docType=Release_Notes&docId=973&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%3A%20%26%2312522%3B%26%2312522%3B%26%2312540%3B%26%2312473%3B%20%26%2312494%3B%26%2312540%3B%26%2312488%3B%26%2312289%3B%26%2312452%3B%26%2312531%3B%26%2312473%3B%26%2312488%3B%26%2312540%3B%26%2312523%3B%26%2312362%3B%26%2312424%3B%26%2312403%3B%26%2312521%3B%26%2312452%3B%26%2312475%3B%26%2312531%3B%26%2312473%3B&releaseVersion=&docPage=27

  • Vivado Design Suite 2013 リ リース ノート japan.xilinx.com 28UG973 (v2013.3) 2013 年 10 月 23 日

    USB FLEXid ドングル ド ライバのインストール

    Linux クライアン ト

    インス トールが終了する と、 環境変数ファイルが作成されます。

    1. XILINX インス トール ディ レク ト リに移動します。

    2. ザイ リ ンクス インス トール ディ レク ト リで、 シェルによって source settings32.(c)sh または sourcesettings64.(c)sh と入力します。

    環境変数を手動で設定するか、ユーザーのセッ ト アップ スク リプ トから設定する場合は、上記の環境変数ファイルの設定をコピーするこ とをお勧めします。 ザイ リ ンクス環境変数設定は、 OS によって異なり ます。

    USB FLEXid ドングル ド ライバのインストールWindows OS 用の USB FLEXid ドングルを購入した場合は、 FLEXnet ラ イセンスを生成する前に最適なド ライバーをインス トールしておく必要があ り ます。

    1. ザイ リ ンクス デザイン ツールをまずインス トールします。 これには、 USB FLEXid ドングル ド ラ イバーのインス トーラーが含まれます。

    2. \Vivado\2013.3\lds_lite\ISE\bin\nt から FLEXId_Dongle_Driver_Installer.exe を実行します。

    3. [Select Options] の画面で [FLEXid 9 Drivers] のみを選択します。

    4. [Next] を 2 回ク リ ッ クする と、 ド ラ イバーがインス トールされます。

    X-Ref Target - Figure 3-8

    図 3‐8 : オプシ ョ ンの選択

    Send Feedback

    http://japan.xilinx.comhttp://www.xilinx.com/about/feedback.html?docType=Release_Notes&docId=973&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%3A%20%26%2312522%3B%26%2312522%3B%26%2312540%3B%26%2312473%3B%20%26%2312494%3B%26%2312540%3B%26%2312488%3B%26%2312289%3B%26%2312452%3B%26%2312531%3B%26%2312473%3B%26%2312488%3B%26%2312540%3B%26%2312523%3B%26%2312362%3B%26%2312424%3B%26%2312403%3B%26%2312521%3B%26%2312452%3B%26%2312475%3B%26%2312531%3B%26%2312473%3B&releaseVersion=&docPage=28

  • Vivado Design Suite 2013 リ リース ノート japan.xilinx.com 29UG973 (v2013.3) 2013 年 10 月 23 日

    ネッ トワーク  インストール

    インス トールが終了する と、 再起動するかど うかを尋ねる画面が表示されます。 ドングルが正し く機能するには、 再起動をする必要があ り ます。

    ネッ トワーク  インストールネッ ト ワーク上にソフ ト ウェアをインス トールする と、そのネッ ト ワーク ド ラ イブのソフ ト ウェアに複数のク ライアン ト マシンからアクセスできます。 ネッ ト ワーク上のソフ ト ウェアを使用する場合、環境変数、 レジス ト リ、 プログラム グループなどすべてにネッ ト ワークのディ レク ト リが指定されている必要があ り ます。 このセクシ ョ ンでは、ネッ ト ワーク設定の手順について説明します。

    Linux クライアン トソフ ト ウェアがインス トールされたディ レク ト リの settings32.(c)sh または settings64.(c)sh (使用しているシェルによって異なる ) を source コマンドで実行します。 これらのシェルを使用する と、ザイ リ ンクスの環境変数、パス、 LD_LIBRARY_PATH 変数にインス トール ディレク ト リが指定されます。

    ネッ ト ワーク上のインス トール ディレク ト リからデザイン ツールを実行するには、 X Windows Display Manager を起動し、 DISPLAY 環境変数を設定する必要があ り ます。 DISPLAY はモニタの名前と して定義します。 DISPLAY は通常unix:0.0 です。 たとえば、 次の構文は bigben という ホス ト上のツールを実行し、 mynode という ク ライアン ト マシンのモニターにグラフ ィ ッ クを表示します。

    setenv DISPLAY mynode:0.0xhost = bigbenPC Clients

    Microsoft Windows クライアン ト1. デザイン ツールを PC ネッ ト ワーク サーバーにインス トールします。 この際、 ユーザーがデザイン ツールのイ

    ンス トール ディレク ト リの場所を知っているこ と、またユーザーにそのディ レク ト リへのアクセス権があるこ とを確認してください。

    2. ローカル ク ライアン ト マシンから、 ネッ ト ワーク上の network_install_location\Vivado\\bin ディレク ト リにある shortcutSetup.bat ファ イルを実行します。

    3. このプログラム ファ イルを実行する と、 リモート ロケーシ ョ ンからザイ リ ンクス ツールを実行するのに便利なプログラム グループやデスク ト ップ シ ョート カッ トが設定されます。

    4. ローカル マシンから Vivado Design Suite ツールを起動するには、[スタート ] → [プログラム] から該当するツールを選択するか、 デスク ト ップのシ ョート カッ ト をダブルク リ ッ ク します。

    割り当てたネッ トワーク  ド ライブへのインストールザイ リ ンクス デザイン ツールは、ルート ディレク ト リの下のフォルダ (C: \Xilinx) にインス トールされるよ うに設定されています。ローカル ド ラ イブにインス トールする場合は、通常インス トーラーでこのディ レク ト リになっています。ネッ ト ワーク ド ラ イブにインス トールする場合には、 このディ レク ト リ を定義しておかないと、 ド ライブのルート ディレク ト リにインス トールされるよ うに指定されてしまいます。

    この問題を回避するには、 ネッ ト ワーク ディ レク ト リの下にインス トール ディ レク ト リ \Xilinx を作成します (例 :N:\Xilinx)。

    Windows 7 のデフォルトのセキュ リ テ ィ レベルのままでは、 管理者と して割り当てたネッ ト ワーク ド ラ イブを選択するこ とができません。ザイ リ ンクス デザイン ツールを割り当てたネッ ト ワーク ド ラ イブにインス トールするには、次の手順でアカウン ト制御設定を変更する必要があ り ます。

    Send Feedback

    http://japan.xilinx.comhttp://www.xilinx.com/about/feedback.html?docType=Release_Notes&docId=973&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%20%3A%20%26%2312522%3B%26%2312522%3B%26%2312540%3B%26%2312473%3B%20%26%2312494%3B%26%2312540%3B%26%2312488%3B%26%2312289%3B%26%2312452%3B%26%2312531%3B%26%2312473%3B%26%2312488