bluespec @waseda

Download Bluespec @waseda

If you can't read please download the document

Upload: takefumi-miyoshi

Post on 30-Jun-2015

405 views

Category:

Documents


1 download

TRANSCRIPT

Bluespec

2010.08.31

Bluespec

RTL

Verilog

/

Bluespec??

Bluespec

C/C++/SystemC

Verilog HDL/

Bluespec

Bluespec

Bluespec

interface BubSort_IFC;method Action start(Vector#(5, int) a);method Vector#(5, int) result();endinterface

(* execution_order ="disp, fin" *)(* preempts ="(swap_3, swap_2, swap_1, swap), fin" *)(* synthesize *)module mkBubSort (BubSort_IFC);Vector#(5, Reg#(int)) x