vhdl 语言 pwm 风扇等精度测速装置的实现 ·...

4
VHDL 语言 PWM 风扇等精度测速装置的实现 李贵子 1 2 1 2 1. 甘肃省机械产品检测与技术评价重点实验室,甘肃兰州 7300302. 甘肃省机械科学研究院,甘肃兰州 730030 针对 PWM 风扇性能评价中对高精度、智能化的要求,利用 VHDL 语言的复杂系统可编程逻辑器件 CPLD,发一种等精度自适应小型风扇转速测量系统。该系统采用 VHDL 语言编写程序,选用 Quartus II 作为开发平台,并给 出系统的软硬件方案。通过仿真试验表明:系统测量工作可靠,有良好的测量精度、灵敏度、分辨率,便于现场测试。 关键词VHDL 语言; PWM 风扇;等精度测速 中图分类号TM925.11TM930.114TP274 + .2TP302.7 文献标志码A 文章编号1674-5124201304-0109-04 PWM fan equal-precision speed measurement device based on VHDL language LI Gui-zi 12 WANG Ji 1 2 1. Key Laboratory of Mechanical Product Testing and Technical EvaluationGansu ProvinceLanzhou 730030China2. Gansu Academy of Mechanical SciencesLanzhou 730030China Abstract: According to the requirements of high precision and intelligentialize in the process of PWM fan performance evaluationcomplex programmable logic device CPLD of VHDL language was used to develop an equal -precision and self adaptive measurement system to measure the speed of small fan. The system use VHDL language to programselect Quartus II as the development platform with solution for hardware and software of the system. The simulation and experiment show the measurement of system is reliable and the measurement precisionsensitivity and resolution ratio are excellent. Therefore the system is convenient for field test. Key words: VHDLPWM fanprecision measurement 收稿日期2012-10-18收到修改稿日期2012-12-24 作者简介:李贵子( 1979-),男,甘肃秦安县人,工程师,硕 士,主要从事智能检测与故障诊断工作。 0 随着电子、机械装置可靠性水平的提升, PWM 控制小型直流散热风扇(以下简称风扇)在加速发 展。风扇性能测试主要对风扇微型直流电机的电流 和转速进行测试。主要通过给风扇提供多种占空比 PWM 控制信号,在不同的占空比下分别测试风扇 的电流和转速。在测量转速方面,闪频测试仪是目前 风扇高精度转速测量普遍采用的仪器,更为传统的 测试方法需采用多台通用仪器,即用信号发生器提 供风扇所需的 PWM 信号,通过电阻采样风扇的电 流,使用光电感应的方法测转速,通过示波器观察波 形。对自动化流水线来说,采用以上两种方法,每个 测试工位都要配置测试员和整套测试仪器,使得成 本升高、测试效率降低 [1] 。针对这种状况,研制了基于 VHDL 语言的高精度新型直流风扇自动测试系统。 该系统给风扇提供各种占空比的 PWM 控制信号,通 FPGA 技术和等精度测量原理提高测量的精度, 借助 FPGA 器件内部逻辑单元的逻辑功能以及各模 块之间或模块与 I/O 间的联接方式,计算出风扇的转 速及其他参数,通过 CAN 总线送到上位机保存和分 析。此系统的测试理论准确度可达依1 r/s,可同时测 试多个风扇,实现直流风扇的在线测量 [2] ,提高测量 精度和测试效率。本文在整体测试系统的基础上,重 点介绍基于 VHDL 语言的 PWM 风扇等精度测速原 理及实现。 中国测试 CHINA MEASUREMENT & TEST Vol.39 No.4 July2013 39 卷第 4 2013 7

Upload: others

Post on 23-Apr-2020

7 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: VHDL 语言 PWM 风扇等精度测速装置的实现 · 双向精密电流传感放大器max471,其内置35m赘的 精密传感电阻,可测量的电流范围是依3a,其响应时

VHDL语言 PWM 风扇等精度测速装置的实现

李贵子 1袁2袁 王 季 1袁2(1. 甘肃省机械产品检测与技术评价重点实验室,甘肃 兰州 730030;2. 甘肃省机械科学研究院,甘肃 兰州 730030)

摘 要院针对 PWM 风扇性能评价中对高精度、智能化的要求,利用 VHDL 语言的复杂系统可编程逻辑器件 CPLD,开发一种等精度自适应小型风扇转速测量系统。该系统采用 VHDL 语言编写程序,选用 Quartus II 作为开发平台,并给

出系统的软硬件方案。通过仿真试验表明:系统测量工作可靠,有良好的测量精度、灵敏度、分辨率,便于现场测试。

关键词院VHDL 语言;PWM 风扇;等精度测速

中图分类号院TM925.11曰TM930.114曰TP274+.2曰TP302.7 文献标志码院A 文章编号院1674-5124渊2013冤04-0109-04

PWM fan equal-precision speed measurement device based on VHDL language

LI Gui-zi1,2,WANG Ji1,2(1. Key Laboratory of Mechanical Product Testing and Technical Evaluation,Gansu Province,

Lanzhou 730030,China;2. Gansu Academy of Mechanical Sciences,Lanzhou 730030,China)

Abstract: According to the requirements of high precision and intelligentialize in the process ofPWM fan performance evaluation,complex programmable logic device CPLD of VHDL languagewas used to develop an equal -precision and self adaptive measurement system to measure thespeed of small fan. The system use VHDL language to program, select Quartus II as thedevelopment platform with solution for hardware and software of the system. The simulation andexperiment show the measurement of system is reliable and the measurement precision,sensitivityand resolution ratio are excellent. Therefore the system is convenient for field test.Key words: VHDL;PWM fan;precision measurement

收稿日期院2012-10-18曰收到修改稿日期院2012-12-24作者简介:李贵子(1979-),男,甘肃秦安县人,工程师,硕

士,主要从事智能检测与故障诊断工作。

0 引 言随着电子、机械装置可靠性水平的提升,PWM

控制小型直流散热风扇(以下简称风扇)在加速发

展。风扇性能测试主要对风扇微型直流电机的电流

和转速进行测试。主要通过给风扇提供多种占空比

的 PWM 控制信号,在不同的占空比下分别测试风扇

的电流和转速。在测量转速方面,闪频测试仪是目前

风扇高精度转速测量普遍采用的仪器,更为传统的

测试方法需采用多台通用仪器,即用信号发生器提

供风扇所需的 PWM 信号,通过电阻采样风扇的电

流,使用光电感应的方法测转速,通过示波器观察波

形。对自动化流水线来说,采用以上两种方法,每个

测试工位都要配置测试员和整套测试仪器,使得成

本升高、测试效率降低[1]。针对这种状况,研制了基于

VHDL 语言的高精度新型直流风扇自动测试系统。

该系统给风扇提供各种占空比的 PWM 控制信号,通

过 FPGA 技术和等精度测量原理提高测量的精度,

借助 FPGA 器件内部逻辑单元的逻辑功能以及各模

块之间或模块与 I/O 间的联接方式,计算出风扇的转

速及其他参数,通过 CAN 总线送到上位机保存和分

析。此系统的测试理论准确度可达依1 r/s,可同时测

试多个风扇,实现直流风扇的在线测量 [2],提高测量

精度和测试效率。本文在整体测试系统的基础上,重

点介绍基于 VHDL 语言的 PWM 风扇等精度测速原

理及实现。

中国测试CHINA MEASUREMENT & TEST Vol.39 No.4July,2013第 39 卷第 4 期2013 年 7 月

Page 2: VHDL 语言 PWM 风扇等精度测速装置的实现 · 双向精密电流传感放大器max471,其内置35m赘的 精密传感电阻,可测量的电流范围是依3a,其响应时

中国测试 2013 年 7 月中国测试

1 PWM 风扇工作原理本文以图 1 所示的 PWM 驱动的风扇为例,进

行分析,构建 PWM 风扇等精度测速原理的系统图,

PWM 风扇接口线有 3 线和 4 线,测试转速时 ,主要

采用其中的 TO CLOCK THROTTLE 信号线采集风

扇的转速信号。

2 PWM 风扇测试系统工作原理PWM 风扇测试系统包括风扇接口线路与装置,

测试信号预处理与控制模块、数据采集卡及数据分析

系统。测试系统在开始测试时,将当前测试的 PWM波

的频率和占空比经过信号预处理后传送给所述数据

采集控制模块的可编程门阵列 FPGA,可编程门阵列

根据当前测试点 PWM 波的频率和占空比产生高频

率的 PWM 波,对待测 PWM 风扇进行转速控制,上位

机发出的数据采集指令,信号采集电路通过风扇接

口板采集 PWM 风扇不同占空比的转速信号,并通过

双向精密电流传感放大器 MAX471 采集待测 PWM风扇的电流信号,该电流信号经过 A/D 转换后,与

不同占空比下的风扇转速信号一起传送给 FPGA模块;FPGA 模块对不同占空比的转速信号进行等

精度处理后和电流信号传给上位机和直接显示装

置,计算机对该数字信号进行误差处理和补偿后,

依据系统可建制的风扇样品参数、电流波形高低

比的实际值和电流波形宽窄比的实际值进行比

较,判断其优劣和其他性能指标是否满足。系统原

理如图 2 所示。

3 PWM 风扇转速测试信号处理在本系统预处理中,采用了美国 MAXIM 公司的

双向精密电流传感放大器 MAX471,其内置 35m赘 的

精密传感电阻,可测量的电流范围是依3 A,其响应时

间、精度高漂移等指标均很理想,可以适应 3 A 以内

的各种规格直流风扇的电流测量,MAX471 的原理

框图如图 3 所示。电流信号通过 RS+和 RS-输入到

MAX471 的采样电阻进行采样,采样电阻是一个阻值

较小的电阻,串联在电路中用于把电流转换为电压

信号进行测量。用以检测电路的电流,在实际的电路

中是与负载电阻串联的。采样电阻一般使用的都是

精密电阻,阻值低,精密度高,一般在阻值精密度

在依1%以内,更高要求的用途时会采用 0.01%精度

的电阻。MAX471 通过一个 2 k赘 的输出电阻将电流

转换成 0耀3V 的电压信号,直接送到 A/D 转换器中。

TO CLOCK THROTTLE 信号是从风扇直接输出的

一串方波信号,其频率和风扇的转速成正比,用于检

测风扇的转换[1]。TO CLOCK THROTTLE 信号是电

压信号,经电阻 1 分压转换到 0耀3 V 内,然后经过一

个电压跟随器传送到 A/D 输入端。

波形经预处理后的电流信号如图 4 所示,电压

信号如图 5 所示。

图 2 PWM 风扇测试系统工作原理

风扇多测试接口 风扇信号预处理

标准时钟FPGA模块

显示装置计算机系统

图 1 PWM 驱动的风扇原理图

HIGH-SPEED CHIPSDR SDL

DXNDXP

2 200pF

1滋F

VFAN5k赘

+3.3V

TO CLOCKTHROTTLE

+3.3V

+3.3V

CRIT0+3.3VCRIT1

FAN FAILSMCLKSMB DATAALERTTHERM

PWMOUT TACHINT0SMBusMASTER

T0 SYSTEM SHUTDOWN

图 3 MAX471 的原理框图

RS+ 2袁3 6袁7RS-

+ -A1+ -A2

Q1

Rg1 Rg2

Rscnse

Q2

+-COMP

GND

2k赘

S OUTS SIGN

图 4 TO CLOCK THROTTLE 预处理后的电流信号波形

110

Page 3: VHDL 语言 PWM 风扇等精度测速装置的实现 · 双向精密电流传感放大器max471,其内置35m赘的 精密传感电阻,可测量的电流范围是依3a,其响应时

第 39 卷第 4 期

4 PWM风扇等进度测速原理及 FPGA实现4.1 等精度测量原理及误差分析

等精度测频方法[3]是在直接测频方法的基础上

发展起来的。它的闸门时间不是固定值,而是被测信

号周期的整数倍,即与被测信号同步。因此,除了在

被测信号计数产生依1 个字误差,已达到了在整个测

试频段的等精度测量[2],其测频原理如图 6 所示。在

测量过程中,有两个计数器分别对标准信号和被测

信号同时计数。首先给出闸门开启信号(预置闸门上

升沿),此时计数器并不开始计数,而是等到被测信

号的上升沿到来时,计数器才真正开始计数。然后预

置闸门关闭信号(下降沿)时,计数器并不立即停止

计数,而是等到被测信号的上升沿到来时才结束计

数,完成一次测量过程。可以看出,实际闸门时间 t与预置闸门时间 t1 并不严格相等,但差值不超过被测

信号的一个周期。

设在一次实际闸门时间 t 中计数器对被测信号

的计数值为 Nx,对标准信号的计数值为 Ns。标准信

号的频率为 fx,则被测信号的频率为

f=(Nx /Ns)伊 fx (1)等精度测频的实现方法[4-9]可简化为图 7 所示。

CNT1 和 CNT2 是两个可控计数器,标准频率信号从

CNT1 的时钟输入端 CLK 输入;经整形后的被测信号

从 CNT2 的时钟输入端 CLK 输入。当预置门控信号

为高电平时,经整形后的被测信号的上升沿通过 D触发器的 Q 端同时启动 CNT1 和 CNT2。CNT1、CNT2 同时对标准频率信号和经整形后的被测信号

进行计数,分别为 Ns 与 Nx。当预置门信号为低电平

时,而后来的被测信号的上升沿将使两个计数器同

时关闭,所测得的频率为(Fs /Fn)伊Nx。则等精度测量

方法测量精度与预置门宽度的标准频率有关,与被

测信号的频率无关。在预置门时间和常规测频闸门

时间相同而被测信号频率不同的情况下,等精度测

量法的测量精度不变。

4.2 误差分析

由式(1)可知,若忽略标频 fs 的误差,则等精度

测频可能产生的相对误差为

啄=( fx- fxe / fxe)伊100% (2)其中 fxe 为被测信号频率的准确值。在测量中,

由于 fx 计数的起停时间都是由该信号的上升沿触发

的,在闸门时间 t 内对 fx 的计数Nx 无误差(t=Nx伊Tx);

对 fs 的计数 Ns 最多相差一个数的误差,即|驻Ns|臆1,其测量频率如式:

fxe=[Nx /(Ns+驻Ns)]伊 fx (3)将式(1)和式(2)代入式(3),并整理如式:

啄= |驻Ns | /Ns臆1/Ns=1/(t伊 fx) (4)由式(4)可以看出,测量频率的相对误差与被测

信号频率的大小无关,仅与闸门时间和标准信号频

率有关,即实现了整个测试频段的等精度测量。闸门

时间越长,标准频率越高,测频的相对误差就越小[4]。标准频率可由稳定度好、精度高的高频率晶体振荡

器产生,在保证测量精度不变的前提下,提高标准信

号频率,可使闸门时间缩短,即提高测试速度。

4.3 PWM 风扇等进度测速原理 FPGA 实现

PWM 驱动的风扇,其转速的核心思想在于以 TOCLOCK THROTTLE 信号经过转换盒整形以后的方

波信号的上升沿作为开启门闸和关闭门闸的驱动信

号,只有在被测信号的上升沿才将图 3 中预置的“软

件闸门”的状态锁存,因此在实际闸门 Tx 内被测信

号的个数就能保证整数个周期,这样就避免普通测

图 5 TO CLOCK THROTTLE 预处理后的电压信号波形

图 6 等精度测频原理波形图

t1-预置闸门 t-实际闸门 Ns-标准闸门 Nx-被测闸门

t1

t

Nx

Ns

图 7 等精度测频实现原理图

CNT1OUT1CLK

CEN

CNT2OUT2

CLKCEN

标准频率信号

预置闸门信号

被测信号

D Q

李贵子等:VHDL 语言 PWM 风扇等精度测速装置的实现 111

Page 4: VHDL 语言 PWM 风扇等精度测速装置的实现 · 双向精密电流传感放大器max471,其内置35m赘的 精密传感电阻,可测量的电流范围是依3a,其响应时

中国测试 2013 年 7 月中国测试

量方法中被测信号的依1 的误差,但会产生高频的标

准频率信号的依1 周期误差,因为周期与风扇转速成

正比,计算出的风扇转速相较于传统的风扇转速测

量方法,可以大大提高测量精度。

5 PWM风扇等精度测速系统的仿真仿真使用 Quartus II 软件,该软件支持 VHDL

语言,对 FPGA 模块编译后,其时序仿真波形如图 5所示,在 TF=0,EEND=1 时,在 FSTD,FINPUT 端口

有稳定的数据输出,并且可以设置其周期比为 1/2。同时测得不同闸门时间内对标准时钟信号和被测

信号的脉冲计数值,可以看出一直保持 1/2 的关系,

符合系统要求的等精度原理公式计算。在输入标准

频率信号时,由此可得被测信号的频率值。进而计算

出所测转速。由图 9 可看出,基本在软件上实现了

测试功能,在整个频率测量范围内,精度恒定,实现

了等精度测量。

6 PWM风扇等精度测速系统验证取 20PCS 风扇,通过闪频测速仪(DT2239B)和

基于 VHDL 语言的 PWM 风扇等精度测速装置上进

行对比验证,闪频测速仪测试数据用 A i 表示,本文

研究装置测试数据用 Bi(i=1,2…)表示,测试数据见

表 1。由表 1可知,该测试装置具有很高的测试精度和

稳定性。

7 结束语实践证明,利用 VHDL 语言和复杂系统可编程

逻辑器件 CPLD,开发了等精度测量小型风扇转速的

便携式测量系统,通过实验表明:系统测量工作可

靠,有良好的测量精度、灵敏度、分辨率,便于现场测

试。实现了直流风扇的在线测量及测量精度,提高了

测试效率,适合风扇电气性能评价和生产线自动化

测量。

参考文献[1] 吴翔,王思华. 基于 DSP 的小型直流风扇自动化测试

系统[J]. 电子技术应用,2005(7):24-26.[2] 宋健,程继兴. 多通道高精度转速测量方法[J]. 工业仪表

与自动化装置,2005(6):60-61.[3] 唐亚平. 基于 FPGA 与 DSP 的等精度数字频率计设计[J].

微计算机信息,2007(2):249-250.[4] 包明,赵明富,郭建华.基于 FPGA 的高速高精度频率测量

的研究[J]. 单片机与嵌入式系统应用,2003(2):31-33.[5] 陈明杰. 利用 CPLD 设计高速等精度频率测量仪[J]. 重庆

工商大学学报,2004(4):342-344.[6] 毛智德,李善伟. 基于 FPGA 的等精度频率计设计[J]. 可

编程器件应用,2006(8):85-86.[7] 西峰.全同步数字频率测量方法的研究[J]. 现代电子技术,

2005(8):76-79.[8] Ashenden P J. VHDL 设计指南[M]. 葛红,黄河,吴继明,

译. 北京:机械工业出版社,2005:10-11.[9] 求是科技. CPLD/FPGA应用开发技术与工程实践[M].北京:

人民邮电出版社,2005:387-419.

A i / 渊r窑s-1冤 4 320 4 380 4 120 4 350Bi / 渊r窑s-1冤 4 324 4 385 4 123 4 351A i / 渊r窑s-1冤 5 837 5 942 5 736 5 433Bi / 渊r窑s-1冤 5 831 5 953 5 732 5 423A i / 渊r窑s-1冤 3 212 3 310 3 289 3 442Bi / 渊r窑s-1冤 3 210 3 309 3 293 3 429

表 1 测试装置对比验证测试数据表

图 8 PWM 风扇等精度测速原理

DATA

Direct

GATE

Ad

DQD触发器

CLR

GATE

被测风扇信号

CLR

基准时钟信号 CNT1_CLK CNT1CNT1_ENA

CLR Count1咱31...0暂

CLR Count2咱31...0暂

CNT2_CLKCNT1CNT2_ENACLR

图 9 PWM 风扇等精度测速系统的仿真

3.0滋sValue:A

Name:TFSTARTFSTDFINPUTCLR/TRIGCHOICECHEKFENDEEND

00

00

000

1

1

3.2滋s 3.4滋s 3.6滋s 3.8滋s 4.0滋s 4.2滋s

112