vivado design suite...vivado design suite ユーザー ガイド システム レベル...

78
Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 10 9 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま す。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の 上、最新情報につきましては、必ず最新英語版をご参照ください。 該当するソフトウェア バージョン : Vivado Design Suite 2014.3 ~ 2014.4

Upload: others

Post on 01-Feb-2020

3 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

Vivado Design Suite ユーザー ガイド

システム レベル デザイン入力

UG895 (v2014.3) 2014 年 10 月 9 日

本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきましては、必ず最新英語版をご参照ください。

該当するソフトウェア バージョン : Vivado Design Suite 2014.3 ~ 2014.4

Page 2: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

システム レベル デザイン入力 japan.xilinx.com 2UG895 (v2014.3) 2014 年 10 月 9 日

改訂履歴

次の表に、 この文書の改訂履歴を示します。

日付 バージョ ン 改訂内容

2014 年 10 月 9 日 2014.3 目次をアップデート、 7 ページ目の注記を削除

2014 年 10 月 1 日 2014.3 第 2 章 「プロジェク トの操作」 および 第 3 章 「ソース ファ イルの操作」 の図と内容をアップデート

2014 年 4 月 2 日 2014.1 第 2 章 「プロジェク トの操作」 : 「Vivado Design Suite ボード フローの使用」 を追加、 「ソースの制御システム」に『UltraFast 設計手法 (Vivado Design Suite 用)』 (UG949) および「Vivado DesignSuite ビデオ チュート リ アル : b あージ ョ ン管理の概要」へのリ ンクを追加、「[General] ページ」をアップデート

第 3 章 「ソース ファ イルの操作」 : Tcl コマンドの get_files および read_edif に関する情報を追加、 [Sources] ビューのアイコン情報を削除、 「制約の操作」 にタイ ミ ング制約ウ ィザードに関する情報を追加、 「IP ソースの操作」 の XCI ファ イル情報をアップデート、 XPS のの情報をアップデー ト、 「IP インテグレーターのソースの操作」 の[Mismatched Parts] ダイアログボッ クスへの参照を削除、 エンベデッ ド ソースに関するセクシ ョ ンを削除、 「非プロジェク トモードでのソースの操作」 をアップデート、 章全体の構成を変更

第 4 章 「RTL デザインのエラボレーシ ョ ン」 : 「DRC ルールの選択」 に timing_checks ルールデッ クがエラボレート済みデザインではサポート されないこ とを示す注記を追加し、 「DRC 違反の解析」 をアップデート

図 2-2、図 2-3、図 2-4、図 2-10、図 2-15、図 2-16、図 2-17、図 3-1、図 3-2、図 3-6、図 3-13、図 3-14、図 3-15、 図 3-16、 図 3-21、 図 4-1、 図 4-3、 図 4-4、 および 図 4-5 をアップデート

Page 3: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

システム レベル デザイン入力 japan.xilinx.com 3UG895 (v2014.3) 2014 年 10 月 9 日

目次

第 1章 : 概要概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4

Vivado Design Suite の起動 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4

第 2章 : プロジェク トの操作概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

プロジェク ト タイプ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

プロジェク トの作成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9

プロジェク トの管理 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24

プロジェク ト サマリの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28

プロジェク ト設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30

Tcl スク リプ ト を使用したプロジェク トの作成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37

第 3章 : ソース ファイルの操作概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39

デザイン ソースの操作 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39

制約の操作 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45

シ ミ ュレーシ ョ ン ソースの操作 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52

IP ソースの操作 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54

IP インテグレーターのソースの操作 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56

DSP ソースの操作 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57

ソース ファ イルの編集 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60

非プロジェク ト モードでのソースの操作 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65

第 4章 : RTL デザインのエラボレーシ ョ ン概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68

プロジェク ト モードでのデザインのエラボレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68

非プロジェク ト モードでのデザインのエラボレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75

第 5章 : デザインのデバッグ概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76

RTL レベルのデザイン シ ミ ュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76

インシステム デバッグ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76

付録 A : その他のソースおよび法的通知ザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77

ソ リ ューシ ョ ン センター . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77

参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77

法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78

Page 4: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 1章

概要

概要Vivado® Design Suite では、レジスタ ト ランスファー レベル (RTL) を作成する とビッ ト ス ト リームまで生成できます。システム レベル デザイン入力には、 プロジェク トの作成、 ソース ファ イルの作成および追加、 RTL デザインのエラボレート、 デバッグ情報の挿入およびコンフ ィギュレーシ ョ ンなど、 デザインの設定が含まれます。 デザインはグラフ ィカル ユーザー インターフェイス (GUI) である Vivado IDE (Integrated Design Environment) または Tcl コマンド /スクリプ ト を使用して入力できます。

Vivado Design Suite の起動Vivado Design Suite は、 さまざまな方法で起動できます。 たとえば、 非プロジェク ト モード と呼ばれる Tcl スク リプト ベースのコンパイル方法を使用して、 ソースおよびデザイン プロセスをユーザーが自分で管理できます。 または、プロジェク ト モード と呼ばれるプロジェク ト ベースの方法を使用して、 プロジェク トおよびプロジェク ト ステータスによ りデザイン プロセスおよびデザイン データが自動的に管理されるよ うにするこ と もできます。 どちらの方法でも Tcl スク リプ トのバッチ モードで実行できるほか、 Vivado IDE でインタラ クティブに実行できます。 さまざまなデザイン フロー モードの詳細は、 『Vivado Design Suite ユーザー ガイ ド :デザイン フローの概要』 (UG892) [参照 1] を参照してください。

注記 : インス トール、ライセンスおよびリ リース情報については、『Vivado Design Suite ユーザー ガイ ド : リ リース ノート、 インス トールおよびライセンス』 (UG973)[参照 2] を参照してください。

Tcl の使用

Tcl を直接使用する場合は、 Tcl コマンドで次のいずれかの方法を使用してデザインを処理します。

• Vivado IDE の外の Vivado Design Suite Tcl シェルに Tcl コマンドを入力します。

• Vivado IDE の一番下の Tcl コンソールに Tcl コマンドを入力します。

• Vivado Design Suite Tcl シェルから Tcl スク リプ ト を実行します。

• Vivado IDE から Tcl スク リプ ト を実行します。

Tcl および Tcl スク リプ トに関する情報は、『Vivado Design Suite ユーザー ガイ ド : Tcl スク リプ ト機能の使用』 (UG894)[参照 3] および 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 4] を参照してください。Vivadoツールでの Tcl の使用方法の詳細については、『Vivado Design Suite チュート リ アル : デザイン フローの概要』 (UG888)[参照 5] を参照して ください。

システム レベル デザイン入力 japan.xilinx.com 4UG895 (v2014.3) 2014 年 10 月 9 日

Page 5: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 1 章 : 概要

Vivado Design Suite Tcl シェルの起動

Linux コマンド プロンプ ト または Windows のコマンド プロンプ トで次のコマンドを使用して、Vivado Design Suite Tclシェルを起動します。

vivado -mode tcl

注記 : Windows の場合、[スタート ] → [すべてのプログラム] → [Xilinx Design Tools] → [Vivado 2014.x] → [Vivado 2014.xTcl Shell] をク リ ッ ク しても起動できます。

バッチ Tcl スクリプ ト を使用した Vivado ツールの起動

Vivado ツールはを起動したと きに Tcl スク リプ ト を提供しておく と、 バッチ モードで使用できます。 Linux コマンドプロンプ ト または Windows のコマンド プロンプ トで次のコマンドを使用します。

vivado -mode batch -source <your_Tcl_script>

注記 :バッチ モードの場合、 指定したスク リプ トが実行されてから Vivado ツールが閉じます。

Vivado IDE の使用

GUI を使用する場合は、 Windows または Linux から Vivado IDE を起動します。 Vivado IDE の詳細は、 『Vivado DesignSuite ユーザー ガイ ド : Vivado IDE の使用』 (UG893) [参照 6] を参照してください。

推奨 : Vivado IDE は作業ディ レク ト リ から起動して ください。 これによ り、 起動ディ レク ト リ に書き込まれるプロジェク ト ファ イル、 ログ ファ イル、 ジャーナル ファ イルが見つけやすくな り ます。

Windows での Vivado IDE の起動

[スタート ] → [すべてのプログラム] → [Xilinx Design Tools] → [Vivado 2014.x] → [Vivado 2014.x] をク リ ッ ク します。

注記 : または、 デスク ト ップの Vivado IDE のシ ョート カッ ト をダブルク リ ッ ク します。

ヒン ト : 作業ホルダーは、 Vivado IDE のシ ョート カッ ト アイコンを右ク リ ッ ク して [プロパティ ] をク リ ッ クする とアップデートできます。これによ り、起動ディ レク ト リに書き込まれるプロジェク ト ファ イル、ログ ファ イル、ジャーナル ファ イルが見つけやすくな り ます。 ログ ファ イルとジャーナル ファ イルのデフォルト ディ レク ト リについては、 『Vivado Design Suite ユーザー ガイ ド : Vivado IDE の使用』 (UG893) [参照 6] の付録 A の出力ファイルを参照してください。

Windows または Linux のコマンド  ラインからの Vivado IDE の起動

Linux または Windows コマンド ラインから Vivado Design Suite を起動するには、まずツールがローカル マシンで実行されるよ うに設定する必要があ り ます。 settings64.bat または settings32.bat を使用して Vivado ツールをPATH に追加し、 XILINX_VIVADO 環境変数がソフ ト ウェア インス トール ディ レク ト リ を指定するよ うに定義します。

ツールを設定したら、 コマンド プロンプ トに次のコマンドを入力します。

vivado

X-Ref Target - Figure 1-1

図 1‐1 : Vivado IDE デスク ト ップ アイコン

システム レベル デザイン入力 japan.xilinx.com 5UG895 (v2014.3) 2014 年 10 月 9 日

Page 6: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 1 章 : 概要

注記 : このコマンドを入力する と、 自動的に vivado -mode gui が実行され、 Vivado IDE が起動されます。 ヘルプが必要な場合は、 「vivado -help」 と入力します。

Vivado Design Suite の Tcl シェルからの Vivado IDE の起動

Vivado Design Suite が Tcl モードで実行されている場合は、次のコマンドを Tcl コマンド プロンプ トに入力して、VivadoIDE を起動します。

start_gui

システム レベル デザイン入力 japan.xilinx.com 6UG895 (v2014.3) 2014 年 10 月 9 日

Page 7: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2章

プロジェク トの操作

概要プロジェク ト モードで操作する場合は、 さまざまなプロジェク ト タイプを使用してデザインを入力できます。 本章では、 各プロジェ ク ト タ イプとそのプロジェク トの作成および管理方法について説明します。 また、 プロジェク トサマ リ、 プロジェク ト設定のほか、 Tcl スク リプ ト を使用したプロジェク トの作成方法についても説明します。

プロジェク ト  タイプVivado® Design Suite を使用する と、 次のプロジェク ト タイプを作成できます。 各プロジェク ト タイプの入力ソースタイプは異なり ます。

• レジスタ ト ランスファー レベル (RTL) プロジェク ト

• 合成後のプロジェク ト

• I/O プラニング プロジェク ト

• インポート プロジェク ト

注記 : プロジェク トは、 作成後は別のプロジェク ト タイプに変更できません。 例外は I/O プラニングプロジェク トのみで、 このプロジェク トは RTL プロジェク トの基礎と して使用するこ とができます。

RTL プロジェク ト

Vivado IDE を使用する と、 RTL の作成からビッ ト ス ト リームの生成まで、 デザイン フロー全体を管理できます。 追加できるソースは、 RTL ソース ファ イル、 ザイ リ ンクス IP カタログからの IP、 階層モジュール用の EDIF ネッ ト リス ト 、 Vivado IP インテグレーターで作成されたブロ ッ ク デザイン、 デジタル信号処理 (DSP) ソースです。 IP には、Vivado ツールで生成された XCI ファ イル、CORE Generator™ ツールで生成されたレガシ XCO ファ イル、およびコンパイル済みの EDIF または NGC 形式の IP ネッ ト リ ス ト を含めるこ とができます。

注記 : ISE の IP は、 7 シ リーズ デバイスでのみサポート されます。 ISE フォーマッ ト IP (.ngc) は UltraScale デザインではサポート されなくなっています。 こ ういった IP は、UltraScale デザインを開始する前にネイティブ Vivado 形式に移行しておく必要があ り ます。

RTL をエラボレート して解析し、 構文が正しいこ とを確認したら、 さまざまな合成やインプリ メンテーシ ョ ン run を実行および管理し、デザインと実行結果を解析できます。 また、 タイ ミ ング ク ロージャーを達成するため、 さまざまな制約やインプ リ メンテーシ ョ ン ス ト ラテジを試すこ と もできます。

合成後のプロジェク ト

合成後のネッ ト リ ス ト を使用してプロジェク ト を作成するこ とができます。 ネッ ト リ ス トは、 Vivado IDE、 XST、 サポー ト されているサードパーテ ィの合成ツールを使用して作成できます。 Vivado IDE には、 EDIF、 NGC、 構造型

システム レベル デザイン入力 japan.xilinx.com 7UG895 (v2014.3) 2014 年 10 月 9 日

Page 8: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : プロジェク トの操作

SystemVerilog、 構造型 Verilog 形式のネッ ト リ ス ト 、 Vivado デザイン チェッ クポイン ト (DCP) ファ イルをインポートできます。 ネッ ト リ ス トは、 1 つのファイルにまとめられているか、 複数のモジュール レベルのネッ ト リ ス トから構成される階層構造になっています。

ロジッ ク ネッ ト リ ス ト を解析およびシ ミ ュレーシ ョ ンし、さまざまなインプリ メンテーシ ョ ン run を実行および管理し、配置配線済みのデザインの結果を解析できます。 また、 さまざまな制約やインプリ メンテーシ ョ ン ス ト ラテジを試すこ と もできます。

注記 : ISE の IP は、 7 シ リーズ デバイスでのみサポート されます。 ISE フォーマッ トの IP (.ngc) は UltraScale デザインではサポート されなくなっています。 こ ういった IP は、UltraScale デザインを開始する前にネイティブ Vivado 形式に移行しておく必要があ り ます。

注記 : エンベデッ ド タイ ミ ング制約を含む NGC または EDIF ファ イルをインポートする と、 その制約は使用されません。 ザイ リ ンクス® デザイン制約 (XDC) ファ イルの詳細は、 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』(UG903) [参照 7] を参照してください。ユーザー制約ファイル (UCF) を XDC 制約に変換する方法については、『VivadoDesign Suite : ISE から Vivado Design Suite への移行手法ガイ ド』 (UG911) [参照 8] を参照して ください。

I/O プラニング プロジェク ト

空の I/O プラニング プロジェク ト を作成する と、 デザイン サイ クルの初期段階でクロ ッ ク リ ソース と I/O プラニングを実行できます。 I/O ポートは Vivado IDE 内で定義できますが、 CSV または XDC 入力ファイルのいずれかの形式でインポートするこ と もできます。 空の I/O プラニング プロジェク ト を作成して、 別のデバイス アーキテクチャで使用可能なロジッ ク リ ソースを確認するこ と もできます。

I/O を割り当てた後、 Vivado IDE で CSV、 XDC、 および RTL 出力ファ イルを作成できます。 このファ イルは、 RTLソースまたはネッ ト リ ス ト が使用可能になってから、 デザイン フローの後の段階で使用します。 この出力ファ イルは、 プ リ ン ト回路基板 (PCB) デザイン プロセスで使用する回路図シンボルの作成にも使用できます。

注記 : I/O プラニング プロジェ ク ト は、 RTL ベースのデザイン プロジェ ク ト の基礎と して使用できます。 詳細は、『Vivado Design Suite ユーザー ガイ ド : I/O およびクロ ッ クのプラニング』 (UG899) [参照 9] の「RTL デザインへの変換」セクシ ョ ンを参照してください。

インポート  プロジェク ト

Synopsys Synplify、XST、 または ISE® Design Suite プロジェク トからの RTL プロジェク ト データは、Vivado ツールのプロジェク トにインポートできます。 プロジェク トのソース ファ イルおよびコンパイル順はインポート されますが、インプ リ メンテーシ ョ ン結果およびプロジェク ト設定はインポート されません。

システム レベル デザイン入力 japan.xilinx.com 8UG895 (v2014.3) 2014 年 10 月 9 日

Page 9: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : プロジェク トの操作

プロジェク トの作成New Project ウ ィザードでは、 プロジェク ト名およびディ レク ト リの指定、 プロジェク トへのソース ファ イルと制約ファイルの追加、 ターゲッ ト デバイスの選択をウ ィザードに従って実行できます。

1. Vivado IDE で [File] → [New Project] をク リ ッ ク します。

注記 : または、 [New Project] ツールバー ボタン をク リ ッ ク します。 または、 Getting Started ページで [Createa New Project] のリ ンクをク リ ッ ク します。

2. New Project ウ ィザードで概要を確認し、 [Next] をク リ ッ ク します。

3. [Project Name] ページ (図 2-1) で次のオプシ ョ ンを設定し、 [Next] をク リ ッ ク します。

° [Project name] : プロジェク ト名を指定します (例 : project_1)。

° [Project location] : 新しいプロジェク トのディ レク ト リ を指定します。

° [Create Project Subdirectory] : プロジェク ト と同じ名前の下位ディレク ト リ を指定したプロジェク ト ディ レクト リに追加します。

注記 :チェ ッ ク ボ ッ ク スはデフ ォル ト ではオンになってお り、 プロ ジェ ク ト フ ァ イル (.xpr) が<project_location>/<project_name> に作成されます。 プロジェ ク ト で作成されたすべてのフォルダーおよびデータ ファイルは <project_name> ディ レク ト リに保存されます。オフにする と、プロジェクト ファ イル (.xpr) が <project_location> に作成され、 そのプロジェク トで作成されたすべてのフォルダーおよびデータ ファイルがそのディレク ト リに保存されます。

X-Ref Target - Figure 2-1

図 2‐1 : New Project ウィザード  : [Project Name] ページ

システム レベル デザイン入力 japan.xilinx.com 9UG895 (v2014.3) 2014 年 10 月 9 日

Page 10: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : プロジェク トの操作

4. [Project Type] ページ (図 2-2) でプロジェク ト タイプを指定し、プロジェク トに関連付けるソース ファ イルのタイプを決めます。

5. 作成するプロジェク ト タイプに応じて、次のセクシ ョ ンのいずれかの説明を参照してください。ウ ィザードの残りのページで、 プロジェク トに 適なソースを追加していきます。

° 「RTL プロジェク トの作成」

° 「合成後プロジェク トの作成」

° 「I/O プラニング プロジェク トの作成」

° 「外部プロジェク トのインポート 」

° 「プロジェク ト を作成する Tcl コマンド」

注記 :現在のインス トールで新しいパーツを選択するには、 まず現在のプロジェク ト を閉じて、 別のパーツを追加するよ うに現在のインス トールを追加します。

RTL プロジェク トの作成

RTL ソース ファ イルを指定してプロジェ ク ト を作成する と、 RTL ソース ファ イルを合成およびインプ リ メ ンテーシ ョ ンだけでなく、 RTL コード開発および解析でも使用できます。 RTL の開発および解析の詳細は、第 4 章 「RTL デザインのエラボレーシ ョ ン」 を参照してください。

1. 「プロジェク トの作成」 の手順に従ってプロジェク ト を作成します。

2. [Project Type] ページで [RTL Project] をオンにして、 [Next] をク リ ッ ク します。

注記 :必要であれば、 [Do not specify sources at this time] をオンにします。 これをオンにする と、 デザイン ソースを追加する手順を飛ばして、 ターゲッ ト パーツを選択してプロジェク ト を作成できます。

X-Ref Target - Figure 2-2

図 2‐2 : New Project ウィザード  : [Project Type] ページ

システム レベル デザイン入力 japan.xilinx.com 10UG895 (v2014.3) 2014 年 10 月 9 日

Page 11: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : プロジェク トの操作

3. [Add Sources] ページ (図 2-3) で次のオプシ ョ ンを設定し、 [Next] をク リ ッ ク します。

° [Add Files] : プロジェク トに追加するファイルを選択するためのファイル ブラウザーが表示されます。 RTLプロジェク トには、 Verilog、 VHDL、 SystemVerilog、 EDIF、 NGC、 BMM、 ELF およびその他のファイル タイプを追加できます。

注記 : [Add Source Files] ダイアログ ボッ クスでは、各ファイルまたはディ レク ト リがそれとわかるよ うなアイコンで表示されます。 小さい赤い四角は、 読み出し専用であるこ とを示します。

° [Add Directories] : 選択したディ レク ト リに含まれるすべてのファイルを追加します。 指定したディ レク ト リにある有効なソース ファイルがすべてプロジェク トに追加されます。

° [Create File] : VHDL、Verilog、Verilog ヘッダー、または SystemVerilog ファ イルを作成する [Create Source File]ダイアログ ボッ クスが開きます。 [Create Source File] ダイアログ ボッ クスで次のよ うにオプシ ョ ンを設定します。

- [File type] :Verilog ファ イル (.v)、 Verilog ヘッダー ファ イル (.vh)、 SystemVerilog ファ イル (.sv)、 VHDLファ イル (.vhdl) などのファイル形式のいずれかを指定します。

- [File name] : 新しい HDL ソース ファ イルの名前を指定します。

- [File location] : ファイルを作成するディレク ト リ を指定します。

注記 : ファ イルのプレースホルダーがソースの リ ス トに追加されます。 ファ イルは [Finish] をク リ ッ クすると作成されます。

° [Library] : ファ イルまたはディ レク ト リの RTL ライブラ リ を指定します。 ライブラ リ名は選択するか、[Library] テキス ト フ ィールドに新しいライブラ リ名を入力して指定します。

注記 : このオプシ ョ ンは、 VHDL ファ イルの場合のみ使用できます。 デフォルトでは、 HDL ソース ファ イルは xil_defaultLib ライブラ リに追加されます。 必要に応じて、 ユーザー VHDL ライブラ リ を作成し、参照できます。Verilog および SystemVerilog ファ イルの場合は、xil_defaultLib のままにしておいてください。

° [HDL Source for] : 読み込むソースが合成およびシ ミ ュレーシ ョ ン用の RTL ソース ファ イルであるか、 シミ ュレーシ ョ ンのみで使用する RTL テス トベンチであるかを指定します。

° [Delete] : 選択したソース ファ イルを削除します。

° [Move Selected File Up] : ファ イルまたはディ レク ト リ を リ ス トの上方向に移動します。 ファ イル順は、 合成やシ ミ ュレーシ ョ ンなどのダウンス ト リーム プロセスでのエラボレーシ ョ ンおよびコンパイルの順序に影響します。

° [Move Selected File Down] : ファ イルまたはディ レク ト リ を リ ス トの下方向に移動します。

° [Scan and Add RTL Include Files into Project] : すべての RTL ファ イルをスキャンし、 参照された Verilog の'include ファ イルをプロジェク ト構造にインポート します。

° [Copy Sources into Project] :元のファイルを参照するのではなく、追加されたソース ファ イルと インクルードファ イルをコピーしてローカル プロジェク ト ディ レク ト リに追加します。 [Add Directories] ボタンをク リ ック してソース ファ イルのディレク ト リ を追加した場合は、ファ イルがローカルのプロジェク トにコピーされる際にディ レク ト リ構造もそのまま保持されます。 詳細は、 第 3 章の 「リモート ソースの参照またはプロジェク ト ディレク ト リへのソースのコピー」 を参照してください。

° [Add Sources from Subdirectories] : [Add Directories] で指定したディ レク ト リのサブディ レク ト リに含まれるソース ファ イルをすべて追加します。

° [Target Language] : Verilog または VHDL のいずれかにデザインのターゲッ ト言語を指定します。 新しい RTFファ イルはデフォル ト で指定したターゲッ ト言語にな り ます。 指定したターゲッ ト言語でデザインの出力ファイルが生成されます。

° [Simulator language] : シ ミ ュレーシ ョ ン用に生成する出力ファイルの言語とサードパーティ スク リプ トに使用するファイル タイプを指定します。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 10] を参照してください。

システム レベル デザイン入力 japan.xilinx.com 11UG895 (v2014.3) 2014 年 10 月 9 日

Page 12: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : プロジェク トの操作

4. オプシ ョ ン : [Add Configurable IP] ページ (図 2-4) で次のオプシ ョ ンを設定し、 [Next] をク リ ッ ク します。

° [Add Files] : Vivado Design Suite の Xilinx Core Instance (XCI) ファ イルまたは CORE Generator コア (XCO) ファイルのいずれかを選択するファイル ブラウザーが開きます。 Vivado IP インテグレーター機能または DSP サブデザイン用の Mathworks Simulink プロジェク ト ファ イル (SLX または MDL) からブロ ッ ク デザイン (BD)ファ イルを追加できます。

XCI ファ イルは、 IP の作成に使用されるプロジェク ト オプシ ョ ン、 カスタマイズ パラ メーター、 ポート パラ メーターなどの値を記録する IP-XACT コンポーネン ト インスタンスの XML ファ イルです。

注記 : Vivado IP カタログを使用して XCI IP を追加する と、Vivado IDE は自動的に HDL ソースなどの生成されたターゲッ ト をすべてプロジェク トにインポート します。 合成を実行する と、 その IP と 上位デザインが一緒に合成されます。

° [Add Directories] : ファ イル ブラウザーが開き、Vivado Design Suite の XCI ファ イル、XCO ファ イル、BD ファイルまたは MDL/SLX を含むディレク ト リ を選択できます。 ディ レク ト リ を選択する と、 そのディ レク ト リおよび下位ディ レク ト リに含まれるすべての XCI および XCO ファ イルが IP リ ス トに追加されます。

X-Ref Target - Figure 2-3

図 2‐3 : New Project ウィザード  : [Add Sources] ページ

システム レベル デザイン入力 japan.xilinx.com 12UG895 (v2014.3) 2014 年 10 月 9 日

Page 13: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : プロジェク トの操作

° [Remove Selected Files and Directories] : X ボタンで表示され、選択したファイルおよびディ レク ト リ を削除します。

° [Copy Sources into Project] : 元のファイルを参照するのではなく、ローカル プロジェク ト ディ レク ト リにファイルをコピーします。

注記 : HDL ソース と してだけでなく、 サードパーティから合成済み NGC または EDIF ネッ ト リ ス ト と して提供されている IP もあ り ます。 ネッ ト リ ス ト ベースの IP ソースを読み込むには、 図 2-3 の [Add Sources] ダイアログ ボッ クスにこれらのファイルを追加します。 また、第 3 章の 「IP ソースの操作」 に示すよ うに、 IP カタログを使用する と Vivado IDE 内のプロジェク トにパラ メーター指定可能なコアを読み込むこ と もできます。

5. オプシ ョ ン : [Add Constraints] ページ (図 2-5) で次のオプシ ョ ンを設定し、 [Next] をク リ ッ ク します。

° [Add Files] : プロジェク トに追加する Synopsys デザイン制約 (SDC) また XDC ファ イルを指定するためのファイル ブラウザーが開きます。

° [Create File] : 新しい 上位の XDC ファ イルが作成されます。

° [Remove] : 制約リ ス トから選択したファイルが削除されます。

° [Up]/[Down] : 制約ファイルを リ ス トの上下方向に移動します。 コマンドはリ ス ト される順序に依存し、制約の 後のコマンドがそれよ り前のコマンドの結果を上書きします。

° [Copy Constraints into Project] : 元のファイルを参照するのではなく、 ローカル プロジェク ト ディ レク ト リに制約ファイルをコピーします。

注記 : プロジェク トに関連付けられた RTL またはネッ ト リ ス ト ソース ファ イルと同じディ レク ト リの SDC または XDC ファ イルは、 プロジェク トに追加される制約ファ イルと して自動的に表示されます。 これらのファイルは必要に応じて削除できます。

X-Ref Target - Figure 2-4

図 2‐4 : New Project ウィザード  : [Add Existing IP] ページ

システム レベル デザイン入力 japan.xilinx.com 13UG895 (v2014.3) 2014 年 10 月 9 日

Page 14: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : プロジェク トの操作

6. [Default Part] ページ (図 2-6) でザイ リ ンクス パーツまたはターゲッ ト デザイン プラ ッ ト フォーム (TDP) ボードを選択し、 [Next] をク リ ッ ク します。

° [Parts] : 使用可能なデバイスがリ ス ト されます。 I/O ピンのカウン トやルッ クアップ テーブル (LUT) およびフ リ ップフロ ップ (FF) の数、 使用可能なブロ ッ ク RAM などのデバイス リ ソースに関する情報が表形式で表示されます。 この リ ス トでは、 製品、 ファ ミ リ、 サブファ ミ リ、 パッケージ、 スピード グレード、 および温度などのフ ィルターを使用して、 デバイスを絞り込むこ とができます。 [Search] フ ィールドを使用して、特定のデバイスを検出するこ と もできます。

ヒン ト : パーツを選択する と、サポート される IP のみがデフォルトで Vivado IP カタログに表示されます。このデフォルト設定は、 Vivado IP カタログで [Hide] ツールバー ボタン をオフにするこ とで変更できます。

° [Boards] : 使用可能な TDP ボード と、 そのボードで使用されるザイ リ ンクス パーツがリ ス ト されます。 デバイス リ ソースに関する情報が [Parts] と同様の表形式で表示されます。 リ ス トは、 [Vendor]、 [Display Name]、[Board Rev] でフ ィルターをかけて表示させるこ と もできます。 [Search] フ ィールドを使用して、 特定のボード タイプを検出するこ と もできます。

推奨 : Vivado Design Suite ボード フローをサポートするボードを選択する と、 Vivado IP カタログおよび Vivado IP インテグレーターの自動化された機能を利用できます。 たとえば、選択したボードで使用可能なインターフェイスをサポートする IP の I/O 制約を自動的に作成させるこ とができます。詳細は、 「Vivado Design Suite ボード フローの使用」を参照してください。

X-Ref Target - Figure 2-5

図 2‐5 : New Project ウィザード  : [Add Constraints] ページ

システム レベル デザイン入力 japan.xilinx.com 14UG895 (v2014.3) 2014 年 10 月 9 日

Page 15: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : プロジェク トの操作

7. [New Project Summary] ページでプロジェク トに選択されたオプシ ョ ンを確認したら、 [Finish] をク リ ッ ク します。[Finish] をク リ ッ クする と、 プロジェク ト ディレク ト リ構造が作成され、 プロジェク トに対してローカルに設定されているファイルがすべてコピーされ、プロジェク ト ファ イルが書き出されます。作成する必要のあるデザイン ソースはすべて次の手順で定義して、 ディ スクに書き込まれるよ うにする必要があ り ます。

X-Ref Target - Figure 2-6

図 2‐6 : New Project ウィザード  : [Default Part] ページ

システム レベル デザイン入力 japan.xilinx.com 15UG895 (v2014.3) 2014 年 10 月 9 日

Page 16: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : プロジェク トの操作

8. オプシ ョ ン : 手順 3 で [Create File] オプシ ョ ンを使用する と、 新しい HDL モジュールを作成するための [DefineModule] ダイアログ ボッ ク ス (図 2-7) が開き ます。 このダイアログ ボッ ク スで次のオプシ ョ ンを使用してVerilog、Verilog ヘッダー、 SystemVerilog、 または VHDL でモジュールまたはアーキテクチャを定義したら、 [OK]をク リ ッ ク します。

° [Entity name/Module name] : VHDL コードのエンティティ または Verilog または SystemVerilog コードのモジュール名の名前を指定します。

注記 : エンティティ またはモジュール名はデフォルトでそのファイル名になり ますが、 別の名前を付けること もできます。

° [Architecture name] : RTL ソース ファ イルのアーキテクチャを指定します。デフォルトでは [Behavioral] です。

注記 : このオプシ ョ ンは、 VHDL コードの場合にのみ表示され、 Verilog または SystemVerilog モジュールを定義する場合には表示されません。

° [I/O Port Definitions] : モジュール定義に追加するポート を定義します。

- [Port Name] : RTL コードに記述されるポートの名前を定義します。

- [Direction] : ポート を入力、 出力、 双方向のいずれかに指定します。

- [Bus] : ポートがバス ポートかど うかを指定します。 次の [MSB] および [LSB] オプシ ョ ンを使用してポートのバス幅を定義します。

- [MSB] : 上位ビッ ト (MSB) の数を定義します。 [LSB] フ ィールド と組み合わせて、 定義されるバスの幅を指定します。

- [LSB] : 下位ビッ ト (LSB) の数を定義します。

注記 : ポートがバス ポートでない場合は、 MSB および LSB は無視されます。

RTL ソース ファ イルが作成され、プロジェク トに追加されます。[Sources] ウ ィンド ウに新し く定義したモジュールがリ ス ト されます。 これらの新しいソース ファ イルでは、 Verilog モジュールまたは VHDL エンティティが定義されますが、ファ イルを編集してこれらのブロ ッ クのロジッ クまたはアーキテクチャを定義する必要があ り ます。 Vivado IDE テキス ト エディ ターで新しいソース ファ イルを編集するには、 ファ イルをダブルク リ ッ クする

X-Ref Target - Figure 2-7

図 2‐7 : [Define Module] ダイアログ ボックス

システム レベル デザイン入力 japan.xilinx.com 16UG895 (v2014.3) 2014 年 10 月 9 日

Page 17: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : プロジェク トの操作

か、 [Open File] ポップアップ メニューをク リ ッ ク します。新規作成したファイルの編集方法については、第 3 章の 「テキス ト エディ ターの使用」 を参照してください。

Vivado Design Suite ボード  フローの使用

Vivado Design Suite ボード フローをサポートする評価ボードを 「RTL プロジェク トの作成」 の手順 6 に示す方法で選択した場合、 一部のデザイン フローと IP コンフ ィギュレーシ ョ ン オプシ ョ ンが自動的に設定されます。

ボード  フローでの Vivado IP カタログの使用

ボード フローをサポー トする Vivado IP カタログからの IP を使用する場合、 [Customize IP] ダイアログ ボッ クスに[Board] タブが表示されます (図 2-8)。 オプシ ョ ンで [Generate Board-Based I/O Constraints] をオンにできます。 選択したボード パーツでサポート される IP インターフェイスに基づいて、IP コンフ ィギュレーシ ョ ン オプシ ョ ンが変更され、 I/O ロケーシ ョ ンおよび I/O 規格などのボード専用に生成された物理制約がイネーブルになり ます。 ボードに関する IP の設定については、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 11] を参照してください。

X-Ref Target - Figure 2-8

図 2‐8 : [Customize IP] ダイアログ ボックスの [Board] タブ

システム レベル デザイン入力 japan.xilinx.com 17UG895 (v2014.3) 2014 年 10 月 9 日

Page 18: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : プロジェク トの操作

ボード  フローでの Vivado IP インテグレーターの使用

オプシ ョ ンで、 Vivado IP インテグレーターを使用してブロ ッ ク デザインに IP を追加できます。 プロジェク トのボードを選択したら、 [Board Part Interfaces] ウ ィンド ウ (図 2-9) が IP インテグレーターに表示されるよ うにな り、 選択したボード パーツの IP インターフェイスが表示されます。 Vivado IP インテグレーターでは、 コンフ ィギュレーシ ョ ン済みの IP がインスタンシエート され、 I/O ロケーシ ョ ンおよび I/O 規格などの物理的なボード制約のほか、 インプ リメ ンテーシ ョ ンおよびデバイス コンフ ィギュレーシ ョ ンに使用される関連パラ メーターなどが割り当てられます。[Board Part Interfaces] ウ ィンド ウには、ブロ ッ ク デザインの IP で使用されるボード パーツで使用可能なインターフェイスが記録されます。

コンフ ィギュレーシ ョ ンする と、すべてのボードの物理制約が自動的にダウンス ト リームの合成ツールおよびインプリ メ ンテーシ ョ ン ツールに渡されます。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 12] を参照してください。

X-Ref Target - Figure 2-9

図 2‐9 : Vivado IP インテグレーターの [Board Part Interfaces] ウィンドウ

システム レベル デザイン入力 japan.xilinx.com 18UG895 (v2014.3) 2014 年 10 月 9 日

Page 19: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : プロジェク トの操作

合成後プロジェク トの作成

合成後プロジェク トは、 合成済みネッ ト リ ス トおよびその制約を使用して開始します。 この後、 デザインを解析、 フロアプラン、 インプ リ メン トできます。

注記 :合成済みネッ ト リ ス ト を作成するには、 XST またはサードパーティの合成ツールを使用できます。

重要 : EDIF および NGC ファ イルを使用する場合、 上位セルの名前がファイル名と同じである必要があ り ます。

1. 「プロジェク トの作成」 の手順に従ってプロジェク ト を作成します。

2. [Project Type] ページで [Post-Synthesis Project] をオンにして、 [Next] をク リ ッ ク します。

注記 :必要であれば、 [Do not specify sources at this time] をオンにします。 これをオンにする と、 デザイン ソースを追加する手順を飛ばして、 ターゲッ ト パーツを選択してプロジェク ト を作成できます。

3. [Add Netlist Sources] ページ (図 2-10) で次のオプシ ョ ンを使用して、読み込むネッ ト リ ス ト ファ イルを指定し、上位モジュールを含むファイルを識別し、下位レベル モジュールのネッ ト リ ス ト を検索するためのディ レク ト リを定義したら、 [Next] をク リ ッ ク します。

° [Add Files] : プロジェク トに追加するネッ ト リ ス ト ファ イル (構造 Verilog、SystemVerilog、EDIF または NGC)またはデザイン チェッ クポイン ト (DCP) ファ イルを選択します。

注記 : ファ イルに 上位ネッ ト リ ス トが含まれる場合は [Top] をオンにします。

° [Add Directories] : ディ レク ト リ ブラウザーが起動され、 モジュールを検索するディ レク ト リ を選択できます。 指定したディ レク ト リにある有効なソース ファ イルがすべてプロジェク トに追加されます。

° [Remove Selected Files and Directories] : X ボタンで表示され、選択したファイルおよびディ レク ト リ を削除します。

° [Move Selected Files and Directories Up] : 上向き矢印のアイコンは、 ファ イルまたはディ レク ト リ を リ ス トの上方向に移動します。

° [Move Selected Files and Directories Down] : 下向き矢印のアイコンは、 ファ イルまたはディ レク ト リ を リ ス トの下方向に移動します。

° [Copy Sources into Project] : 元のファイルを参照するのではなく、ローカル プロジェク ト ディ レク ト リにファイルをコピーします。 [Add Directories] ボタンをク リ ッ ク してソース ファ イルのディ レク ト リ を追加した場合は、 ファ イルがローカルのプロジェク トにコピーされる際にディ レク ト リ構造もそのまま保持されます。詳細は、 第 3 章の 「リモート ソースの参照またはプロジェク ト ディ レク ト リへのソースのコピー」 を参照してください。

° [Add Sources from Subdirectories] : [Add Directories] で指定したディ レク ト リの下位ディ レク ト リに含まれるネッ ト リ ス ト ファ イルを検索して追加します。

システム レベル デザイン入力 japan.xilinx.com 19UG895 (v2014.3) 2014 年 10 月 9 日

Page 20: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : プロジェク トの操作

4. オプシ ョ ン : [Add Constraints] ページ (図 2-5) で次のオプシ ョ ンを設定し、 [Next] をク リ ッ ク します。

° [Add Files] : プロジェク トに追加する SDC また XDC ファ イルを指定するためのファイル ブラウザーが開きます。

° [Create File] : 新しい 上位の XDC ファ イルが作成されます。

° [Remove] : 制約リ ス トから選択したファイルが削除されます。

° [Up]/[Down] : 制約ファイルを リ ス トの上下方向に移動します。 コマンドはリ ス ト される順序に依存し、制約の 後のコマンドがそれよ り前のコマンドの結果を上書きします。

° [Copy Constraints into Project] : 元のファイルを参照するのではなく、 ローカル プロジェク ト ディ レク ト リに制約ファイルをコピーします。

注記 : プロジェク トに関連付けられた RTL またはネッ ト リ ス ト ソース ファ イルと同じディ レク ト リの SDC または XDC ファ イルは、 プロジェク トに追加される制約ファイルと して自動的に表示されます。

5. [Default Part] ページ (図 2-6) でザイ リ ンクス パーツまたはターゲッ ト デザイン プラ ッ ト フォーム (TDP) ボードを選択し、 [Next] をク リ ッ ク します。

° [Parts] : 使用可能なデバイスがリ ス ト されます。デバイス リ ソースに関する情報が、表形式で表示されます。この リ ス トでは、 製品、 ファ ミ リ、 サブファ ミ リ、 パッケージ、 スピード グレード、 および温度などのフ ィルターを使用して、 デバイスを絞り込むこ とができます。 [Search] フ ィールドを使用して、 特定のデバイスを検出するこ と もできます。

° [Boards] : 使用可能な TDP ボード と、 そのボードで使用されるザイ リ ンクス パーツがリ ス ト されます。 I/Oピンのカウン トや LUT およびフ リ ップフロ ップの数、 使用可能なブロ ッ ク RAM などのデバイス リ ソースに関する情報が表形式で表示されます。 リ ス トは、 [Vendor]、 [Display Name]、 [Board Rev] でフ ィルターをかけて表示させるこ と もできます。 [Search] フ ィールドを使用して、 特定のボード タイプを検出するこ と もできます。

6. [New Project Summary] ページでプロジェク トに選択されたオプシ ョ ンを確認したら、 [Finish] をク リ ッ ク します。

I/O プランニング  プロジ ェ ク トの作成

I/O プラニング プロジェク トは、 システム レベル デザインのデバイス ピン配置を指定するために使用します。 このタイプのプロジェク トは、 HDL または合成済みネッ ト リ ス ト を完了する前に作成できます。 たとえば、 システム レベルまたは PCB 設計者とデザイン情報を共有する目的などに使用できます。I/O プラニングの詳細は、『Vivado DesignSuite ユーザー ガイ ド : I/O およびクロ ッ クのプラニング』 (UG899) [参照 9] を参照してください。

X-Ref Target - Figure 2-10

図 2‐10 : New Project ウィザード  : [Add Netlist Sources] ページ

システム レベル デザイン入力 japan.xilinx.com 20UG895 (v2014.3) 2014 年 10 月 9 日

Page 21: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : プロジェク トの操作

注記 : MIG IPRTL ベースの I/O プラニングの詳細は、 『Vivado Design Suite ユーザー ガイ ド : I/O およびクロ ッ クのプラニング』 (UG899) [参照 9] を参照してください。

1. 「プロジェク トの作成」 の手順に従ってプロジェク ト を作成します。

2. [Project Type] ページで [I/O Planning Project] をオンにして、 [Next] をク リ ッ ク します。

3. オプシ ョ ン : [Import Ports] ダイアログ ボッ クス (図 2-11) で次のオプシ ョ ンを指定し、 I/O ポート定義および制約をインポートするためのファイルを選択したら、 [Next] をク リ ッ ク します。

° [Import CSV] : I/O 定義を含む CSV ファ イルを選択します。 シ ミ ュレーシ ョ ンの詳細については、 『VivadoDesign Suite ユーザー ガイ ド : I/O およびクロ ッ クのプラニング』 (UG899) [参照 9] を参照してください。

° [Import XDC] : I/O ポート関連の制約のみを含む XDC ファ イルを選択します。

° [Do not import I/O ports at this time] : 空のプロジェク ト を作成します。I/O は後ほど作成またはインポートできます。

注記 : RTL ヘッダーまたはソース ファ イルを使用してデザインの I/O ピン プラニングを実行するには、RTLプロジェク ト を使用します。

4. [Default Part] ページ (図 2-6) でザイ リ ンクス パーツまたはターゲッ ト デザイン プラ ッ ト フォーム (TDP) ボードを選択し、 [Next] をク リ ッ ク します。

° [Parts] : 使用可能なデバイスがリ ス ト されます。デバイス リ ソースに関する情報が、表形式で表示されます。この リ ス トでは、 製品、 ファ ミ リ、 サブファ ミ リ、 パッケージ、 スピード グレード、 および温度などのフ ィルターを使用して、 デバイスを絞り込むこ とができます。 [Search] フ ィールドを使用して、 特定のデバイスを検出するこ と もできます。

° [Boards] : 使用可能な TDP ボード と、 そのボードで使用されるザイ リ ンクス パーツがリ ス ト されます。 I/Oピンのカウン トや LUT およびフ リ ップフロ ップの数、 使用可能なブロ ッ ク RAM などのデバイス リ ソースに関する情報が表形式で表示されます。 リ ス トは、 [Vendor]、 [Display Name]、 [Board Rev] でフ ィルターをかけて表示させるこ と もできます。 [Search] フ ィールドを使用して、 特定のボード タイプを検出するこ と もできます。

5. [New Project Summary] ページでプロジェク ト を定義するために選択したオプシ ョ ンを確認したら、 [Finish] をクリ ッ ク します。

外部プロジェク トのインポート

Vivado IDE 以外 (例 : Synopsys の Synplify、 XST、 または ISE Design Suite の Project Navigator) で作成した既存の RTLレベルのプロジェク ト ファ イルをインポートできます。 Vivado IDE では、 指定したプロジェク トのソース ファ イルが検出され、新規プロジェク トへ自動的に追加されます。 上位モジュール、 ターゲッ ト デバイス、 VHDL ライブラリ などの設定も既存プロジェク トからインポート されます。

注記 : XST または ISE Design Suite プロジェク トのインポート方法については、 『Vivado Design Suite : ISE から VivadoDesign Suite への移行手法ガイ ド』 (UG911) [参照 8] を参照してください。

X-Ref Target - Figure 2-11

図 2‐11 : New Project ウィザード  : [Import Ports] ページ

システム レベル デザイン入力 japan.xilinx.com 21UG895 (v2014.3) 2014 年 10 月 9 日

Page 22: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : プロジェク トの操作

1. 「プロジェク トの作成」 の手順に従ってプロジェク ト を作成します。

2. [Project Type] ページで [Imported Project] をオンにして、 [Next] をク リ ッ ク します。

3. [Import Project] ページ (図 2-12) で次のオプシ ョ ンを使用して、インポートするプロジェク ト ファ イルを指定したら、 [Next] をク リ ッ ク します。

° [ISE] : 指定したザイ リ ンクス ISE Design Suite プロジェク ト ファ イル (拡張子は.xise) をインポート します。

° [Synplify] : 指定した Synplify プロジェク ト ファ イル (拡張子は .prj をインポート します。

° [XST] : 指定した XST プロジェク ト ファ イル (拡張子は .xst) をインポート します。

° [Copy Sources into Project] : 元のファイルを参照するのではなく、ローカル プロジェク ト ディ レク ト リにファイルをコピーします。

4. [New Project Summary] ページでプロジェク ト を定義するオプシ ョ ンを確認したら、 [Finish] をク リ ッ ク します。

注記 : プロジェク トのターゲッ ト パーツは、 インポート したプロジェク トのプロジェク ト設定で定義されます。

指定したプロジェク トから RTLソース ファ イル、 制約ファ イルがインポート され、 指定したディ レク ト リにプロジェク ト ファ イルが作成されます。インポート プロセスのサマリがインポート サマリ レポートのログ ファ イルに記述され、 新規プロジェク ト ディ レク ト リに保存されます。 このサマ リ ファ イルでは、 プロジェク ト を作成する際に使用された手順およびエラーや警告メ ッセージを確認できます。

プロジェク ト を作成する  Tcl コマンド

次の Tcl コマンドは、 プロジェク トの作成に使用できます。 スク リプ ト例については、 「Tcl スク リプ ト を使用したプロジェク トの作成」 を参照してください。

注記 : Tcl コマンドの詳細については、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 4] を参照するか、 <command> -help を入力して ください。

プロジェク ト を作成する  Tcl コマンド

次は、 関連する Tcl コマンドです。

• Tcl コマンド : create_project および set_property

• Tcl コマンドの例 (RTL プロジェク ト ) : create_project my_project C:/team/designs/my_project -part xc7k325tffg676-2set_property DESIGN_MODE RTL [current_fileset]

• Tcl コマンドの例 (合成後プロジェク ト ) :

X-Ref Target - Figure 2-12

図 2‐12 : New Project ウィザード  : [Import Project] ページ

システム レベル デザイン入力 japan.xilinx.com 22UG895 (v2014.3) 2014 年 10 月 9 日

Page 23: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : プロジェク トの操作

create_project my_IO_project C:/team/designs/my_IO_project -part xc7k325tffg676-2

set_property design_mode GateLvl [current_fileset]

• Tcl コマンドの例 (I/O プラニング プロジェク ト ) :

create_file project_io C:/projects/project_io -part xc7vx485tffg1157-1

set_property design_mode PinPlanning [current_fileset]

プロジェク ト をインポートする  Tcl コマンド

次は、 関連する Tcl コマンドです。

• Tcl コマンド : create_project および import_xise set_property DESIGN_MODE RTL [current_fileset]

• Tcl コマンドの例 :

create_project project_import_ise C:/projects/project_import_ise

import_xise C:/projects/old/wave_gen_vhd_s6/wave_gen_vhd_s6.xise -copy_sources

デザイン ソース、 制約ファイル、 シミ ュレーシ ョ ン  ソースを追加する  Tcl コマンド

次は、 関連する Tcl コマンドです。

• Tcl コマンド : add_files または import_files

• Tcl コマンドの例 :

add_files top.v

import_files -fileset constrs_1 C:/projects/sources/timing.xdc

add_files -norecurse source_dir

import_files source_dir

注記 : add_files コマンドで、 現在のディレク ト リから追加するファイルを参照し、 import_files コマンドでプロジェク トにファ イルをコピーします。

注意 : Tcl コマンドの read_xdc、 read_vhdl、 read_verilog、 read_ip、 および read_edif は非プロジェク ト モードにのみ使用できます。 詳細は、 第 3 章の 「非プロジェク ト モードでのソースの操作」 を参照して ください。

ヒン ト : PATH_MODE プロパティは add_files Tcl コマンド と一緒に使用し、 絶対パスを使用するのか相対パスを使用するのかを指定します。 デフォル トでは、 相対パスが使用されます。 詳細は、 『Vivado Design Suite プロパティ リファレンス ガイ ド』 (UG912) [参照 13] を参照して ください。

既存の IP ソース ファイルを追加する Tcl コマンド

次は、 関連する Tcl コマンドです。

• Tcl コマンド : add_files または import_ip

• Tcl コマンドの例 : import_ip C:/projects/sources/char_fifo/char_fifo.xci

システム レベル デザイン入力 japan.xilinx.com 23UG895 (v2014.3) 2014 年 10 月 9 日

Page 24: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : プロジェク トの操作

注記 : add_files コマン ドで、 現在のディ レ ク ト リ から XCI フ ァ イルおよび関連する出力フ ァ イルを参照し、import_ip コマンドで、 プロジェク トにその XCI ファ イルおよび関連する出力ファイルをコピーします。

プロジェク ト  パーツを設定する  Tcl コマンド

次は、 関連する Tcl コマンドです。

• Tcl コマンド :

create_project または set_property

• Tcl コマンドの例 :

create_project my_project C:/projects/my_project -part xc7k325tffg676-2

set_property PART xc7k70tfbg676-2 [current_project]

注記 :パーツは、 プロジェク トの作成時もし くは作成後に設定できます。

温度グレード  

XC デバイスの I、 E 温度グレードの選択は、 C 温度グレード デバイスを選択した場合と同じになり ます。 方法は ISEの Project Navigator と類似していますが、 Project Navigator の場合は温度グレードの選択肢があ り ません。 このため、Project Navigator の場合は、 XC デバイスを選択する と、 I、 E および C 温度グレードすべてがそれが存在する箇所にある と判断されます。

PlanAhead および Vivado ツールには温度グレードに基づいてデバイスを表示またはフ ィルターする機能が追加されていますが、 I、 E および C 温度グレード パーツを別々に表示する機能は 7 シ リーズ デバイス ファ ミ リ も含めて、 それ以前のデバイスではあ り ません。

XC デバイスで I、 E または C 温度グレードのいずれかを選択する場合は、 [Temp Grade] フ ィールドを [Any] (デフォルト ) または [C] に設定してください。

XQ および XA デバイスを選択する場合、[Temp Grade] フ ィールドで [I] を選択して I デバイス グレードをフ ィルターされるよ うにする必要があ り ます。

XC デバイスの場合、 このよ うに選択する と、 [Min Operation Temperature] および [Max Operating Temperature] も C 温度グレード レーティングを反映して 0 ~ 85 ℃ と表示されてしま う とい う欠点があ り ます。 I 温度グレードは 40 ~100 ℃、 E 温度グレードは 0 ~ 100 ℃ です。

7 シ リーズ デバイス以降に Vivado で導入されたデバイスでは、I、E および C 温度グレード パーツが別々に表示されます。

プロジェク トの管理

プロジェク ト を開く

プロジェ ク ト を開く と、 前回プロジェ ク ト を閉じたと きの状態が復元されます。 プロジェ ク ト の状態とは、 ソースファ イル順、 ソース ファ イルのディ スエーブル/イネーブル、 アクティブおよびターゲッ ト制約ファ イル、 合成、 シミ ュレーシ ョ ン、 インプ リ メンテーシ ョ ン run のステート などです。

プロジェク ト を開くには、 次のいずれかを実行します。

• Getting Started ページの [Open Project] リ ンクをク リ ッ ク します。

• [File] → [Open Project] をク リ ッ ク します。

システム レベル デザイン入力 japan.xilinx.com 24UG895 (v2014.3) 2014 年 10 月 9 日

Page 25: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : プロジェク トの操作

• [Open Project] ツールバー ボタン をク リ ッ ク します。

• Tcl コンソールに open_project コマンドを入力します。

[Open Project] ダイアログ ボッ クスで、 プロジェク ト ファ イル (.xpr) を選択します。 [Open Project] ダイアログ ボッ クスの [File Preview] に現在選択されているファイルに関する情報が表示されます。

注記 : または、Windows エクスプローラーで Vivado IDE プロジェク ト ファ イル (.xpr) を直接ダブルク リ ッ ク してプロジェク ト を開きます。

プロジェク ト を開く  Tcl コマンド

次は、 関連する Tcl コマンドです。

• Tcl コマンド : open_project

• Tcl コマンドの例 : open_project c:/projects/project1.xpr

複数のプロジェク ト を開く

1 つのセッシ ョ ンで複数のプロジェク ト を開くには、 プロジェク トが開いている状態で 「プロジェク ト を開く」 のいずれかの方法を使用して別のプロジェ ク ト を開きます。 Vivado IDE で現在のプロジェ ク ト を閉じるかど うか尋ねるメ ッセージが表示されます。 [No] をク リ ッ ク して開いているプロジェク ト を閉じないよ うにする と、両方のプロジェク トが開きます。 各プロジェク トに対して別の IDE ウ ィンド ウが開きます。

複数プロジェク ト を同じ Vivado IDE プロセスから開く と、 開いているすべてのプロジェク トで使用されたコマンドが Tcl コンソールへ書き込まれます。 ただし、 表示されるコマンドがどのプロジェク トで使用されたものかわかりにくいこ とがあ り ます。 また、すべてのプロジェク トに対して 1 つの vivado.jou と 1 つの vivado.log しか作成されません。

注記 :複数のプロジェク ト を開いた場合、システム メモ リの要件によ り、パフォーマンスが低下するこ とがあ り ます。

プロジェク トの保存

プロジェク トは自動的に保存されます。 たとえば、 ソース設定、 ファ イルのプロパティ、 run オプシ ョ ンなど、 プロジェク トに変更を加える と、 プロジェク トはディ スクに自動的に保存されます。

ヒン ト : ただし、 デザイン制約への変更がプロジェ ク トの一部と して自動的に保存されるこ とはあ り ません。 [SaveConstraints] または [Save Constraints As] を使用して制約の変更をディ スクに書き込む必要があ り ます。

別のディ レク ト リにプロジェク ト を保存するには、 [File] → [Save Project As] をク リ ッ ク して ください。 これによ り、プロジェク ト ディレク ト リ構造全体が新し く指定されたディレク ト リにコピーされ、 run のステータスも保持されます。

プロジェク ト を保存する  Tcl コマンド

次は、 関連する Tcl コマンドです。

• Tcl コマンド : save_project_as

• Tcl コマンドの例 : save_project_as new_project c:/projects/

プロジェク ト を閉じる

プロジェク ト を閉じるには、 [File] → [Close Project] をク リ ッ ク します。 保存されていない変更がある場合は、 それを示すメ ッセージが表示されます。

システム レベル デザイン入力 japan.xilinx.com 25UG895 (v2014.3) 2014 年 10 月 9 日

Page 26: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : プロジェク トの操作

プロジェク ト を閉じる  Tcl コマンド

次は、 関連する Tcl コマンドです。 close_project

プロジェク トのアーカイブ

プロジェク ト アーカイブを作成して、 バッ クアップと して保存したり、 リモート サイ トに送信した りできます。 プロジェク ト をアーカイブする際、 Vivado IDE では次が実行されます。

• デザイン階層を解析します。

• ライブラ リ ディレク ト リから必要なソース ファ イル、 インクルード ファ イル、 リモート ファ イルをコピーします。

• 制約をコピーします。

• 合成、 シ ミ ュレーシ ョ ン、 およびインプ リ メンテーシ ョ ンの実行結果をコピーします (オプシ ョ ン)。

• プロジェク トの ZIP ファ イルを作成します。

プロジェク トのアーカイブを作成するには、 次の手順に従います。

1. [File] → [Archive Project] をク リ ッ ク します。

2. [Archive Project] ダイアログ ボッ クス (図 2-13) で次のオプシ ョ ンを設定し、 [Next] をク リ ッ ク します。

° [Archive name] : プロジェク ト アーカイブ名を指定します。

° [Archive location] : プロジェク ト アーカイブ ファ イルを保存するディ レク ト リ を指定します。

° [Include configuration settings] : デザインのデバッグに役立つ Tcl 初期化コマンドを含む init.tcl ファ イルを含みます。 詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 4] を参照してください。

° [Include run results] : プロジェク トで実行した run の設定と結果を含めます。 合成 run およびインプリ メンテーシ ョ ン run の結果を含める と、 プロジェク ト アーカイブの容量がかなり増加します。

Vivado IDE でプロジェク ト アーカイブが ZIP ファ イル形式で作成されます。 この ZIP ファ イルには、必要なソース ファ イル、 インクルード ファ イル、 run ファ イル (指定した場合のみ)、およびアーカイブ プロセスを記述したarchive.log ファ イルが含まれます。 archive.log ファ イルでアーカイブの作成プロセスを確認できます。

プロジェク ト を圧縮する  Tcl コマンド

次は、 関連する Tcl コマンドです。

X-Ref Target - Figure 2-13

図 2‐13 : [Archive Project] ダイアログ ボックス

システム レベル デザイン入力 japan.xilinx.com 26UG895 (v2014.3) 2014 年 10 月 9 日

Page 27: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : プロジェク トの操作

• Tcl コマンド : archive_project

• Tcl コマンドの例 : archive_project -exclude_run_results proj3.zip

ソースの制御システム

[File] → [Write Project Tcl] をク リ ッ クする と、現在のプロジェク ト を再作成する Tcl スク リプ ト を生成できます。生成されるスク リプ トには、 次を実行する Tcl コマンドが含まれます。

• プロジェク トの作成

• プロジェク ト タイプの設定

• ファ イルセッ トの作成

• ソース ファ イルの追加またはインポート

• run および run プロパティの定義

この Tcl スク リプ トおよびさまざまなデザイン ソースはソース制御システムに格納し、ファ イル管理およびプロジェク ト圧縮をします。

注記 : ソース管理システムの詳細については、 『UltraFast 設計手法 (Vivado Design Suite 用)』 (UG949) [参照 14] を参照して ください。 IP およびソース管理システムの使用については、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 11] を参照して ください。

ビデオ : ソース管理システムの使用方法については、 Vivado Design Suite ビデオ チュート リ アル : バージ ョ ン管理の概要を参照してください。

ソース管理システムを使用するための Tcl コマンド

次は、 関連する Tcl コマンドです。

• Tcl コマンド : write_project_tcl

• Tcl コマンドの例 : write_project_tcl -all_properties C:/Data/project_wave.tcl

システム レベル デザイン入力 japan.xilinx.com 27UG895 (v2014.3) 2014 年 10 月 9 日

Page 28: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : プロジェク トの操作

プロジェク ト  サマリの使用Vivado IDE には、対話型のプロジェク ト サマリ (図 2-14) が含まれ、デザイン コマンドが実行され、デザイン プロセスが実行される と随時アップデート されます。 これには、 プロジェク ト パーツ、 プロジェク ト ステータス、 合成およびインプ リ メンテーシ ョ ンの状態などのプロジェク トおよびデザイン情報が含まれます。また、 メ ッセージ、ログ、レポート などを示すウ ィンド ウや [Project Settings] ダイアログ ボッ クスへのリ ンクなど、 詳細な情報へのリ ンク も含まれます。スク ロール バーを使用したり、 [Collapse All] および [Expand All] ボタンを使用してデータ カテゴ リの表示/非表示を切り替えるこ とができます。

プロジェク ト サマリには、 次のセクシ ョ ンが含まれます。

• [Project Settings] : プロジェク ト名、 製品ファ ミ リ、 プロジェク ト パーツ、 ト ップ モジュール名が表示されます。

• [Board] : 製品名、 パーツ ファ ミ リ /ボード /バージ ョ ン番号を含むボード名、 ボードに関する詳細情報を含むボード ファ イル、 ザイ リ ンクス ウェブサイ トの製品ページへのリ ンク、 ボードに関する概要が表示されます。

• [Synthesis] および [Implementation] : アクティブ run の合成およびインプリ メンテーシ ョ ンの状態のサマリ を表示します。 ターゲッ ト パーツ、 run で使用されたス ト ラテジ、使用されたツール フローおよび制約セッ ト などが表示されます。 パーツ、 ス ト ラテジ、 フローの リ ンクをク リ ッ クする と、 [Project Settings] ダイアログ ボッ クスが開きます。 制約リ ンクをク リ ッ クする と、 [Source File Properties] ウ ィンド ウに [Constraint Set Properties] タブが表示されます。

注記 :詳細は、 「プロジェク ト設定」 および第 3 章の 「制約の操作」 を参照してください。

• [DRC Violations] : インプ リ メンテーシ ョ ン後にデザイン ルール チェッ ク (DRC) に関する情報がまとめられます。

• [Timing] : インプリ メンテーシ ョ ン後にタイ ミ ング結果がまとめられます。

• [Utilization] : 合成またはインプリ メンテーシ ョ ンが終了したら、 プロジェク トの使用量結果が表形式とグラフ形式の両方でま とめられます。

• [Power] : インプ リ メンテーシ ョ ン後に消費電力解析の結果がまとめられます。

プロジェク ト サマリ を開くには、 次のいずれかを実行します。

• [Window] → [Project Summary] をク リ ッ ク します。

• ツールバーの [Project Summary] ボタン をク リ ッ ク します。

システム レベル デザイン入力 japan.xilinx.com 28UG895 (v2014.3) 2014 年 10 月 9 日

Page 30: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : プロジェク トの操作

プロジェク ト設定プロジェク ト設定は、 各プロジェク トの必要に合わせて指定できます。 プロジェク ト設定には、 上位モジュールの定義に関する一般的な設定、およびシ ミ ュレーシ ョ ン、合成、インプリ メンテーシ ョ ン、 IP などの設定が含まれます。

[Project Settings] ダイアログ ボッ クスを表示するには、 次のいずれかを実行します。

• [Tools] → [Project Settings] をク リ ッ ク します。

• ツールバーの [Project Settings] ボタン をク リ ッ ク します。

• Flow Navigator の [Project Manager] で [Project Settings] をク リ ッ クするか、[Simulation Settings]、[Synthesis Settings]、[Implementation Settings]、 [Bitstream Settings] のいずれかをク リ ッ ク します。

• プロジェク ト サマリで [Project Settings] ヘッダーの横にある Edit リ ンクをク リ ッ クするか、 [Synthesis] または[Implementation ] セクシ ョ ンのいずれかでス ト ラテジまたはフローをク リ ッ ク します。

[Project Settings] ダイアログ ボッ クスの開き方によって、 適なカテゴ リがデフォルトで表示されるよ うになっています。 たとえば、 Flow Navigator で [Simulation Settings] をク リ ッ ク した場合、 [Project Settings] ダイアログ ボッ クスには [Simulation] カテゴ リが表示されます。 次のセクシ ョ ンは、 各カテゴ リの詳細を示しています。

[General] ページ

[General] ページ (図 2-15) では、 プロジェ ク ト名、 パーツ、 ターゲッ ト言語、 ターゲッ ト シ ミ ュレータ、 上位モジュール名、 言語オプシ ョ ンを指定できます。

• [Name] : プロジェク ト名を指定します。

• [Project Device] : 合成およびインプリ メンテーシ ョ ン両方でデフォルト と して使用するターゲッ ト デバイスを指定します。 参照ボタンをク リ ッ クする と [Select Device] ダイアログ ボッ クスが表示され、 デバイスを変更できます。

注記 :合成 run またはインプリ メンテーシ ョ ン run が複数ある場合は、[Run Properties] ウ ィンド ウから run 設定を変更して特定 run で使用されるデバイスを変更するこ と もできます。詳細は、『Vivado Design Suite ユーザー ガイド : Vivado IDE の使用』 (UG893) [参照 6] を参照してください。

• [Target Language] : Verilog または VHDL のいずれかにデザインのターゲッ ト出力言語を指定します。 指定したターゲッ ト言語でデザインの RTL 出力ファ イルが生成されます。 ターゲッ ト言語で制御される出力の例は、 合成、 シ ミ ュレーシ ョ ン、 上位ラ ッパー ファ イル、 テス トベンチ、 および IP のインスタンシエーシ ョ ン テンプレートです。

• [Default Library] : プロジェク トのデフォルト ライブラ リ を指定します。 明確にライブラ リ を指定しない限り、 すべてのファイルはこのライブラ リでコンパイルされます。 ラ イブラ リ名は選択するか、 [Library] テキス ト フ ィールドに新しいライブラ リ名を入力して指定します。

• [Top Module Name] : デザインの 上位 RTL モジュール名を指定します。 下位モジュール名を入力し、 特定のモジュールに対して合成を実行するこ と もできます。参照ボタンをク リ ッ クする と、 上位モジュールが自動的に検索され、 可能性のある 上位モジュールのリ ス トが表示されます。

• [Language Options] :

° [Verilog Options] : 参照ボタンをク リ ッ ク し、 [Verilog Options] ダイアログ ボッ クスで次のオプシ ョ ンを設定します。

- [Verilog Include Files Search Paths] : Verilog ソース ファ イルの ‘include 文で参照されるファイルの検索パスを指定します。

- [Defines] : プロジェク トの Verilog マクロ定義を指定します。

- [Uppercase all identifiers] : すべての Verilog 識別子を大文字に設定します。

° [Generics/Parameters] : VHDL ではジェネ リ ッ クが、 Verilog では定数値のパラ メーター定義がサポート されます。 どちらの方法でも、 パラ メーターを変更できるので、 さまざまな状況で再利用可能です。 参照ボタンを

システム レベル デザイン入力 japan.xilinx.com 30UG895 (v2014.3) 2014 年 10 月 9 日

Page 31: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : プロジェク トの操作

ク リ ッ クする と、ジェネ リ ッ クおよびパラ メーターの値を定義して、ソース ファ イルで定義されたデフォルトの値を上書きできます。

° [Top Library] : 上位モジュールのライブラ リ名を指定します。

° [Loop Count] : 大ループ反復値を指定します。 デフォルトは 1000 です。

注記 : [Loop Count] オプシ ョ ンは、 合成中ではな くエラボレーシ ョ ン中に使用されます。 合成に対しては、[Synthesis] ページの [More Options] フ ィールドに 「-loop_iteration_limit」 と入力します。

X-Ref Target - Figure 2-15

図 2‐15 : [General] ページ

システム レベル デザイン入力 japan.xilinx.com 31UG895 (v2014.3) 2014 年 10 月 9 日

Page 32: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : プロジェク トの操作

[Simulation] ページ

[Simulation] ページ (図 2-16) では、 シ ミ ュレーシ ョ ン セッ ト、 シ ミ ュレーシ ョ ン 上位モジュール名、 コンパイルおよびシ ミ ュレーシ ョ ン オプシ ョ ンを指定できます。 オプシ ョ ンをク リ ッ クする と、 ダイアログ ボッ クスの一番下にその説明が表示されます。 [Simulation] ページの詳細は、 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 10] の 「シ ミ ュレーシ ョ ン設定」 セクシ ョ ンを参照してください。

X-Ref Target - Figure 2-16

図 2‐16 : [Simulation] ページ

システム レベル デザイン入力 japan.xilinx.com 32UG895 (v2014.3) 2014 年 10 月 9 日

Page 33: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : プロジェク トの操作

[Synthesis] ページ

[Synthesis] ページ (図 2-17) では、制約セッ ト、合成ス ト ラテジおよび合成オプシ ョ ンを指定できます。 オプシ ョ ンは選択した合成ス ト ラテジで定義されますが、 これらは変更できます。オプシ ョ ンをク リ ッ クする と、ダイアログ ボックスの一番下にその説明が表示されます。 [Synthesis] ページの詳細は、 『Vivado Design Suite ユーザー ガイ ド : 合成』(UG901) [参照 15] の 「シ ミ ュレーシ ョ ン設定」 セクシ ョ ンを参照してください。

注記 : プロジェク トに含まれる IP は前もって合成し、合成ランタイムを削減するこ とができます。 このボ ト ムアップ合成フローの使用については、『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 11] を参照してください。

ヒン ト : tcl.pre および tcl.post ファ イルを使用する と、Tcl スク リプ ト を追加して合成前後に読み出されるよ うにできます。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : Tcl スク リプ ト機能の使用』 (UG894) [参照 3] を参照してください。

X-Ref Target - Figure 2-17

図 2‐17 : [Synthesis] ページ

システム レベル デザイン入力 japan.xilinx.com 33UG895 (v2014.3) 2014 年 10 月 9 日

Page 34: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : プロジェク トの操作

[Implementation] ページ

[Implementation] ページ (図 2-18) では、制約セッ ト、インプリ メンテーシ ョ ン ス ト ラテジおよびインプリ メンテーシ ョン オプシ ョ ンを指定できます。 オプシ ョ ンは選択したインプリ メ ンテーシ ョ ン ス ト ラテジで定義されますが、 これらは変更できます。 たとえば、消費電力および物理合成などのオプシ ョ ンの段階を実行するオプシ ョ ンを使用可能です。 オプシ ョ ンをク リ ッ クする と、 ダイアログ ボッ クスの一番下にその説明が表示されます。 [Implementation] ページの詳細は、 『Vivado Design Suite ユーザー ガイ ド : インプ リ メ ンテーシ ョ ン』 (UG904) [参照 16] の 「インプ リ メ ンテーシ ョ ン ス ト ラテジのカスタマイズ」 を参照してください。

ヒン ト : Tcl スク リプ ト を追加する と、tcl.pre および tcl.post ファ イルでインプリ メンテーシ ョ ンのどの段階の前後にでも source コマンドで読み出すこ とができます。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : Tcl スク リプト機能の使用』 (UG894) [参照 3] を参照してください。

X-Ref Target - Figure 2-18

図 2‐18 : [Implementation] ページ

システム レベル デザイン入力 japan.xilinx.com 34UG895 (v2014.3) 2014 年 10 月 9 日

Page 35: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : プロジェク トの操作

[Bitstream] ページ

[Bitstream] ページ (図 2-19) では、 ビッ ト ス ト リームを生成する前にオプシ ョ ンを定義できます。オプシ ョ ンをク リ ックする と、ダイアログ ボッ クスの一番下にその説明が表示されます。[Bitstream] ページの詳細は、『Vivado Design Suiteユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) [参照 17] の「ビッ ト ス ト リーム ファ イルのフォーマッ ト設定の変更」 セクシ ョ ンを参照してください。

X-Ref Target - Figure 2-19

図 2‐19 : [Bitstream] ページ

システム レベル デザイン入力 japan.xilinx.com 35UG895 (v2014.3) 2014 年 10 月 9 日

Page 36: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : プロジェク トの操作

[IP] ページ

[IP] ページ (図 2-20) には、 次のタブが含まれます。

• [Repository Manager] : IP リ ポジ ト リ リ ス トに追加するディ レク ト リ を指定します。 IP は、ユーザーがパッケージするか、 サードパーティから取得できます。 [Add Repository] をク リ ッ クする と、 各リポジ ト リに含まれる IP が表示されます。

• [Packager] : ベンダー、 ライブラ リ、 および分類を含めて、 新しい IP をパッケージする際のデフォルト値を設定します。 このタブでは、 IP パッケージャーを開いたと きのデフォルト ビヘイビアを設定した り、 自動的にフ ィルターされるファ イル拡張子を指定できたり します。

注記 :必要であれば、 IP パッケージ プロセス中に IP をパッケージする際のデフォルト値を変更できます。

[IP] ページの詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896)[参照 11] の 「IP のプロジェク ト設定」 セクシ ョ ンを参照してください。

注記 : [IP] ページおよび Vivado IP カタログは、RTL プロジェク ト または Getting Started ページから [Manage IP] リ ンクを使用した場合にのみ使用可能です。 [Manage IP] を使用する場合、 プロジェク ト を作成しないと、 [IP] ページのサブセッ トは表示されません。

X-Ref Target - Figure 2-20

図 2‐20 : [IP] ページ

システム レベル デザイン入力 japan.xilinx.com 36UG895 (v2014.3) 2014 年 10 月 9 日

Page 37: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : プロジェク トの操作

プロジェク ト設定をする  Tcl コマンド

次は、 プロジェク トのさまざまなプロパティを設定する T c l コマンドです。 例は、 そのプロジェク トのターゲット言語プロパティを設定する方法を示しています。

• Tcl コマンド : set_property

• Tcl コマンドの例 : set_property target_language Verilog [current_project]

推奨 : プロジェク ト 、合成またはインプリ メンテーシ ョ ン run のプロパティを含む複数のプロパティを設定できます。プロパティ名およびターゲッ トの詳細については、 Vivado IDE で操作を実行し、 Tcl コンソールに表示される対応する Tcl コマンドを参照してください。

Tcl スクリプ ト を使用したプロジェク トの作成Vivado IDE では、 Tcl スク リプ ト を使用してプロジェク ト を作成するこ と もできます。 Vivado IDE で実行したほとんどの動作が Tcl コマンドで実行されます。 Vivado IDE の Tcl コンソールに表示される Tcl コマンドは、 vivado.jouおよび vivado.log ファ イルに保存されます。 vivado.jou ファ イルにはコマンドだけが、 vivado.log ファ イルにはコマンド と返されたメ ッセージがすべて含まれます。 これらのファイルを使用して、 スク リプ ト を開発し、 プロジェク ト モードでします。 vivado.jou およびログ ファ イルの書き込まれるディ レク ト リについては、 『Vivado DesignSuite ユーザー ガイ ド : Vivado IDE の使用』 [参照 6] (UG893) の付録 A の出力ファイルを参照してください。

Tcl コマンドの詳細については、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 4] を参照してください。

次は、 プロジェク ト を作成し、 さまざまなソースを追加し、 設定をコンフ ィギュレーシ ョ ンし、 合成およびインプ リメンテーシ ョ ン run を開始し、 ビッ ト ス ト リームを作成するスク リプ ト例です。

# Typical usage: vivado -mode tcl -source run_bft_project.tcl# Create the project and directory structurecreate_project -force project_bft_batch ./project_bft_batch -part xc7k70tfbg484-2## Add various sources to the projectadd_files {./Sources/hdl/FifoBuffer.v ./Sources/hdl/async_fifo.v \./Sources/hdl/bft.vhdl}add_files -fileset sim_1 ./Sources/hdl/bft_tb.vadd_files ./Sources/hdl/bftLib/add_files -fileset constrs_1 ./Sources/bft_full.xdc## Now import/copy the files into the projectimport_files -force## Set VHDL library property on some filesset_property library bftLib [get_files {*round_*.vhdl core_transform.vhdl \bft_package.vhdl}]## Update to set top and file compile orderupdate_compile_order -fileset sources_1update_compile_order -fileset sim_1## Launch Synthesislaunch_runs synth_1wait_on_run synth_1open_run synth_1 -name netlist_1#

システム レベル デザイン入力 japan.xilinx.com 37UG895 (v2014.3) 2014 年 10 月 9 日

Page 38: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : プロジェク トの操作

# Generate a timing and power reports and write to disk# Can create custom reports as requiredreport_timing_summary -delay_type max -report_unconstrained -check_timing_verbose \-max_paths 10 -input_pins -file syn_timing.rptreport_power -file syn_power.rpt## Launch Implementationlaunch_runs impl_1 -to_step write_bitstreamwait_on_run impl_1## Generate a timing and power reports and write to disk# comment out the open_run for batch modeopen_run impl_1report_timing_summary -delay_type min_max -report_unconstrained \-check_timing_verbose -max_paths 10 -input_pins -file imp_timing.rptreport_power -file imp_power.rpt## Can open the graphical environment if visualization desired# comment out the for batch mode#start_gui

ヒン ト : Tcl スク リプ トの行はバッ クスラ ッシュ (\) を行の 後に追加して行が続く こ とを示すと改行できます。 バックスラ ッシュの後の行は、 前の行の一部と して処理されます。

システム レベル デザイン入力 japan.xilinx.com 38UG895 (v2014.3) 2014 年 10 月 9 日

Page 39: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3章

ソース ファイルの操作

概要ソース ファ イルにはプロジェク ト ソース、 デザイン ソース、 制約ソース、 シ ミ ュレーシ ョ ン ソース、 IP ソース、 デジタル信号処理 (DSP) ソース、 IP サブシステム (ブロ ッ ク デザイン) などが含まれます。 プロジェク ト モードの場合は、 Vivado® IDE または Tcl コマンドかスク リプ ト を使用してこれらのソース ファ イルを作成できます。 Vivado IDEでは、自動的にソース ファ イルが管理されます。プロジェク トに対してローカルにあるファ イルか、 リモートにあってライブラ リから参照しているソース ファ イルを作成および管理できます。Verilog および VHDL ソース ファ イルは、デザイン フローのどの段階でもプロジェク トに追加できます。 また、 制約ファ イル、 シ ミ ュレーシ ョ ン ソース、 IPサブシステム、 DSP ソースも作成したり、 デザインに追加したりできるほか、 既存 IP を追加した りできます。 非プロジェク ト モードの場合、これらのソース ファ イルは Tcl コマンドかスク リプ ト を使用して作成できますが、ソースファ イルは手動で管理する必要があ り ます。本章では、主にプロジェク ト モードでのソースの作成および管理について説明します。非プロジェク ト モードでのソースの作成および管理については、 「非プロジェク ト モードでのソースの操作」 を参照してください。

注記 : Zynq® および MicroBlaze™ を使用する際のソース ファ イル管理の詳細については、 『Vivado Design Suite ユーザー ガイ ド : エンベデッ ド ハードウェア デザイン』 (UG898) [参照 18] を参照してください。

注記 : ソースの追加に関する Tcl コマンドの詳細は、 第 2 章の 「デザイン ソース、 制約ファイル、 シ ミ ュレーシ ョ ンソースを追加する Tcl コマンド」 を参照してください。 Tcl コマンドの詳細については、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 4] を参照するか、 <command> -help を入力してください。

デザイン  ソースの操作Vivado IDE では、 HDL またはネッ ト リ ス ト ファ イルを含めてデザイン ソース ファ イルを作成および管理できます。

注記 :デフォルトでは、 Tcl コマンドの get_* で Tcl コンソールと ログ ファ イルの 初の 500 結果以降の戻り値が切り捨てられます。デフォルト設定を変更する方法も含めた詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 4] を参照してください。

ヒン ト : [Sources] ウ ィンド ウ使用されるアイコンについて、『Vivado Design Suite ユーザー ガイ ド : Vivado IDE の使用』(UG893) [参照 6] を参照してください。

デザイン  ソースの作成と追加

1. [File] → [Add Sources] をク リ ッ ク します。

注記 : または、 Flow Navigator で [Add Sources] をク リ ッ クするか、 [Sources] ウ ィンド ウのポップアップ メニューから [Add Sources] をク リ ッ ク します。

2. Add Sources ウ ィザード (図 3-1) で [Add or Create Design Sources] をオンにし、 [Next] をク リ ッ ク します。

システム レベル デザイン入力 japan.xilinx.com 39UG895 (v2014.3) 2014 年 10 月 9 日

Page 40: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : ソース ファイルの操作

3. [Add or Create Design Sources] ページ (図 3-2) で [Create File] をク リ ッ ク します。

4. [Create Source File] ダイアログ ボッ クス (図 3-3) で次のオプシ ョ ンを設定し、 [Next] をク リ ッ ク します。

° [File type] : Verilog ファ イル (.v)、 Verilog ヘッダー ファ イル (.vh)、 SystemVerilog ファイル (.sv)、 VHDL ファイル (.vhdl) などのファイル形式のいずれかを指定します。

° [File name] : 新しい HDL ソース ファ イルの名前を指定します。

X-Ref Target - Figure 3-1

図 3‐1 : Add Sources ウィザード

X-Ref Target - Figure 3-2

図 3‐2 : Add Sources ウィザード  : [Add or Create Design Sources] ページ

システム レベル デザイン入力 japan.xilinx.com 40UG895 (v2014.3) 2014 年 10 月 9 日

Page 41: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : ソース ファイルの操作

° [File location] : ファ イルを作成するディレク ト リ を指定します。

注記 : ファ イルのプレースホルダーがソースのリ ス トに追加されます。 ファ イルは [Finish] をク リ ッ クする と作成されます。

ヒン ト : [Create File] を何度かク リ ッ ク して、 プロジェク トに追加する複数のモジュールを定義します。

5. [Add Sources] ページ (図 3-2) でソース ファ イルに 適なライブラ リ を指定します。

注記 :デフォルトでは、 HDL ソース ファ イルは xil_defaultLib ライブラ リに追加されます。 必要に応じて、ユーザー VHDL ライブラ リ を作成し、 参照できます。

6. [Finish] をク リ ッ ク して新規ソース ファ イルを作成し、 それらをプロジェク トに追加します。

新規ソース ファ イルを作成したら、 Vivado IDE テキス ト エディ ターのよ うなテキス ト エディ ターで空のファイルを開いて、 そのファイルの内容を定義します。 Vivado IDE では、 モジュールやエンティティ宣言用にポート を定義するための [Define Modules] ダイアログ ボッ クスが開きます。

7. オプシ ョ ン : [Define Module] ダイアログ ボッ クス (図 3-4) で次のオプシ ョ ンを使用して Verilog、Verilog ヘッダー、SystemVerilog、 または VHDL でモジュールまたはアーキテクチャを定義したら、 [OK] をク リ ッ ク します。

° [New Source Files] : 複数ファイルを作成したら、 定義するモジュールの名前をク リ ッ ク します。

注記 : このフ ィールドは複数ファイルを作成した場合にのみ表示されます。

° [Entity name/Module name] : VHDL コードのエンティティ または Verilog または SystemVerilog コードのモジュール名の名前を指定します。

注記 : エンティティ またはモジュール名はデフォルトでそのファイル名になり ますが、 別の名前を付けること もできます。

° [Architecture name] : RTL ソース ファ イルのアーキテクチャを指定します。デフォルトでは [Behavioral] です。

注記 : このオプシ ョ ンは、 VHDL コードの場合にのみ表示され、 Verilog または SystemVerilog モジュールを定義する場合には表示されません。

° [I/O Port Definitions] : モジュール定義に追加するポート を定義します。

- [Port Name] : RTL コードに記述されるポートの名前を定義します。

- [Direction] : ポート を入力、 出力、 双方向のいずれかに指定します。

- [Bus] : ポートがバス ポートかど うかを指定します。 次の [MSB] および [LSB] オプシ ョ ンを使用してポートのバス幅を定義します。

- [MSB] : 上位ビッ ト (MSB) の数を定義します。 [LSB] フ ィールド と組み合わせて、 定義されるバスの幅を指定します。

- [LSB] : 下位ビッ ト (LSB) の数を定義します。

注記 : ポートがバス ポートでない場合は、 MSB および LSB は無視されます。

X-Ref Target - Figure 3-3

図 3‐3 : [Create Source File] ダイアログ ボックス

システム レベル デザイン入力 japan.xilinx.com 41UG895 (v2014.3) 2014 年 10 月 9 日

Page 42: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : ソース ファイルの操作

[Sources] ウ ィンド ウに新し く定義したモジュールがリ ス ト されます。 Vivado IDE テキス ト エディ ターで新しいソース ファ イルを編集するには、ファ イルをダブルク リ ッ クするか、[Open File] ポップアップ メニューをク リ ック します。新規作成したファイルの編集方法については、第 3 章の 「テキス ト エディ ターの使用」 を参照してください。

デザイン  ソースの追加

1. [File] → [Add Sources] をク リ ッ ク します。

注記 : または、 Flow Navigator で [Add Sources] をク リ ッ クするか、 [Sources] ウ ィンド ウのポップアップ メニューから [Add Sources] をク リ ッ ク します。

2. Add Sources ウ ィザード (図 3-1) で [Add or Create Design Sources] をオンにし、 [Next] をク リ ッ ク します。

3. [Add or Create Design Sources] ページ (図 3-2) で次のオプシ ョ ンを設定し、 [Finish] をク リ ッ ク します。

° [Add Files] : プロジェク トに追加するファイルを選択するためのファイル ブラウザーが表示されます。 RTLプロジェク トには、 HDL、 EDIF、 NGC、 BMM、 ELF、 DCP およびその他のファイル タイプを追加できます。

注記 : [Add Source Files] ダイアログ ボッ クスでは、各ファイルまたはディ レク ト リがそれとわかるよ うなアイコンで表示されます。 小さい赤い四角は、 読み出し専用であるこ とを示します。

° [Add Directories] : 選択したディ レク ト リに含まれるすべてのファイルを追加します。 指定したディ レク ト リにある有効なソース ファイルがすべてプロジェク トに追加されます。

° [Create File] : VHDL、Verilog、Verilog ヘッダー、または SystemVerilog ファ イルを作成する [Create Source File]ダイアログ ボッ クスが開きます。

° [Library] : ファ イルまたはディ レク ト リの RTL ライブラ リ を指定します。 定義済みのライブラ リ名から選択するか、 新規ライブラ リ名を入力します。

注記 : このオプシ ョ ンは、 VHDL ファ イルの場合のみ使用できます。 デフォルトでは、 HDL ソース ファ イルは work ラ イブラ リに追加されます。 必要に応じて、 ユーザー VHDL ラ イブラ リ を作成し、 参照できます。 Verilog および SystemVerilog ファ イルの場合は、 work のままにしておいてください。

X-Ref Target - Figure 3-4

図 3‐4 : [Define Module] ダイアログ ボックス

システム レベル デザイン入力 japan.xilinx.com 42UG895 (v2014.3) 2014 年 10 月 9 日

Page 43: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : ソース ファイルの操作

° [Delete] : 選択したソース ファ イルを削除します。

° [Move Selected File Up] : ファ イルまたはディ レク ト リ を リ ス トの上方向に移動します。 ファ イル順は、 合成やシ ミ ュレーシ ョ ンなどのダウンス ト リーム プロセスでのエラボレーシ ョ ンおよびコンパイルの順序に影響します。

° [Move Selected File Down] : ファ イルまたはディ レク ト リ を リ ス トの下方向に移動します。

° [Scan and Add RTL Include Files into Project] : 追加した RTL ファ イルをスキャンし、 参照された Verilog の'include ファ イルをローカル プロジェク ト ディレク ト リにインポート します。

° [Copy Sources into Project] : 元のファイルを参照するのではなく、ローカル プロジェク ト ディ レク ト リにファイルをコピーします。

注記 : [Add Directories] ボタンをク リ ッ ク してソース ファ イルのディ レク ト リ を追加した場合は、 ファ イルがローカルのプロジェク トにコピーされる際にディ レク ト リ構造もそのまま保持されます。詳細は、「 リモート ソースの参照またはプロジェク ト ディ レク ト リへのソースのコピー」 を参照してください。

° [Add Sources from Subdirectories] : [Add Directories] で指定したディ レク ト リのサブディ レク ト リに含まれるソース ファ イルをすべて追加します。

最上位モジュールの指定とソース ファイルの順序の変更

Vivado Design Suite では、 デザイン階層の 上位およびプロジェク トに追加されるファ イルのエラボレーシ ョ ン、 合成、シ ミ ュレーシ ョ ンの順序が自動的に判断されます。デザイン階層は、 [Sources] ウ ィンド ウの [Hierarchy] ウ ィンドウに表示されます。ファ イルの順序は、[Sources] ウ ィンド ウの [Compile Order] ビューに表示されている順序になり ます。

上位モジュールの自動指定は、デザイン階層の 上位を手動で指定する と上書きできます。 上位モジュールを指定するには、[Sources] ウ ィンド ウの [Hierarchy] ビューでモジュールを右ク リ ッ ク して [Set as Top] をク リ ッ ク します。

注記 :選択した 上位モジュールがデザイン ソース ファ イルで見つからず、階層アップデート モードが automatic に設定されている場合は、 選択した 上位モジュールは自動的に 適なモジュールにリセッ ト されます。

上位モジュールを変更する と、新しい 上位モジュールの要件に基づいて、 [Sources] ウ ィンド ウの [Hierarchy] および [Compile Order] ビューでファイルが並び替えられます。[Sources] ウ ィンド ウのポップアップ メニューから [RefreshHierarchy] を使用する と、 ソース ファ イルの変更に基づいてファイルが自動的に並び替えられます。

[Sources] ウ ィンド ウのポップアップ メニューから [Hierarchy Update] を使用する と、 このコンパイル順序の自動指定を上書きできます。手動モードの場合は、ユーザーの要件に従って手動でファイル順を変更できます。 ソース ファ イルの順序を手動で指定するには、[Sources] ウ ィンド ウの [Compile Order] ビューでファイルをド ラ ッグして適切な位置に移動します。 または、 ファ イルを右ク リ ッ ク して [Move Up]、 [Move Down]、 [Move to Top] または [Move to Bottom]をク リ ッ ク して並び替えるこ と もできます。

すべてのソースのコンパイルまたは評価順のリ ス ト を確認するには、Tcl コンソールで report_compile_order コマンドを使用します。 このコマンドでは、 合成、 インプリ メンテーシ ョ ンおよびシ ミ ュレーシ ョ ンでコンパイルまたは評価される順序でファイルがリ ス ト されます。 RTL のコンパイル順は、合成およびシ ミ ュレーシ ョ ン用にリ ス ト されます。 制約の評価順序は、 合成およびシ ミ ュレーシ ョ ン用にリ ス ト されます。

注記 : [Sources] ウ ィンド ウの詳細は、『Vivado Design Suite ユーザー ガイ ド : Vivado IDE の使用』 (UG893) [参照 6] を参照してください。

ソース ファイルのイネーブル/ディスエーブル

ソース ファ イルを追加または作成する と、 [Sources] ウ ィンド ウでデフォルトでイネーブルになり ます。 ソース ファイルは、 エラボレーシ ョ ン、 合成、 またはシ ミ ュレーシ ョ ンで使用されないよ うディ スエーブルにできます。 デザインのさまざまな段階でソース ファイルをイネーブルおよびディ スエーブルするこ とで、1 つのプロジェク ト内でさまざまなデザイン コンフ ィギュレーシ ョ ンを管理できます。

• ソース ファ イルをディ スエーブルにするには、 [Sources] ウ ィンド ウでファ イルを右ク リ ッ ク し、 [Disable File] をク リ ッ ク します。

システム レベル デザイン入力 japan.xilinx.com 43UG895 (v2014.3) 2014 年 10 月 9 日

Page 44: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : ソース ファイルの操作

• ソース ファ イルをイネーブルにするには、 [Sources] ウ ィンド ウでファ イルを右ク リ ッ ク し、 [Enable File] をクリ ッ ク します。

リモート  ソースの参照またはプロジェク ト  ディ レク ト リへのソースのコピー

ソース ファ イルは、 リモート ロケーシ ョ ンから参照するか、 プロジェク ト ディ レク ト リにコピーできます。 リモート ファ イルを追加した場合、 新のファ イルが自動的に検出され、 開いているデザインを更新するか ([Refresh youropen Designs])、 アップデート されたファ イルを使用して合成を実行するか ([Synthesize with the latest updates]) を選択するダイアログ ボッ クスが表示されます。プロジェク ト を移動またはアーカイブする可能性がある場合は、すべてのファイルがプロジェク ト内に含まれるよ うに、 ファ イルをプロジェク トにコピーするこ とをお勧めします。

注記 : プロジェク トにファ イルをコピーする と、 プロジェク ト を別のシステムに移行しやすくな り ますが、 元のファイルへの変更は Vivado IDE では自動的には検出されません。 元のファ イルを変更したと きにコピーしたファ イルもアップデートするには、ファ イルを削除して追加し直すか、[Sources] ウ ィンド ウの [Replace File] コマンドを使用してファイルを置き換える必要があ り ます。

プロジェク トにソースをコピーするには、 次のいずれかを実行します。

• [Add Sources] コマンドでソース ファ イルをプロジェク トに追加する際に [Copy Sources into Project] をオンにすると、 ソース ファ イルがプロジェク ト ディ レク ト リにコピーされます。

• ソース ファ イルを 初リモート ソース と して追加し、 後でプロジェク ト ディ レク ト リにコピーする場合は、[Sources] ウ ィンド ウでファ イルを右ク リ ッ ク して [Copy File into Project] をク リ ッ ク してソース ファ イルを個別にコピーするか、 または [Copy All Files Into Project] をク リ ッ ク してすべてのリモート ソース ファ イルをコピーします。

ローカル ソース ファイルのアップデート

リ モー ト ソースを参照する と、 そのアップデートは Vivado IDE で自動的に検出されます。 ソース ファ イルがプロジェク トにコピーされている場合は、元のファイルへの変更は検出されません。必要に応じて、ローカル ソース ファイルを手動でアップデートする必要があ り ます。

ローカル ソース ファ イルをアップデートするには、 次のいずれかの方法を使用してください。

• [Sources] ウ ィンド ウでファイルを選択し、 ポップアップ メニューから [Replace File] をク リ ッ ク します。

ファ イル ブラウザーにコピー元のソース ファ イルが表示されます。元のディ レク ト リが変更された場合は、ディレク ト リ を指定してファイルを選択する必要があ り ます。 [OK] をク リ ッ ク して元のソース ファ イルを読み込みすと、 ソース ファ イルへの変更を含めてプロジェク ト ファ イルがアップデート されます。

注記 :別のファイルを指定する と、 選択したファイルがその新しいファイルに置き換えられます。 たとえば、 元のフ ァ イルが File_1.v で、 File_2.v を選択した場合、 元の File_1.v がプロジェ ク ト か ら削除され、File_2.v がプロジェク トにコピーされます。

• [Sources] ウ ィンド ウで右ク リ ッ ク して [Add Sources] をク リ ッ ク し、 アップデート されたソース ファ イルをプロジェク トに追加します。

追加したファ イルがプロジェク トにインポート されます。 ただし、 同じ名前のローカル ソース ファ イルが既に存在するので、図 3-5 に示す [Import Source Conflicts] ダイアログ ボッ クスが表示され、既存のファイルを上書きするか、 新し く追加したファ イルを読み込まないかを選択するよ う求められます。 これは ウ ィザードで [CopySources into Project] がオンになっている場合にのみ表示されます。 これ以外の場合、 同じ名前の外部参照ファ イルの方がプロジェク トに追加されます。

システム レベル デザイン入力 japan.xilinx.com 44UG895 (v2014.3) 2014 年 10 月 9 日

Page 45: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : ソース ファイルの操作

制約の操作Vivado IDE では、 Xilinx® Design Constraint (XDC) および Synopsys Design Constraint (SDC) ファ イル形式がサポート されます。 SDC 形式ではタイ ミ ング制約、 XDC 形式はタイ ミ ングおよび物理制約の両方が指定されます。 制約には、配置、 タイ ミ ング、 および I/O に関する指示があ り ます。 制約は、 RTL 解析、 合成、 インプリ メンテーシ ョ ンを含めたデザイン フローのさまざまな段階中に作成できます。

Vivado IDE を使用する と、 プロジェク トで制約を柔軟に定義および作成できます。 1 つの XDC ファ イルを使用してデザインで使用されるすべての制約を追加および管理するか、 または制約を複数の XDC ファ イルに分類して管理できます。 複数の制約セッ ト を作成して、 さまざまな制約を試したり、 複数のバージ ョ ンの制約を保存したりするこ とも可能です。 各制約セッ トには、 1 つまたはそれ以上の制約ファイルを含めるこ とができます。

1 つの制約セッ ト を複数のデザインで使用できます。 ただし、 この場合は変更の管理に注意を払う必要があ り ます。複数のデザインに保存されていない変更がある場合、どの制約ファイルを保存するかを選択するダイアログ ボッ クスが表示されます。 詳細は、 『UltraFast 設計手法 (Vivado Design Suite 用)』 (UG949) [参照 19] を参照してください。

注意 : 制約ファイルを保存する際は、 保存していないデザインの保存していない制約定義を上書きしないよ うに注意してください。

インプ リ メン ト済みデザインには、 インプリ メンテーシ ョ ン run の実行中に使用された制約セッ トのスナップシ ョ ットが保存されます。 この制約セッ トの名前は、開いているプロジェク トのアクティブ制約セッ ト と同じ名前のこ と もあ り ます。 インプ リ メン ト済みデザインを開く際、 インプリ メンテーシ ョ ン run から読み込まれた制約セッ トがプロジェク ト メモ リに現在ある制約セッ ト よ り古い場合があ り、デザインを保存したと きに新し く定義された制約が失われる可能性があ り ます。 通常は Vivado IDE でこれらの リ ビジ ョ ンの問題が管理され、 必要に応じて適切な処置をとるよ う メ ッセージが表示されますが、 メモ リにある現在の制約セッ ト と インプリ メン ト済みデザインに関連付けられている制約との間に競合がある可能性があるこ とを念頭に置いてください。

Vivado IDE では、 次のウ ィンド ウを使用して制約を作成および変更できます。

• [Timing Constraints] ウ ィンド ウ : プロジェク トの XDC ファ イル タイ ミ ング制約がすべて表で表示されます。既存の制約はインタラ クティブに編集して、ソース ファ イルに保存し戻すこ とができるほか、新規に制約を作成するこ と もできます。

• [Device Constraints] ウ ィンド ウ : 表示されたバンクでさまざまな SelectIO™ インターフェイスを設定できます。

• [Physical Constraints] ウ ィンド ウ : Pblock を作成および管理できます。

X-Ref Target - Figure 3-5

図 3‐5 : [Import Source Conflicts ] ダイアログ ボックス

システム レベル デザイン入力 japan.xilinx.com 45UG895 (v2014.3) 2014 年 10 月 9 日

Page 46: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : ソース ファイルの操作

ヒン ト : 合成済みデザインで [Tools] → [Timing] → [Constraints Wizard] をク リ ッ クする と、ザイ リ ンクスの推奨する設計手法に基づいた 上位 XDC ファ イルが作成されます。 ウ ィザードに従って、 ク ロ ッ クを指定し、 入力および出力制約を設定し、 ク ロ ッ ク乗せ替えのクロ ッ ク グループに適切に制約を付けます。

ビデオ : タイ ミ ング制約ウ ィザードの概要については、 Vivado Design Suite ビデオ チュート リ アル : タイ ミ ング制約ウ ィザードの使用を参照してください。

注記 :詳細は、 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 7] を参照してください。

制約ファイルの追加と作成

1. [File] → [Add Sources] をク リ ッ ク します。

注記 : または、 Flow Navigator で [Add Sources] をク リ ッ クするか、 [Sources] ウ ィンド ウのポップアップ メニューから [Add Sources] をク リ ッ ク します。

2. Add Sources ウ ィザード (図 3-1) で [Add or Create Constraints] をオンにし、 [Next] をク リ ッ ク します。

3. [Add or Create Constraints] ページ (図 3-6) で次のオプシ ョ ンを設定し、 [Finish] をク リ ッ ク します。

° [Specify Constraint Set] :制約ファイルを追加する制約セッ ト を選択します。デフォルトでは現在アクティブな制約セッ トが選択されていますが、別の制約セッ ト を指定したり、 ド ロ ップダウン メニューを使用して新しい制約セッ ト を作成するこ と もできます。

° [Add Files] : プロジェク トに追加する XDC、 SDC、 または Tcl ファ イルを指定します。

注記 : Tcl スク リプ トの詳細については、『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 7]を参照してください。

° [Create File] : 新しい 上位の XDC が作成されます。

° [Remove] : 制約ファイルのリ ス トから選択したファイルが削除されます。

° [Up]/[Down] : XDC、 SDC、 または Tcl ファ イルを リ ス トの上下方向に移動します。 XDC、 SDC、 または Tclファ イルには、 タイ ミ ングおよび物理制約を設定し、 記述順序に依存するコマンドが含まれます。 制約セット に複数の制約ファ イルが含まれる場合、 [Sources] ウ ィ ン ド ウに表示される順序でファ イルが処理されます。 初にリ ス ト されているファ イルが 初に処理されます。複数の制約ファイルに同じ制約が含まれている場合、 後に処理されたファイルの制約定義が使用されます。

° [Copy Constraints into Project] : 元のファイルを参照するのではなく、 ローカル プロジェク ト ディ レク ト リに制約ファイルをコピーします。

システム レベル デザイン入力 japan.xilinx.com 46UG895 (v2014.3) 2014 年 10 月 9 日

Page 47: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : ソース ファイルの操作

ターゲッ ト  XDC ファイルの設定

Vivado IDE では、制約を保存する と、新し く作成された制約が XDC ファ イルに書き込まれ、 ターゲッ ト XDC ファ イルと して認識されます。 デフォルトでは、 新しい制約セッ トにはターゲッ ト XDC ファ イルはあ り ません。 新し く制約を作成する場合は、 制約を保存する際にターゲッ ト XDC ファ イルを設定する必要があ り ます。 制約を保存する必要がある場合は、 [Save Constraints] ツールバー ボタン がオンになり ます。

[Save Constraints] ツールバー ボタンをク リ ッ クする と、 [Save Constraints File] ダイアログ ボッ クス (図 3-7) が表示され、 アクティブ制約セッ トから既存 XDC ファ イルを選択するか、 新規ファ イルを作成してアクティブ制約セッ トに追加できます。

XDC ファ イルがターゲッ ト と して設定される と、 [Sources] ウ ィンド ウのファイル名の横に (target) と表示されます (図 3-8)。 ターゲッ ト XDC ファ イルは、 [Souces] ウ ィンド ウを右ク リ ッ ク して [Set as Target Constraint File] をク リ ッ クしていつでも変更できます。

X-Ref Target - Figure 3-6

図 3‐6 : Add Sources ウィザード  : [Add or Create Constraints] ページ

X-Ref Target - Figure 3-7

図 3‐7 : [Save Target Constraints File] ダイアログ ボックス

システム レベル デザイン入力 japan.xilinx.com 47UG895 (v2014.3) 2014 年 10 月 9 日

Page 48: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : ソース ファイルの操作

注記 : [Timing Constraints] ウ ィンド ウで変更した既存の制約は、 ターゲッ ト XDC ではなく、 その制約が記述されていた元の XDC ファ イルに書き込まれます。

元の XDC ファイルの参照またはファイルのコピー

ほかのソース ファ イルと同様、 XDC ファ イルも リモートにあるもの参照するか、 ローカルのプロジェク トにコピーできます。 リモート ファ イルを追加した場合、 新のファイル バージ ョ ンが自動的に検出され、 新のファイルを使用してデザインを更新するよ う メ ッセージが表示されます。

プロジェク トに制約をコピーするには、 次のいずれかを実行します。

• [Add Sources] コマンドで制約ファイルをプロジェク トに追加する際に [Copy Constraints into Project] をオンにする と、 制約ファイルがプロジェク ト ディレク ト リにコピーされます。

• 制約ファイルを 初リモート ソース と して追加し、 後でプロジェク ト ディ レク ト リにコピーする場合は、[Sources] ウ ィンド ウでファイルを右ク リ ッ ク して [Copy File into Project] をク リ ッ ク してソース ファ イルを個別にコピーするか、 または [Copy All Files Into Project] をク リ ッ ク してすべてのリモート ソース ファ イルをコピーします。

注記 :詳細は、「 リモート ソースの参照またはプロジェク ト ディ レク ト リへのソースのコピー」 を参照してください。

制約セッ トの使用

制約セッ ト とは、個別に管理されている 1 つまたは複数の制約ファイルで、解析およびインプリ メンテーシ ョ ンでは1 つの XDC ファ イルに連結されます。 制約セッ トでは、 デザイン プロセスのある時点または特定の条件化で使用される制約ファイルが定義されます。複数の制約セッ ト を定義するこ とによ り、 フロアプランやタイ ミ ングの問題を解決するために異なる制約を試すこ とができます。

XDC ファ イルは、 合成と インプ リ メ ンテーシ ョ ンのいずれか、 または両方で使用されます。 デフォル トでは、 すべての XDC ファ イルが合成と インプリ メンテーシ ョ ンの両方で使用されるよ うに設定されています。XDC ファ イルの[Used In] 設定を変更するには、 [Sources] ウ ィンド ウでファ イルを選択し、 [Source File Properties] ウ ィンド ウの [UsedIn] フ ィールドでオン/オフを切り替えます (図 3-9)。

X-Ref Target - Figure 3-8

図 3‐8 : [Sources] ウィンドウのターゲッ ト  XDC ファイル

システム レベル デザイン入力 japan.xilinx.com 48UG895 (v2014.3) 2014 年 10 月 9 日

Page 49: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : ソース ファイルの操作

制約セッ トの作成と編集

1. [Sources] ウ ィンド ウでポップアップ メニューから [Edit Constraint Sets] をク リ ッ ク します。

2. [Edit Constraint Set] ダイアログ ボッ クスで次のいずれかを実行します。

° 制約セッ ト を編集する場合は、[Specify Constraint Set] フ ィールドの隣のド ロ ップダウン メニューをク リ ッ クし、 制約セッ ト を選択します。

° 制約セッ ト を作成する場合は、[Specify Constraint Set] フ ィールドの隣のド ロ ップダウン メニューをク リ ッ クし、 [Create Constraint Set] を選択します。 [Create Constraint Set Name] ダイアログ ボッ クス (図 3-10) で制約セッ トの名前を入力し、 [OK] をク リ ッ ク します。

X-Ref Target - Figure 3-9

図 3‐9 : XDC ファイルの [Used In] 設定

X-Ref Target - Figure 3-10

図 3‐10 : [Create Constraint Set Name] ダイアログ ボックス

システム レベル デザイン入力 japan.xilinx.com 49UG895 (v2014.3) 2014 年 10 月 9 日

Page 50: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : ソース ファイルの操作

3. [Edit Constraint Set] ダイアログ ボッ クスで次のオプシ ョ ンを設定し、 [OK] をク リ ッ ク します。

° [Add Files] : 制約セッ トに追加する XDC または SDC ファ イルを指定します。

° [Create File] : 制約セッ トに追加する新しい XDC ファ イルの名前とディ レク ト リ を指定します。

° [Remove] : 制約ファイルのリ ス トから選択したファイルが削除されます。

注記 : [OK] ボタンを使用する と、 まだ制約セッ トに追加されていないファイルのみを削除できます。既に制約セッ ト に追加されたファ イルを削除するには、 [Sources] ウ ィ ン ド ウでファ イルを右ク リ ッ ク し、 ポップアップ メニューから [Remove File from Project] をク リ ッ ク します。

° [Up]/[Down] : XDC および SDC ファ イルを リ ス トの上下方向に移動します。XDC および SDC ファ イルには、タイ ミ ングおよび物理制約を設定し、記述順序に依存するコマンドが含まれます。制約セッ トに複数の制約ファイルが含まれる場合、 [Sources] ウ ィンド ウに表示される順序でファイルが処理されます。 初にリ ス トされているファ イルが 初に処理されます。複数の制約ファイルに同じ制約が含まれている場合、後に処理されたファイルの制約定義が使用されます。

° [Copy Constraints into Project] : 元のファイルを参照するのではなく、 ローカル プロジェク ト ディ レク ト リに制約ファイルをコピーします。

[Save Constraints As] コマンドを使用した制約セッ トの作成

設計および解析プロセスで制約に加えた変更を新しい制約セッ ト を作成して保存できます。制約は複数の方法で変更できるので、 変更を新しい制約セッ ト と して保存する と便利です。 [File] → [Save Constraints As] をク リ ッ ク し、 [SaveDesign As] ダイアログ ボッ クス (図 3-11) を開き、 すべての制約を保存する新しい制約セッ ト名を入力します。

[Save Constraints As] コマンドを使用する と、 次の処理が実行されます。

• 新しい制約セッ トが作成されます。

• アクティブ制約ファイルがローカル プロジェク ト ディ レク ト リの新しい制約セッ トにコピーされます。

• 制約への変更はコピーされた制約ファイルに記述され、 元の XDC ファ イルは変更されません。

• [Save Design As] ダイアログ ボッ クスには、 新しい制約セッ ト をアクティブにするオプシ ョ ンがあ り ます。

アクテ ィブ制約セッ トの定義

複数の制約セッ トが存在する場合、 アクティブ制約セッ ト を指定する必要があ り ます。 デフォルトでは、 合成またはインプ リ メンテーシ ョ ン run を開始したと き、 またはエラボレート済み、 合成済み、 またはインプリ メンテーシ ョ ン済みデザインを開いたと きに、 アクティブな制約セッ トが使用されます。

制約セッ ト をアクティブに設定するには、 [Sources] ウ ィンド ウで制約セッ ト を右ク リ ッ ク し、 [Make active] をク リ ック します。 [Sources] ウ ィンド ウのアクティブ制約セッ トの横に太字で (active) と表示されます (図 3-12)。

X-Ref Target - Figure 3-11

図 3‐11 : [Save Constraints As] ダイアログ ボックス

システム レベル デザイン入力 japan.xilinx.com 50UG895 (v2014.3) 2014 年 10 月 9 日

Page 51: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : ソース ファイルの操作

制約のエクスポート

Vivado IDE で制約ファ イルを作成し、 コマンド ラ イン デザイン フローでのスク リプ トに使用するこ と もできます。コマンド ライン フロー用に制約をエクスポートするには、[File] → [Export] → [Export Constraints] をク リ ッ ク します。

I/O ポートおよびバンクの I/O 規格制約 (ユーザー指定値および Vivado IDE で自動的に割り当てられたデフォル ト値の両方) を XDC ファ イルにエクスポートするには、 [File] → [Export] → [Export I/O Ports] をク リ ッ ク し、 XDC ファ イルを生成します。

制約ファイルのイネーブル/ディスエーブル

制約ファイルを追加または作成する と、 [Sources] ウ ィンド ウでデフォルトでイネーブルになり ます。制約ファイルをディ スエーブルにする と、エラボレーシ ョ ン、合成、またはインプリ メンテーシ ョ ンで使用されないよ うにできます。

• 制約ファイルをディ スエーブルにするには、 [Sources] ウ ィンド ウでファ イルを右ク リ ッ ク し、 [Disable File] をクリ ッ ク します。

• ソース ファ イルをイネーブルにするには、 [Sources] ウ ィンド ウでファ イルを右ク リ ッ ク し、 [Enable File] をクリ ッ ク します。

制約評価順の変更

関連する制約セッ ト内ではユーザー制約の順序を変更できます。 順序を変更するには、 [Sources] ウ ィ ンド ウで XDCファ イルをド ラ ッグ アンド ド ロ ップします。

Vivado IDE で処理されるすべての XDC ファ イルの順序を取得するには、Tcl コンソールに report_compile_order-constraints コマンドを入力します。 これによ り、 ユーザー制約および IP を含むデザインのすべての制約がリ スト されます。

注記 :制約の順序を変更する方法については、『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 7] を参照してください。

UCF 制約の変換

Vivado IDE でサポート されるのは、 XDC および SDC ファ イルのみで、 ユーザー制約ファイル (UCF) はサポート されません。 次のいずれかの方法を使用する と、 UCF 制約を XDC 制約に変換できます。

X-Ref Target - Figure 3-12

図 3‐12 : アクティブ制約セッ ト

システム レベル デザイン入力 japan.xilinx.com 51UG895 (v2014.3) 2014 年 10 月 9 日

Page 52: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : ソース ファイルの操作

• PlanAhead™ ツールでデザインを開きます。 Tcl コンソールに 「write_xdc <filename>.xdc」 と入力します。

注記 : write_xdc コマンドは、 ファ イル コンバーターではあ り ません。デザインに適用するこ とができた制約を XDC ファ イルと して記述するコマンドです。この変換は単に XDC ベースの制約へ移行する際の開始点と して使用するこ とを目的と しています。

推奨 : この方法は、 物理制約を変換する場合にのみ推奨されます。 タイ ミ ング制約、 特にタイ ミ ング例外制約を変換するのには推奨されません。

• UCF 制約を手動で XDC に変換します。

推奨 : この方法は、 特にタイ ミ ング制約およびタ イ ミ ング例外制約などの UCF 制約を変換するのに推奨されます。UCF と XDC 制約の構造が異なるため、 自動変換はあま り う ま くいきません。 たとえば、 UCF は制約のネッ ト をターゲッ トにするのに対し、 XDC ではセル、 ポート、 またはピンをターゲッ トにします。

注記 :詳細は、『Vivado Design Suite : ISE から Vivado Design Suite への移行手法ガイ ド』 (UG911) [参照 8] または 『VivadoDesign Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 7] を参照して ください。

シミ ュレーシ ョ ン  ソースの操作Vivado IDE では、RTL プロジェク トのビヘイビア シ ミ ュレーシ ョ ン用に、シ ミ ュレーシ ョ ン ソースを追加できます。シ ミ ュレーシ ョ ン ソース ファ イルには、シ ミ ュレーシ ョ ンのスティ ミ ュラス と して使用する HDL ベースのテス トベンチ ファ イルが含まれます。 シ ミ ュレーシ ョ ン ソースは、 Vivado シ ミ ュレータでのビヘイビアー シ ミ ュレーシ ョ ンに使用されます。

シ ミ ュレーシ ョ ン ソース ファ イルはシ ミ ュレーシ ョ ン ファ イル セッ トに格納され、 [Sources] ウ ィ ンド ウにフォルダーと して表示されます。 リモートのものを参照するか、 ローカル プロジェク ト ディ レク ト リに保存されているものを使用できます。 シ ミ ュレーシ ョ ン セッ ト を使用する と、 シ ミ ュレーシ ョ ン コンフ ィギュレーシ ョ ンごとに異なるソースを定義できます。たとえば、1 つのシ ミ ュレーシ ョ ン ソースに 1 つのテス トベンチを使用してビヘイビア シミ ュレーシ ョ ン用のスティ ミ ュラスを含め、別のシ ミ ュレーシ ョ ン ソースには別のテス トベンチを使用するこ とができます。 シ ミ ュレーシ ョ ン ソースをプロジェク トに追加する際、 ファ イルを追加するシ ミ ュレーシ ョ ン ソース セット を指定できます。

注記 :詳細は、 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 10] を参照してください。

シミ ュレーシ ョ ン  ソース ファイルの追加と作成

1. [File] → [Add Sources] をク リ ッ ク します。

注記 : または、 ポップアップ メニューまたは Flow Navigator から [Add Sources] をク リ ッ ク します。

2. Add Sources ウ ィザード (図 3-1) で [Add or Create Simulation Sources] をオンにし、 [Next] をク リ ッ ク します。

3. [Add or Create Simulation Sources] ページ (図 3-13) で次のオプシ ョ ンを設定し、 [Finish] をク リ ッ ク します。

° [Specify Simulation Set] : テス トベンチ ファ イルを含めるシ ミ ュレーシ ョ ン セッ トの名前およびディ レク トリ を入力します。 ド ロ ップダウン リ ス ト から [Create Simulation Set] を選択する と、 新規シ ミ ュレーシ ョ ンセッ ト を定義できます。

° [Add Files] : プロジェク トに追加するシ ミ ュレーシ ョ ン ソース ファイルを選択するためのファイル ブラウザーが表示されます。

° [Add Directories] : 選択したディ レク ト リに含まれるすべてのシ ミ ュレーシ ョ ン ソース ファ イルを追加します。 指定したディ レク ト リにある有効なソース ファ イルがすべてプロジェク トに追加されます。

° [Library] : ファ イルまたはディ レク ト リのライブラ リ を指定します。 定義済みのライブラ リ名から選択するか、 新規ライブラ リ名を入力します。

システム レベル デザイン入力 japan.xilinx.com 52UG895 (v2014.3) 2014 年 10 月 9 日

Page 53: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : ソース ファイルの操作

注記 : このオプシ ョ ンは、 VHDL ファ イルの場合のみ使用できます。 デフォルトでは、 HDL ソース ファ イルは work ラ イブラ リに追加されます。 必要に応じて、 ユーザー VHDL ラ イブラ リ を作成し、 参照できます。 Verilog および SystemVerilog ファ イルの場合は、 work のままにしておいてください。

° [Create File] : シ ミ ュレーシ ョ ン ソース ファ イルを作成する [Create Source File] ダイアログ ボッ クスが開きます。

° [Remove] : 選択したソース ファ イルを削除します。

° [Move Selected File Up] : ファ イルを リ ス トの上方向に移動します。

° [Move Selected File Down] : ファ イルを リ ス トの下方向に移動します。

° [Scan and Add RTL Include Files into Project] : 追加した RTL ファ イルをスキャンし、 参照されるインクルードファ イルをすべて追加します。

° [Copy Sources into Project] : ソース ファ イルをプロジェク ト ディ レク ト リにコピーします。 プロジェク トではローカルにコピーされたバージ ョ ンが使用されます。

注記 : [Add Directories] ボタンをク リ ッ ク してソース ファ イルのディ レク ト リ を追加した場合は、 ファ イルがローカルのプロジェク トにコピーされる際にディ レク ト リ構造もそのまま保持されます。詳細は、「 リモート ソースの参照またはプロジェク ト ディ レク ト リへのソースのコピー」 を参照してください。

° [Add Sources from Subdirectories] : [Add Directories] で指定したディ レク ト リのサブディ レク ト リに含まれるソース ファ イルをすべて追加します。

° [Include all design sources for simulation] :sources_1 ファ イルセッ トからのデザイン ソース ファ イルをすべてシ ミ ュレーシ ョ ン ファイルセッ トにコピーします。

X-Ref Target - Figure 3-13

図 3‐13 : Add Sources ウィザード  : [Add or Create Simulation Sources] ページ

システム レベル デザイン入力 japan.xilinx.com 53UG895 (v2014.3) 2014 年 10 月 9 日

Page 54: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : ソース ファイルの操作

IP ソースの操作Vivado IDE では、 RTL プロジェク トで次のよ うなタイプの RTL プロジェク トの IP コアを追加および管理できます。

• Vivado Design Suite Xilinx Core Instance files (XCI)

デフォルトでは、独立したアウ ト オブ コンテキス ト フローをサポートする IP の合成済みチェッ クポイン ト ファイルが自動的に生成されます。 出力ファ イルを作成する際には、 DCP ファ イルの生成をオフにできます。 詳細は、『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 11] および『UltraFast 設計手法 (VivadoDesign Suite 用)』 (UG949) [参照 19] を参照してください。

[Set module as Out-of-Context] を使用する と、 XDC 制約をクエ リーするこ と もできます。 詳細は、 『Vivado DesignSuite ユーザー ガイ ド : 合成』 (UG901) [参照 15] の 「アウ ト オブ コンテキス ト (OOC)」 セクシ ョ ンを参照してください。

重要 : プロジェク ト モードまたは非プロジェク ト モードで IP を使用する場合は、 DCP ファ イルではなく、 XCI ファイルを常に使用して ください。 こ うするこ とで、 IP 出力ファ イルがすべてのデザイン フロー段階で一貫して使用されるよ うにな り ます。 IP がスタンドアロン (Out-of-Context) で合成され、 既に関連する DCP ファ イルがある場合は、その DCP ファ イルが自動的に使用され、 IP が合成し直されるこ とはあ り ません。

• Vivado IP パッケージャーを使用したユーザーのパッ ク した IP (XCI)

• CORE Generator™ コア (XCO)

XCO ファ イルを追加する際に IP コアの既存ステート を維持するには、 それに対応する NGC ファ イルがディ レク ト リにないと、 インプ リ メ ンテーシ ョ ンが問題な く実行されません。 ファ イルがない場合は、 IP のアップグレードがあれば、 IP コアを右ク リ ッ ク し [Upgrade IP] をク リ ッ ク します。

• サードパーティ IP

サードパーティから合成済み NGC または EDIF ネッ ト リ ス ト と して提供されている IP もあ り ます。[Add Sources]コマンドを使用する と、 これらのファイルをデザインに読み込むこ とができます。 詳細は、 「デザイン ソースの操作」 を参照してください。

注記 : IP の追加、パッケージ、シ ミ ュレーシ ョ ン、 アップグレードなど IP に関する詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 11] を参照してください。

既存 IP の追加

1. [File] → [Add Sources] をク リ ッ ク します。

注記 : または、 ポップアップ メニューまたは Flow Navigator から [Add Sources] をク リ ッ ク します。

2. Add Sources ウ ィザード (図 3-1) で [Add Existing IP] をオンにし、 [Next] をク リ ッ ク します。

システム レベル デザイン入力 japan.xilinx.com 54UG895 (v2014.3) 2014 年 10 月 9 日

Page 55: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : ソース ファイルの操作

3. [Add Existing IP] ページ (図 3-14) で次のオプシ ョ ンを設定し、 [Finish] をク リ ッ ク します。

° [Add Files] : Vivado Design Suite 用の XCI ファ イルまたは XCO ファ イルを選択できるファ イル ブラウザーが開きます。

° [Add Directories] : 指定したディ レク ト リ または下位ディ レク ト リから XCI または XCO ファ イルを選択できるディ レク ト リ ブラウザーが開きます。

° [Remove] : 選択したソース ファ イルを削除します。

° [Copy Sources into Project] : IP コア ファ イルをプロジェク ト ディ レク ト リにコピーします。 プロジェク トではローカルにコピーされたバージ ョ ンが使用されます。

追加された IP コアは、 [Hierarchy]、 [Libraries]、 [Compile Order] ビューのその他のソース ファ イルと一緒に、 [Sources]ウ ィンド ウの [IP Sources] ビューにそれぞれ表示されます。 [Sources] ウ ィンド ウにはコアを構成するファ イルが表示され、 コアを選択する と [Source File Properties] ウ ィンド ウにプロパティが表示されます。

注記 : IP コアの EDIF、 Verilog、 SystemVerilog ネッ ト リ ス ト または NGC ファ イルは、 RTL またはネッ ト リ ス ト ベースのプロジェク トに追加するこ と もできます。詳細は、第 2 章の 「合成後プロジェク トの作成」 を参照してください。

X-Ref Target - Figure 3-14

図 3‐14 : Add Sources ウィザード  : [Add Existing IP] ページ

システム レベル デザイン入力 japan.xilinx.com 55UG895 (v2014.3) 2014 年 10 月 9 日

Page 56: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : ソース ファイルの操作

IP インテグレーターのソースの操作Vivado IDE では、 RTL プロジェク トで IP サブシステム デザイン (拡張子は .bd) を追加および管理できます。 VivadoIP インテグレーターを使用する と、 IP サブシステム デザインを作成できます。 IP インテグレーターでは、 Vivado IPカタログからの複数の IP コアをインスタンシエートおよび相互接続するこ とで、 複雑なシステム デザインを作成できます。デザインは、 Vivado IDE 内の IP インテグレーターを使用してインタラ クティブに、 または Tcl コマンドのプログラムで作成できます。 IP インテグレーターの使用方法については、 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 12] および 『UltraFast 設計手法 (Vivado Design Suite用)』 (UG949) [参照 19] を参照してください。

注記 : Vivado IP インテグレーターには、 Vivado IP カタログで使用可能な IP の一部が提供されています。

重要 : Vivado IP インテグレーター は、 Zynq®-7000 All Programmable デバイスおよび MicroBlaze™ プロセッサをターゲッ ト にするデザインを含めたエンベデッ ド プロセッサ デザイン用の Xilinx Platform Studio (XPS) に代わるものです。 XPS は Vivado Design Suite とは統合されなくな り ましたが、 XPS で制約なしに作成された DCP および NGC ファイルは Vivado Design Suite のソース ファ イルと してサポート されます。

ヒン ト : Zynq デバイスをターゲッ トにする既存の XPS プロジェク トの場合は、 『Vivado Design Suite : ISE から VivadoDesign Suite への移行手法ガイ ド』 (UG911) [参照 8] に示す方法でデザインを Vivado IP インテグレーター に移行する必要があ り ます。

ブロック  デザイン  ソースを作成または開く

プロジェク トに追加されたブロ ッ ク デザイン ソースを作成または開くには、 次の手順に従ってください。

1. Flow Navigator で [IP Integrator] をク リ ッ ク します。

2. [Create Block Design] ク リ ッ ク します。

ブロック  デザイン  ソースの追加

プロジェク ト外に作成されたブロ ッ ク デザイン ソースを追加するには、 次の手順に従ってください。

1. [File] → [Add Sources] をク リ ッ ク します。

注記 : または、 ポップアップ メニューまたは Flow Navigator から [Add Sources] をク リ ッ ク します。

2. Add Sources ウ ィザード (図 3-1) で [Add Existing Block Design Sources] をオンにし、 [Next] をク リ ッ ク します。

3. [Add Existing Block Design Sources] ページ (図 3-15) で次のオプシ ョ ンを設定し、 [Finish] をク リ ッ ク します。

° [Add Files] : デザインに追加する IP インテグレーター ブロ ッ ク デザイン (BD) ファ イルを選択できるファ イル ブラウザーが開きます。

° [Remove] : 選択したソース ファ イルを削除します。

° [Move Selected File Up] : ファ イルを リ ス トの上方向に移動します。

° [Move Selected File Down] : ファ イルを リ ス トの下方向に移動します。

システム レベル デザイン入力 japan.xilinx.com 56UG895 (v2014.3) 2014 年 10 月 9 日

Page 57: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : ソース ファイルの操作

注記 : Zynq デバイスまたは MicroBlaze プロセッサをターゲッ トにするデザインに ELF ファ イルを関連付ける方法については、 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 12] を参照して ください。

DSP ソースの操作Vivado IDE ツールでは、 既存のザイ リ ンクス System Generator デザイン モデル ファ イル (.mdl) を DSP モジュールとしてインポート できます。 このモデルは下位モジュールと して階層レベルに追加、 またはデザインの 上位にインポートできます。 Vivado IDE ツール内から新規 DSP モジュールを定義して、ザイ リ ンクス System Generator でデザインを完了させるこ と もできます。

System Generator はザイ リ ンクスの DSP デザイン ツールで、 RTL ソース ファ イル、 Simulink® および MATLAB® ソフ ト ウェア モデル、 および DSP システムの C/C++ コンポーネン ト を 1 つのシ ミ ュレーシ ョ ンおよびインプ リ メ ンテーシ ョ ン環境にま とめるこ とができます。

System Generator デザインは、 よ く大容量 HDL デザインに組み込まれます。 System Generator ではスタンドアロンのFPGA デザインの作成およびインプリ メンテーシ ョ ンがサポート されますが、 Vivado IDE ツールでプロジェク ト を開始して、 System Generator を使用してプロジェク トの DSP モジュールを開発するこ とをお勧めします。 こ うするこ とで、 Vivado IDE ツールで FPGA デザインのプロジェク ト を管理できます。 まず、 DSP モジュールを System Generator内で開発および管理しておいてから、 Vivado IDE で 1 つのソース ファ イルと して処理します。

X-Ref Target - Figure 3-15

図 3‐15 : Add Sources ウィザード  : [Add Existing Block Designs] ページ

システム レベル デザイン入力 japan.xilinx.com 57UG895 (v2014.3) 2014 年 10 月 9 日

Page 58: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : ソース ファイルの操作

DSP モジュールの追加

1. [File] → [Add Sources] をク リ ッ ク します。

注記 : または、 ポップアップ メニューまたは Flow Navigator から [Add Sources] をク リ ッ ク します。

2. Add Sources ウ ィザード (図 3-1) で [Add or Create DSP Sources] をオンにし、 [Next] をク リ ッ ク します。

3. [Add or Create DSP Sources] ページ (図 3-16) で次のオプシ ョ ンを設定し、 [Finish] をク リ ッ ク します。

° [Add Sub-Design] : プロジェク トに追加する既存の System Generator モデル ファ イル (MDL) を指定するためのファイル ブラウザーが開きます。

° [Create Sub-Design] : System Generator が起動するので、 プロジェク トに追加する新しい DSP モジュールを定義できます。

° [Remove] : 選択した DSP ソース ファ イルを削除します。

° [Move Up] : 選択したソースを リ ス トの上方向に移動します。

° [Move Down] : 選択したソースを リ ス トの下方向に移動します。

° [Copy Sources into Project] : DSP モデル ファ イルをプロジェク ト ディ レク ト リにコピーします。 プロジェクトではローカルにコピーされたバージ ョ ンが使用されます。

4. [Create Sub-Design] を選択する と、 System Generator および MATLAB で DSP ソースの作成および管理が開始されます (図 3-17)。

X-Ref Target - Figure 3-16

図 3‐16 : Add Sources ウィザード  : [Add or Create DSP Sources] ページ

システム レベル デザイン入力 japan.xilinx.com 58UG895 (v2014.3) 2014 年 10 月 9 日

Page 59: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : ソース ファイルの操作

追加された DSP ソースが [Sources] ウ ィ ン ド ウの [IP Sources] ビ ューにそれぞれ表示されます。 DSP ソースは、[Hierarchy]、 [Libraries]、 [Compile Order] ビューのその他のソース ファ イルと一緒に表示されます。 [Sources] ウ ィンドウで DSP モジュールを選択する と、それに関連するファイルが表示され、 [Source File Properties] ウ ィンド ウにはそのプロパティが表示されます。

注記 : DSP ソースは Tcl コマンドの create_sysgen を使用しても追加できます。 このコマンドは新しい DSP 下位モジュールを作成すためにも使用できます。 Vivado IDE では、 新規 MDL ファ イルを作成し、 それを下位モジュールとしてプロジェク トに追加します。

ターゲッ トの生成

System Generator デザインが終了したら、[Sources] ウ ィンド ウの DSP モジュールのポップアップ メニューを使用してFPGA ターゲッ ト ファ イルを生成できます。 これらのコマンドは、 DSP ソースを [Sources] ウ ィンド ウで選択する と使用できるよ うになり ます (図 3-18)。

ターゲッ ト とは、 現在のデザインの合成、 シ ミ ュレーシ ョ ン、 インプ リ メ ンテーシ ョ ンをサポートするのに必要なDSP モジュールのさまざまなデザイン エレ メン トのこ とです。 これには、 上位モジュールの定義、 インスタンシエーシ ョ ン テンプレート、 合成済みネッ ト リ ス ト 、 その他の関連資料が含まれます。

X-Ref Target - Figure 3-17

図 3‐17 : System Generator

X-Ref Target - Figure 3-18

図 3‐18 : [Sources] ウィンドウの DSP ソース

システム レベル デザイン入力 japan.xilinx.com 59UG895 (v2014.3) 2014 年 10 月 9 日

Page 60: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : ソース ファイルの操作

[Sources] ウ ィンド ウで DSP ソースを選択する と、 次のポップアップ メニューが表示されます。

• [Create Top HDL] : DSP モジュールの 上位ラ ッパー ファ イルを作成し、 プロジェク トに追加します。 このコマンドは、 System Generator デザインが現在のプロジェク トの 上位の場合に使用します。

• [View Instantiation Template] : DSP モジュールを RTL デザインにインスタンシエートするために使用するインスタンシエーシ ョ ン テンプレート を作成します。 インスタンシエーシ ョ ン テンプレートは別の RTL ファ イルにコピーして貼り付ける と、 その階層で DSP モジュールのインスタンスを作成できます。

• [Create Testbench] : Simulink シ ミ ュレーシ ョ ンから抽出したテス ト ベクター ファ イルが System Generator で書き出され、 シ ミ ュレーシ ョ ン用の HDL テス トベンチとスク リプ ト ファ イルが生成されます。 テス トベンチは、 シミ ュレーシ ョ ン セッ トの [Sources] ウ ィンド ウに追加されます。

• [Generate] : System Generator モデルから合成、 インプリ メンテーシ ョ ン、 およびシ ミ ュレーシ ョ ンのターゲッ トデータが生成されます。これによ り、System Generator および MATLAB が起動し、必要なデータが作成されます。

• [Reset] : 現在のプロジェク トおよびローカルのプロジェク ト レポジ ト リから指定したターゲッ ト データが削除されます。 ターゲッ ト データは、 必要に応じて再生成できます。

ソース ファイルの編集Vivado IDE には、 RTL、 XDC、 Tcl およびその他のテキス ト ソースを作成または変更するためのテキス ト エディ ターが含まれます。 このテキス ト エディ ターには、 構文認識機能があるので、 RTL、 XDC、 Tcl のキーワードが認識されて色分けされます。 同時に複数のファイルを開く こ とができ、 各ファイルのタブをク リ ッ クする と、 開いているファイルを表示できます。

ファ イルを変更して保存していない場合は、 タブのファイル名の横にアスタ リ スク (*) が表示されます。 ファ イルを保存するには、 次のいずれかを実行します。

• [File] → [Save File] をク リ ッ ク します。

• Vivado IDE テキス ト エディ ターでポップアップ メニューから [Save File] をク リ ッ ク します。

• Vivado IDE テキス ト エディ ターで [Save File] ツールバー ボタンをク リ ッ ク します。

ファ イルを閉じる と きに保存していない変更がある場合は、変更を保存するかど うかを確認するダイアログ ボッ クスが表示されます。 ソース ファイルは、 [Save As] コマンドで新しいディ レク ト リに保存するこ と もできます。

注記 : Vivado IDE テキス ト エディ ターの詳細は、『Vivado Design Suite ユーザー ガイ ド : Vivado IDE の使用』 (UG893) [参照 6] を参照してください。

テキスト  エディ ターの使用

Vivado IDE のテキス ト エディ ターは、 [Schematic]、 [Messages]、 [RTL Netlist]、 [Hierarchy] などのその他ビューと クロスプローブできます。

テキス ト エディ ターのツールバーには、 次のよ うなボタンが含まれます。

• [Save] : 開いたファイルに現在の変更を保存します。

• [Undo] : 開いているファイルで実行した 後の変更を取り消します。

• [Redo] : 開いているファイルで実行した 後の変更をやり直します。

• [Cut] : 選択したセクシ ョ ンを切り取って、 ク リ ップボードに貼り付けます。

• [Copy] : 選択したセクシ ョ ンをコピーして、 ク リ ップボードに貼り付けます。

• [Paste] : ク リ ップボードの内容を指定した位置に貼り付けます。

• [Delete] : 選択したセクシ ョ ンを削除します。 削除したセクシ ョ ンは、 ク リ ップボードにはコピーされません。

• [Toggle Line Comments] : 選択した行の開始に適切なコ メン ト文字を入力します。

システム レベル デザイン入力 japan.xilinx.com 60UG895 (v2014.3) 2014 年 10 月 9 日

Page 61: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : ソース ファイルの操作

• [Toggle Column Selection] : テキス ト エディ ターが列選択モードになり、 列を切り取り、 コピー、 削除、 貼り付けるこ とができます。

• [Find] : テキス ト エディ ターの一番下に検索バーを表示し、 ファ イルの検索ができるよ うにな り ます。

• [Find in Files] : プロジェク ト全体でファイルを検索するための [Find in Files] ウ ィンド ウを表示します。

• [Language Templates] : [Language Templates] ビューを表示します。多くのよ くあるデザイン/制約構造用の Verilog、VHDL、 Tcl、 XDC のテンプレートが使用できます。

• [Insert Template] : 選択したテンプレート をテキス ト ファ イルのカーソルの位置に挿入します。 このコマンドは、テンプレート を選択している場合にのみ使用できます。

• [Move Caret to Document Start] : カーソルを編集する文書の開始箇所まで移動します。

• [Move Caret to Document End] : カーソルを編集する文書の終了箇所まで移動します。

テンプレートの使用

Vivado IDE には、 多くの Verilog、 VHDL、 XDC 構造用のテンプレートが含まれています。 テンプレート を表示するには、 Vivado IDE テキス ト エディ ターのツールバーから [Language Templates] をク リ ッ ク します。 [Templates] ウ ィンド ウが Verilog、 VHDL、 XDC のフォルダー別に表示されます。 テンプレート を選択する と、 それが [Preview] エリアに開きます (図 3-19)。

注記 : [Window] → [Language Templates] をク リ ッ ク して [Templates] タブを表示するよ うにする必要のあるこ と もあ ります。

テンプレート を選択する と、 テキス ト エディ ターのツールバーの [Insert Template] ボタンが使用できるよ うにな り ます。 これをク リ ッ クする と、 選択したテンプレートが編集するファイルのカーソルの位置に挿入されます。

X-Ref Target - Figure 3-19

図 3‐19 :言語テンプレートのプレビュー

システム レベル デザイン入力 japan.xilinx.com 61UG895 (v2014.3) 2014 年 10 月 9 日

Page 62: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : ソース ファイルの操作

検索/置換コマンドの使用

[Find] および [Find in Files] コマンドを使用する と、開いているソース ファ イルまたは選択したファイル内で文字列を検索できます。 次の操作を実行できます。

• 検索条件と して、 ワイルドカード (*) を含む任意のテキス ト文字列を入力できます。

• フ ィルター オプシ ョ ンを使用して、 ソース ファ イル、 制約ファイル、 レポート ファ イルを検索できます。

詳細は、 『Vivado Design Suite ユーザー ガイ ド : Vivado IDE の使用』 (UG893) [参照 6] を参照して ください。

ソース ファイルへのクロスプローブ

Vivado IDE では、 次のウ ィンド ウから RTL ソース ファ イルへクロスプローブできます。

• [Schematic] ウ ィンド ウ (エラボレート済み RTL、 合成、 またはインプリ メンテーシ ョ ン)

• [Netlist] ウ ィンド ウ (合成またはインプリ メンテーシ ョ ン後)

• [Device] ウ ィンド ウ (インプリ メンテーシ ョ ン後)

ク ロ スプローブするには、 これらのウ ィ ン ド ウからセルを右ク リ ッ ク し、 ポ ップア ップ メ ニューから [Go ToDefinition] または [Go To Source] をク リ ッ ク します。 RTL ソースが開き、 そのインスタンスの行がハイライ ト されます (図 3-20)。

システム レベル デザイン入力 japan.xilinx.com 62UG895 (v2014.3) 2014 年 10 月 9 日

Page 63: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : ソース ファイルの操作

ソース ファイルにクロスプローブする  Tcl コマンド

FILE_NAME および LINE_NUMBER プロパティを使用する と、セルが RTL ソースのどこにあるかに関する情報を取得できます。 この後、 テキス ト エディ ターで RTL ソースを開いて、 該当する行番号の行を確認します。 次は、 関連する Tcl コマンドです。

• Tcl コマンド : get_cells

• Tcl コマンドの例 : 次の例では、 Tcl コマンドの get_cells を使用して、 セルの特定インスタンスを取得し、 そのセル オブジェク トのプロパティをクエ リーして、 該当のファイルと行をレポート しています。

set cellName dac_spi_i0

set fileName [ get_property FILE_NAME [get_cells $cellName]]

set lineNum [ get_property LINE_NUMBER [get_cells $cellName]]

puts “Cell:$cellName is instanced in file:$fileName at line number $lineNum”

X-Ref Target - Figure 3-20

図 3‐20 : RTL ソースへのクロスプローブ

システム レベル デザイン入力 japan.xilinx.com 63UG895 (v2014.3) 2014 年 10 月 9 日

Page 64: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : ソース ファイルの操作

その他のテキスト  エディ ターの使用

Vivado IDE では、 次を実行する と別のテキス ト エディ ターを使用するこ と もできます。

1. [Tools] → [Options] をク リ ッ ク し、

2. [Vivado Options] ダイアログ ボッ クスの [General] ページ (図 3-21) の [Text Editor] セクシ ョ ンまでスクロールダウンし、 ド ロ ップダウン リ ス トから別のテキス ト エディ ターを選択します。

リ ス トからテキス ト エディ ターを選択する と、 実行ファイル名が表示されます。 この実行ファイルへのパスは、ユーザーのパスに含まれている必要があ り ます。ユーザー環境にパスを追加する方法については、 Windows または Linux の資料を参照して ください。

ご希望のテキス ト エデ ィ ターが リ ス ト されていない場合は、 [Custom Editor] を選択し ます。 [Custom EditorDefinition] ダイアログ ボッ クス (図 3-22) にそのテキス ト エディ ターを実行する実行ファイルの名前またはディレク ト リ と コマンド ライン構文を入力します。

X-Ref Target - Figure 3-21

図 3‐21 : [Vivado Options] ダイアログ ボックス : [General] ページ

システム レベル デザイン入力 japan.xilinx.com 64UG895 (v2014.3) 2014 年 10 月 9 日

Page 65: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : ソース ファイルの操作

注記 :別のテキス ト エディ ターを使用する場合は、 クロスプローブは同じよ うには動作しません。 ファ イルは外部エディ ターで開きますが、 自動的にその行がハイライ ト表示されるこ とはあ り ません。

非プロジェク ト  モードでのソースの操作非プロジェク ト モードの場合、 ソース ファ イルが自動的に管理されるプロジェク ト モード と異なり、 ソース ファ イルはユーザーが管理する必要があ り ます。 Tcl コマンドを使用する と、 ネッ ト リ ス ト 、 ビッ ト ス ト リーム、 レポートファ イルなど、 処理するファイルおよび生成する出力ファイルを指定できます。 表 3-1 は、 よ く使用されるプロジェク ト モードのコマンド とそれに対する非プロジェク ト モードのコマンドを リ ス ト しています。 プロジェク ト モードおよび非プロジェク ト モードの詳細は、 『Vivado Design Suite ユーザー ガイ ド : デザイン フローの概要』 (UG892) [参照 1] を参照して ください。 Tcl コマンドの詳細については、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』(UG835) [参照 4] を参照してください。

注記 :非プロジェク ト モードの場合、 ファ イルは Tcl スク リプ トの read_* コマンドのリ ス ト順にコンパイルされます。

注記 :現在のインス トールで新しいパーツを選択するには、 まず現在のプロジェク ト を閉じて、 別のパーツを追加するよ うに現在のインス トールを追加します。

X-Ref Target - Figure 3-22

図 3‐22 : カスタム エディ ターの設定

表 3‐1 : プロジェク ト  モード と非プロジェク トモードのコマンド

操作 プロジェク ト  モードのコマンド 非プロジェク ト  モードのコマンド

デザイン ソースの読み込み add_filesimport_files

read_<file_type>

(例 : read_verilog、 read_vhdl、read_xdc、 read_edif、 read_ip)注記 :非プロジェク ト モードの場合、

read_edif コマンドを使用する と NGC ファ イルをインポートできます。

合成の実行 launch_runs synth_1 synth_design

インプ リ メンテーシ ョ ンの実行

launch_runs impl_1 opt_designplace_designphys_opt_designroute_design

launch_runs impl_1 -to_stepwrite_bitstream

write_bitstream <file_name>

システム レベル デザイン入力 japan.xilinx.com 65UG895 (v2014.3) 2014 年 10 月 9 日

Page 66: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : ソース ファイルの操作

次は、 さまざまなソース ファイルを読み込む非プロジェク ト モードのスク リプ ト例です。

# create_bft_batch.tcl# bft sample design # A Vivado script that demonstrates a very simple RTL-to-bitstream batch flow## NOTE:typical usage would be "vivado -mode tcl -source create_bft_batch.tcl" ## STEP#0: define output directory area.#set outputDir ./Tutorial_Created_Data/bft_output file mkdir $outputDir## STEP#1: setup design sources and constraints#read_vhdl -library bftLib [ glob ./Sources/hdl/bftLib/*.vhdl ] read_vhdl ./Sources/hdl/bft.vhdlread_verilog [ glob ./Sources/hdl/*.v ]read_xdc ./Sources/bft_full.xdc## STEP#2: run synthesis, report utilization and timing estimates, write checkpoint design#synth_design -top bft -part xc7k70tfbg484-2 -flatten rebuilt write_checkpoint -force $outputDir/post_synthreport_timing_summary -file $outputDir/post_synth_timing_summary.rptreport_power -file $outputDir/post_synth_power.rpt## STEP#3: run placement and logic optimzation, report utilization and timing estimates, write checkpoint design#opt_designplace_designphys_opt_designwrite_checkpoint -force $outputDir/post_placereport_timing_summary -file $outputDir/post_place_timing_summary.rpt

レポートの生成 report_timingreport_timing_summaryreport_drcreport_clock_interactionreport_utlizationreport_route_status注記 :プロジェ ク ト モードでは、 多 く のレ

ポートが自動的に生成されます。 すべてのレ

ポート を リ ス トするには、 help report_*を入力します。

プロジェク ト モード と同じ

シ ミ ュレーシ ョ ンの実行 launch_xsim xsim注記 : こ のコマン ド は、 Vivado IDE 外で

Vivado シ ミ ュレーシ ョ ンを起動します。

デザイン チェッ クポイン トの書き出し

write_checkpoint <file_name>.dcp注記 : プロジェク ト モードの場合、DCP ファ

イルはインプ リ メ ンテーシ ョ ンの段階ご と

に自動的に作成されます。

プロジェク ト モード と同じ

表 3‐1 : プロジェク ト  モード と非プロジェク トモードのコマンド  (続き)

操作 プロジェク ト  モードのコマンド 非プロジェク ト  モードのコマンド

システム レベル デザイン入力 japan.xilinx.com 66UG895 (v2014.3) 2014 年 10 月 9 日

Page 67: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : ソース ファイルの操作

## STEP#4: run router, report actual utilization and timing, write checkpoint design, run drc, write verilog and xdc out#route_designwrite_checkpoint -force $outputDir/post_routereport_timing_summary -file $outputDir/post_route_timing_summary.rptreport_timing -sort_by group -max_paths 100 -path_type summary -file $outputDir/post_route_timing.rptreport_clock_utilization -file $outputDir/clock_util.rptreport_utilization -file $outputDir/post_route_util.rptreport_power -file $outputDir/post_route_power.rptreport_drc -file $outputDir/post_imp_drc.rptwrite_verilog -force $outputDir/bft_impl_netlist.vwrite_xdc -no_fixed_only -force $outputDir/bft_impl.xdc## STEP#5: generate a bitstream# write_bitstream -force $outputDir/bft.bit

システム レベル デザイン入力 japan.xilinx.com 67UG895 (v2014.3) 2014 年 10 月 9 日

Page 68: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 4章

RTL デザインのエラボレーシ ョ ン

概要Vivado® IDE には、 RTL デザインの解析機能が多く含まれます。 たとえば、 次を実行できます。

• [Schematic] および [Hierarchy] ウ ィンド ウを使用したデザイン詳細の視覚化

• ウ ィンド ウ間のクロスプローブ

• デザイン ルール チェッ ク (DRC) の実行

• メ ッセージのチェッ ク

• [Find] コマンドを使用した生成された RTL ネッ ト リ ス トの検索

• RTL レベルでの制約の作成および適用

注記 : この段階ではタイ ミ ング解析は実行できません。

プロジェク ト  モードでのデザインのエラボレーションプロジェク トでイネーブルになっている RTL ソース ファ イルは、 合成中に自動的にエラボレート されます。 ソースファ イルは、 制約の開発および RTL ネッ ト リ ス ト エラボレーシ ョ ン用に手動でエラボレートする こ と もできます。エラボレーシ ョ ンおよびコンパイルに関する メ ッセージは、 [Messages] ウ ィンド ウに表示されます。 エラボレーシ ョンに使用される HDL 言語は、[Project Settings] ダイアログ ボッ クスの [General] ページで選択できます。詳細は、第 2 章の 「[General] ページ」 を参照してください。

エラボレーシ ョ ン結果は、 デザイン と一緒には保存されません。 エラボレート済みデザインを開くたびに、 エラボレーシ ョ ンが再実行されます。 エラボレート済みデザインを合成する と、 合成済みデザインと して保存されます。

システム レベル デザイン入力 japan.xilinx.com 68UG895 (v2014.3) 2014 年 10 月 9 日

Page 69: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 4 章 : RTL デザインのエラボレーシ ョ ン

デザイン ソース ファ イルをプロジェク トにインポート したら、 次のいずれかのコマンドを使用してデザインをエラボレート して開きます。

• [Flow] → [Open Elaborated Design] をク リ ッ ク します。

• Flow Navigator の [RTL Analysis] セクシ ョ ンで [Open Elaborated Design] をク リ ッ クする と、エラボレート済みネット リ ス ト 、 アクティブな制約セッ トおよびターゲッ ト デバイスがメモ リに読み込まれます。

エラボレートするデザイン名を指定するには、 次のいずれかの方法を使用します。

• [Flow] → [New Elaborated Design] をク リ ッ ク します。

• Flow Navigator の [RTL Analysis] ポップアップ メニューから [New Elaborated Design] をク リ ッ ク します。

エラボレート済みデザインを開く と、 RTL ソース ファ イルがエラボレート され、 上位回路図表示が生成され、 デフォルトのビュー レイアウ トでデザインが表示されます。図 4-1 は、エラボレート済みデザインのデフォルト ビューレイアウ トの [RTL Schematic] ウ ィンド ウを示しています。

X-Ref Target - Figure 4-1

図 4‐1 : [RTL Schematic] ウィンドウのエラボレート済みデザイン

システム レベル デザイン入力 japan.xilinx.com 69UG895 (v2014.3) 2014 年 10 月 9 日

Page 70: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 4 章 : RTL デザインのエラボレーシ ョ ン

ほとんどの場合は、 Vivado IDE で自動的に 上位モジュールが特定されます。候補が複数ある場合は、選択するこ とを尋ねる メ ッセージが表示されます。 上位モジュールは、[Sources] ウ ィンド ウのポップアップ メニューから [Set asTop] コマンドを使用して手動で定義するこ と もできます。

注記 : [Sources] ウ ィンド ウの [Hierarchy] タブでは、 上位モジュールが アイコンで表示されます。

プロジェク ト  モードでのデザイン  エラボレーシ ョ ンに使用する  Tcl コマンド

次は、 関連する Tcl コマンドです。

• Tcl コマンド : synth_design -rtl -name <project_name>

• Tcl コマンドの例 : synth_design -rtl -name rtl_1

エラボレーシ ョ ン  メ ッセージの表示

[Messages] ウ ィンド ウにコンパイル結果が表示され、 RTL ソース ファ イルに問題がある場合は [Elaborated Design] セクシ ョ ンの下に表示されます (図 4-2)。

RTL エラボレーシ ョ ンの結果からは、 エラー、警告、情報メ ッセージの表示/非表示を制御できます。 [Messages] ウ ィンド ウの上部にあるチェッ ク ボッ クスのオン/オフを切り替え、 エラー、 ク リ ティカル警告、 警告、 情報メ ッセージを表示/非表示にします。

[Messages] ウ ィンド ウでエラーまたは警告メ ッセージを選択する と、 該当する RTL ソース ファ イルが Vivado IDE テキス ト エディ ターに読み込まれ、 問題のソース コードがハイライ ト されます。

X-Ref Target - Figure 4-2

図 4‐2 : エラボレート されたデザインのメ ッセージ

システム レベル デザイン入力 japan.xilinx.com 70UG895 (v2014.3) 2014 年 10 月 9 日

Page 71: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 4 章 : RTL デザインのエラボレーシ ョ ン

RTL ロジック階層の解析

Vivado IDE には、 デザインのロジッ ク階層を表示する複数のビューがあ り ます。

• [RTL Netlist] ウ ィンド ウ : 展開可能なロジッ ク ツ リーを表示します。

• [RTL Hierarchy] ウ ィンド ウ :ロジッ ク階層をグラフ ィカルに表示します。

• [RTL Schematic] ウ ィンド ウ : 回路図表示でロジッ クおよび階層を調べるのに使用します。

デフォルトでは Flow Navigator で [Elaborate Design] をク リ ッ ク してデザインをエラボレートする と、 [RTL Schematic]ウ ィンド ウにデザイン全体が表示されます。 1 つのウ ィンド ウ選択したオブジェク トはほかのウ ィンド ウでも選択され、 ロジッ ク デザインを解析しやすくなっています。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : Vivado IDE の使用』 (UG893) [参照 6] を参照してください。

エラボレート済みデザイン回路図の解析

[RTL Netlist] ウ ィ ン ド ウで任意のロジッ ク階層を選択し、 [RTL Schematic] ウ ィ ン ド ウで表示できます。 選択したロジッ クの [RTL Schematic] ウ ィンド ウを開くには、 次のいずれかを実行します。

• [Tools] → [Schematic] をク リ ッ ク します。

• [RTL Netlist] ウ ィンド ウでポップアップ メニューから [Schematic] をク リ ッ ク します。

[RTL Schematic] ウ ィンド ウでの操作の詳細は、『Vivado Design Suite ユーザー ガイ ド : Vivado IDE の使用』 (UG893) [参照 6] を参照してください。

注記 :デザインがエラボレート されたら、 [Find] コマンドを使用してロジッ ク オブジェク ト を検索できます。

[RTL Hierarchy] ウィンドウの使用

Vivado IDE には、デザインの階層を表示するのに便利な [RTL Hierarchy] ウ ィンド ウが含まれます。選択したロジッ クの [RTL Hierarchy] ウ ィンド ウを開くには、 次のいずれかを実行します。

• [Tools] → [Show Hierarchy] をク リ ッ ク します。

• [RTL Netlist] または [Schematic] ウ ィンド ウでポップアップ メニューから [Show Hierarchy] をク リ ッ ク します。

これらのウ ィンド ウでは、 ク ロスプローブがサポート されています。 [RTL Netlist] または [Schematic] ウ ィンド ウでロジッ クを選択する と、 それが [RTL Hierarchy] ウ ィンド ウでハイライ ト されます。

RTL ソース ファイルの解析

[RTL Netlist] または [Schematic] ウ ィンド ウでロジッ ク エレ メン ト を選択し、インスタンシエート されている RTL ソース ファ イルでそのオブジェク トのインスタンシエーシ ョ ンを開く こ とができるほか、 RTL ファ イルのロジッ クの定義を開く こ とができます。

選択したロジッ クのインスタンシエーシ ョ ンまたは定義を RTL ソース ファ イルで開くには、 オブジェ ク ト を右クリ ッ ク して [Go To Instantiation] または [Go To Definition] をポップアップ メニューから ク リ ッ ク します。 ソース ファイルが開き、 該当するインスタンスがハイライ ト されます。

DRC の実行

このセクシ ョ ンでは、 Vivado IDE でデザイン ルール チェッ ク (DRC) のルールを選択して DRC 違反を解析する方法について説明します。 Tcl コマンドを使用した DRC の実行については、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 4] を参照してください。カスタム DRC の作成については、『Vivado Design Suite ユーザー ガイ ド : Tcl スク リプ ト機能の使用』 (UG894) [参照 3] を参照して ください。

システム レベル デザイン入力 japan.xilinx.com 71UG895 (v2014.3) 2014 年 10 月 9 日

Page 72: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 4 章 : RTL デザインのエラボレーシ ョ ン

推奨 : RTL DRC を実行する と、合成前のエラボレーシ ョ ン段階で早期にデザインの問題を発見できるので、設計全体の時間を節約するこ とができます。

DRC ルールの選択

1. エラボレート済みのデザインを開いて、 [Tools] → [Report DRC] をク リ ッ ク します。

注記 : または、 Flow Navigator の [Report DRC] を ク リ ッ クするか、 Tcl コ ン ソールに 「report_drc -name<results_name>」 と入力します。

2. [Report DRC] ダイアログ ボッ クス (図 4-3) で次のオプシ ョ ンを設定し、 [Next] をク リ ッ ク します。

° [Results name] : DRC 結果の名前を指定します。 こ こで指定した名前が [DRC] ウ ィンド ウのタブに表示されます。わかりやすい名前にしておく と、デバッグ中に [DRC] ウ ィンド ウで特定の run が識別しやすくなり ます。 デフォルトでは、 入力した名前が出力ファイル名になり ます。

° [Output file] : DRC 結果をファイルに保存する際のファイルの名前を入力できます (オプシ ョ ン)。デフォルト以外のパスを選択する場合は、 参照ボタンを使用してください。

° [Rule deck] : デザインで実行するルール デッ クを指定します。 ルール デッ クは、 一部のデザイン ルールチェッ クをま とめたコレクシ ョ ンで、合成後やインプリ メンテーシ ョ ンなど、 FPGA デザイン フローのさまざまな段階で実行されます。

- [default] : ザイ リ ンクスの推奨するチェッ クのデフォルト セッ ト を実行します。

- [bitstream_checks] : ビッ ト ス ト リーム生成に関するチェッ クを実行します。

- [methodology_checks] : エラボレート済みデザインを開いたと きに XDC ファ イルと RTL ファ イルのチェッ クを実行します。

- [opt_checks] : ロジッ ク 適化に関するチェッ クを実行します。

- [placer_checks] :配置に関するチェッ クを実行します。

- [router_checks] :配線に関するチェッ クを実行します。

- [timing_checks] : タイ ミ ング制約に関するチェッ クを実行します。

注記 : timing_checks ルール デッ クはエラボレート済みデザインではサポート されません。

° [Rules to Check] : ルール デッ クを指定したら、 必要に応じて実行するルールを変更します。

システム レベル デザイン入力 japan.xilinx.com 72UG895 (v2014.3) 2014 年 10 月 9 日

Page 73: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 4 章 : RTL デザインのエラボレーシ ョ ン

DRC 違反の解析

DRC で違反が検出された場合、 図 4-4 に示す [DRC] ウ ィンド ウが表示されます。 [DRC] ウ ィンド ウには、 検出されたルール違反が [Run DRC] ダイアログ ボッ クスで定義された違反カテゴ リ別に表示されます。

X-Ref Target - Figure 4-3

図 4‐3 : [Report DRC] ダイアログ ボックス

X-Ref Target - Figure 4-4

図 4‐4 : エラボレート されたデザインの DRC 違反を示す [DRC] ウィンドウ

システム レベル デザイン入力 japan.xilinx.com 73UG895 (v2014.3) 2014 年 10 月 9 日

Page 74: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 4 章 : RTL デザインのエラボレーシ ョ ン

ルール違反はその重要度によっても分類されており、 次のよ うに色分け表示されます。

• アドバイザリ : デザイン プロセスに関する一般的なステータスおよびフ ィードバッ クを示します。

• 警告 : 制約または仕様が意図どおりに適用されていないために、 適なデザイン結果にならない可能性を示します。

• ク リ ティカル警告 : ユーザー入力または制約に適用されないものがあったり、 適切な方法に従っていないものがあるこ とを示します。 これらの問題を確認し、 変更するこ とをお勧めします。

注記 : ク リ ティカル警告は、 ビッ ト ス ト リーム生成時にはエラーになり ます。

• エラー : デザイン結果が使用できない状態になり、 ユーザーが対処しないと回避されない問題を示します。 デザイン フローは停止します。

ヒン ト : 警告および情報メ ッセージを非表示にし、 エラーのみを表示するには、 ツールバーの [Hide Warnings andInformational Messages] ボタン をク リ ッ ク します。

DRC 違反は個別に リ ス ト できるほか、 ルールによって分類する こ とができます。 表示を変更するには、 [Group ByRule] ツールバー ボタン をク リ ッ ク します。違反が個別にリ ス ト される場合は、 [Severity] 列のヘッダーをク リ ックする と、 違反の重要度別に並べ替えるこ とができます。 列は、 次のよ うに分類できます。

• 列ヘッダーをク リ ッ クする と、 その列の昇順にデータが並べ替えられます。

• 列ヘッダーをも う一度ク リ ッ クする と、 その列の降順にデータが並べ替えられます。

注記 :詳細は、 『Vivado Design Suite ユーザー ガイ ド : Vivado IDE の使用』 (UG893) [参照 6] を参照してください。

[DRC] ウ ィンド ウで違反メ ッセージをク リ ッ クする と、その違反に関連するオブジェク トが [Netlist] や [Schematic] などの開いたウ ィンド ウで選択されます。 これによ り、特定の違反に関するデザイン エレ メン ト を素早く見つけて、確認するこ とができます。

違反プロパティは [Violation Properties] ウ ィンド ウ (図 4-5) にデフォルトで表示されます。 [DRC] ウ ィンド ウでポップアップ メニューから [Violation Properties] をク リ ッ ク しても、 [Violation Properties] ウ ィンド ウを開く こ とができます。このウ ィンド ウには、 DRC ルール違反の概要 ([General] タブ)と、 違反しているデザイン エレ メン トの詳細 ([Details]タブ) が表示されます。 [Details] タブには、 DRC に違反している特定のデザイン オブジェク トへのリ ンクが含まれます。リ ンクをク リ ッ クする と、そのデザイン オブジェク トが [RTL Netlist] ウ ィンド ウ、[Device] ウ ィンド ウ、[Schematic]ウ ィンド ウ、 およびソース RTL ファ イルで選択されます。

RTL DRC を実行する  Tcl コマンド

次は、 関連する Tcl コマンドです。

• Tcl コマンド : report_drc

• Tcl コマンドの例 : report_drc -name drc_1

X-Ref Target - Figure 4-5

図 4‐5 : [Violation Properties] ウィンドウ

システム レベル デザイン入力 japan.xilinx.com 74UG895 (v2014.3) 2014 年 10 月 9 日

Page 75: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 4 章 : RTL デザインのエラボレーシ ョ ン

注記 :デフォルトでは、テキス ト ベースのレポートが出力されます。-name オプシ ョ ンを使用する と、そのレポート用にインタラ クティブなタブを作成できます。

非プロジェク ト  モードでのデザインのエラボレーシ ョ ン非プロジェク ト モードでは、 RTL のエラボレーシ ョ ンを実行できます。 RTL へクロスプローブし直して、 DRC を実行するこ と もできます。 ク ロスプローブには、 Tcl コマンドの start_gui を使用して Vivado IDE を起動する必要があ り ます。 DRC は、 Vivado IDE の起動の有無に関係なく実行できます。

次は、 さまざまなファ イルを読み込んで、 Tcl コマンドの synth_design を -rtl オプシ ョ ン と共に使用して RTLをエラボレートするスク リプ トです。 このスク リプ トでは、 Vivado IDE も起動するので、回路図またはネッ ト リ ス トから RTL ソースにクロスプローブできます。

注記 :非プロジェク ト モードで Vivado IDE を起動する場合は、 Flow Navigator はあ り ませんので、 [Tools] メニューかTcl コンソールを使用してタスクを実行する必要があ り ます。

# create_bft_batch.tcl# bft sample design # A Vivado script that demonstrates a very simple RTL-to-bitstream batch flow## NOTE:typical usage would be "vivado -mode tcl -source create_bft_batch.tcl" ## STEP#0: define output directory area.#set outputDir ./Tutorial_Created_Data/bft_output file mkdir $outputDir## STEP#1: setup design sources and constraints#read_vhdl -library bftLib [ glob ./Sources/hdl/bftLib/*.vhdl ] read_vhdl ./Sources/hdl/bft.vhdlread_verilog [ glob ./Sources/hdl/*.v ]read_xdc ./Sources/bft_full.xdc## STEP #2 Elaborate the RTL and start the GUI for interaction# synth_design -top bft -part xc7k70tfbg484-2 -rtlstart_gui# Use stop_gui to quit the GUI and return back to the Vivado IDE Tcl command line

システム レベル デザイン入力 japan.xilinx.com 75UG895 (v2014.3) 2014 年 10 月 9 日

Page 76: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

システム レベル デザイン入力 japan.xilinx.com 76UG895 (v2014.3) 2014 年 10 月 9 日

第 5章

デザインのデバッグ

概要FPGA デザインのデバッグは複数の手順の繰り返しプロセスです。 ほとんどの複雑な問題と同様、 1 度にデザイン 全体を処理するのではなく、 FPGA デザインのデバッグ プロセスを小さ く分け、小さいセクシ ョ ンごとに 1 つずつ処理していくのが 適な方法です。 問題のなかったデザイン例とデバッグ方法をデザイン フローを通して繰り返し使用し、 1 つずつモジュールを追加していって、 デザイン全体でう ま く動作するかど うかその都度確認します。 このデザインおよびデバッグ手法は、 次のデザイン フロー段階のどの組み合わせででも使用できます。

• RTL レベルのデザイン シ ミ ュレーシ ョ ン

• インシステム デバッグ

Set up Debug ウ ィザードまたは Tcl コマンドを使用する と、 デバッグ コアを作成、 接続し、 合成済みデザイン ネッ トリ ス トに挿入できます。デバッグの詳細については、 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) [参照 17] を参照してください。

RTL レベルのデザイン  シミ ュレーシ ョ ンシ ミ ュレーシ ョ ン検証プロセス中は、デザインを機能的にデバッグできます。 Vivado® シ ミ ュレータ には、完全なデザイン シ ミ ュレーシ ョ ン機能が含まれます。 Vivado シ ミ ュレータを使用する と、 デザインの RTL シ ミ ュレーシ ョ ンを実行できます。 RTL レベル シ ミ ュレーシ ョ ン環境でデザインをデバッグする利点には、 デザイン全体を視覚化できる点やデザインおよびデバッグ サイクルを素早く繰り返すこ とができる点などがあ り ます。シ ミ ュレーシ ョ ンの設定および起動方法については、 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 10]を参照してください。

インシステム デバッグVivado IDE には、インプ リ メンテーシ ョ ン後の FPGA デザインをインシステムでデバッグできるロジッ ク解析機能もあ り ます。インシステム デバッグの利点は、実際のシステム環境でシステム速度でインプリ メン ト後のタイ ミ ングの正確なデザインをデバッグできる点にあ り ます。インシステム デバッグでは、デザインのサイズおよび複雑さによって、シ ミ ュレーシ ョ ン モデルを使用した場合と比べてデバッグ信号の表示精度が落ちたり、潜在的にデザイン、 インプ リ メンテーシ ョ ン、 デバッグの繰り返しが長くなるこ とがあ り ます。

Vivado IDE には、デザインをデバッグする方法が複数あ り ます。必要に応じて、 これらの方法のいずれかを使用してデザインをデバッグできます。詳細は、 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) [参照 17] を参照して ください。

Page 77: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

付録 A

その他のソースおよび法的通知

ザイリンクス リソースアンサー、 資料、 ダウンロード、 フォーラムなどのサポート リ ソースは、 次のザイ リ ンクス サポート サイ ト を参照してください。

ソリューシ ョ ン  センターデバイス、 ツール、 IP のサポートについては、 ザイ リ ンクス ソ リ ューシ ョ ン センターを参照して ください。 ト ピックには、 デザイン アシスタン ト 、 アドバイザリ、 ト ラブルシュート ヒ ン ト などが含まれます。

参考資料1. 『Vivado Design Suite ユーザー ガイ ド : デザイン フローの概要』 (UG892)

2. 『Vivado Design Suite ユーザー ガイ ド : リ リース ノート、 インス トールおよびライセンス』 (UG973)

3. 『Vivado Design Suite ユーザー ガイ ド : Tcl スク リプ ト機能の使用』 (UG894)

4. 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835)

5. 『Vivado Design Suite チュート リ アル : デザイン フローの概要』 (UG888)

6. 『Vivado Design Suite ユーザー ガイ ド : Vivado IDE の使用』 (UG893)

7. 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903)

8. 『Vivado Design Suite : ISE から Vivado Design Suite への移行手法ガイ ド』 (UG911)

9. 『Vivado Design Suite ユーザー ガイ ド : I/O およびクロ ッ クのプラニング』 (UG899)

10. 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900)

11. 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896)

12. 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994)

13. 『Vivado Design Suite プロパティ リ ファレンス ガイ ド』 (UG912)

14. 『UltraFast™ 設計手法 (Vivado Design Suite 用)』 (UG949)

15. 『Vivado Design Suite ユーザー ガイ ド : 合成』 (UG901)

16. 『Vivado Design Suite ユーザー ガイ ド : インプリ メンテーシ ョ ン』 (UG904)

17. 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908)

18. Vivado Design Suite ユーザー ガイ ド : エンベデッ ド プロセッサ ハードウェア デザイン』 (UG898)

システム レベル デザイン入力 japan.xilinx.com 77UG895 (v2014.3) 2014 年 10 月 9 日

Page 78: Vivado Design Suite...Vivado Design Suite ユーザー ガイド システム レベル デザイン入力 UG895 (v2014.3) 2014 年 10 月 9 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

付録 A : その他のソースおよび法的通知

19. 『UltraFast 設計手法 (Vivado Design Suite 用)』 (UG949)

20. Vivado Design Suite ビデオ チュート リ アル : バージ ョ ン管理システムの概要

21. Vivado Design Suite ビデオ チュート リ アル : タイ ミ ング制約ウ ィザードの使用

22. Vivado Design Suite ビデオ チュート リ アル

23. Vivado Design Suite 資料

法的通知The information disclosed to you hereunder (the “Materials”) is provided solely for the selection and use of Xilinx products.To the maximum extentpermitted by applicable law:(1) Materials are made available "AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES ANDCONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY,NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort,including negligence, or under any other theory of liability) for any loss or damage of any kind or nature related to, arising under, or in connectionwith, the Materials (including your use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage(including loss of data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if suchdamage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same.Xilinx assumes no obligation to correct anyerrors contained in the Materials or to notify you of updates to the Materials or to product specifications.You may not reproduce, modify, distribute,or publicly display the Materials without prior written consent.Certain products are subject to the terms and conditions of Xilinx’s limited warranty,please refer to Xilinx’s Terms of Sale which can be viewed at http://www.xilinx.com/legal.htm#tos; IP cores may be subject to warranty and supportterms contained in a license issued to you by Xilinx.Xilinx products are not designed or intended to be fail-safe or for use in any applicationrequiring fail-safe performance; you assume sole risk and liability for use of Xilinx products in such critical applications, please refer to Xilinx’sTerms of Sale which can be viewed at http://www.xilinx.com/legal.htm#tos.

© Copyright 2012-2014 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brandsincluded herein are trademarks of Xilinx in the United States and other countries.All other trademarks are the property of their respective owners.

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] まで、 または各ページの

右下にある [フ ィードバッ ク送信] ボタンをク リ ッ クする と表示されるフォームからお知らせください。 フ ィードバッ クは日本語で

入力可能です。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受

け付けており ません。 あらかじめご了承ください。

システム レベル デザイン入力 japan.xilinx.com 78UG895 (v2014.3) 2014 年 10 月 9 日