bai giang _ thiet ke vlsi va asic _nguyen thi dung

Upload: xemvadown

Post on 03-Apr-2018

221 views

Category:

Documents


0 download

TRANSCRIPT

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    1/120

    Chng I: Mu qu trnh thit kmch vi in t

    1.1Cc giai on trong thit k cc mch tch hpS ra i ca cc mch vi in t lm cspht trin phn cng v phn mm

    ca cc h thng tnh ton trong nhng thp k gn y. Vic tng lin tc mc tch

    hp ca cc mch in t trn mt nn n a ti vic ch to nhng h thng vi

    phc tp ngy cng tng. Cng ngh ch to mch tch hp trn cscc cht bn

    dn pht trin v bo. Ti gia nhng nm 80 ca th k 20 ngi ta c th ch to

    c nhng mch tch hp cha ti hng triu linh kin in t trn mt tinh th cht

    bn dn. Nhng mch c gi l mch tch hp cao (VLSI) hoc l mch vi in t.

    Vic ra i ca nhng mch vi in t lm ny sinh s cn thit phi c mt

    phng php lun v quy trnh thit k, ch to thch hp.

    Trong cng nghip, vic ch to cc mch tch hp c thc hin qua 4 giai on: Giai on thit k Giai on ch to Giai on kim tra Giai on ng gi

    Giai on thit k: t cc chc nng m mch s thc hin, chng ta xy dng m

    hnh ca mch trn nhiu mc chi tit khc nhau. Cc mc chi tit c thc

    chia thnh mc kin trc, mc logic, mc vt l. Kt qu cu giai on thit k l cc

    m hnh ca mch c xc nhn khng cha li trn phng din thit k.

    Giai on ch to: mch tch hp sc ch to theo cc cng ngh cy ghp cc

    phn t mch ln cc tinh th cht bn dn bng phng php mt n che ph v cng

    ngh xy dng cc mch nhiu lp. Kt qu ca giai on ny l nhng vi mch thc

    hin nhng chc nng nh trong thit k.

    Giai on kim tra: Nhng mch ch to sc kim nghim ngu nhin

    khng nh rng mch khng cha li v mt ch to. Trong trng hp c nhng ligp nhiu ln c th rt ra kt lun li c th l li trong qu rnh ch to. Da vo

    vic kim tra quy trnh cng ngh ta c th rt ra kt lun v cc khu c th sinh ra li

    Giai on cui cng l giai on ng gi. Lc cc vi mch sc phn tch v

    c to v bc.

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    2/120

    y ta si su nghin cu giai on u tin l giai on thit k. Qu trnh thit

    k vi mch in t trong cng nghip c chia lm 3 phn on:

    M hnh ha Tng hp v ti u ha Kim nghim v ph chun

    Do ta tp trung vo bi ton m hnh ha mch v tng hp ti u ha mch.

    a. M hnh ha:Nh thit k xy dng cc m hnh cu trc mch v cc chc nng m mch s

    thc hin. Cc m hnh mch l cng c biu din cc tng thit k. M hnh ha

    ng vai tr quan trng trong thit k mch vi in t bi v cc m hnh l cc

    phng tin mang thng tin v cc mch sc xy dng mt cch c ng v

    chnh xc. Do m hnh cn phi chnh xc, cht ch cng nh c mc tng

    qut, trong sut v d hiu i vi ngi thit k v my. Vi s pht trin ca cc

    k thut m phng, m hnh mch c thc xy dng trn cscc ngn ng m

    t phn cng HDL (hardware description languages). Trong nhiu trng hp, cc

    m hnh ha nh biu dng thng tin, s mch v m t hnh dng hnh hc

    ca cc i tng cng nh cch sp xp chng trn bn mch u c th dng

    biu din mch. i vi nhng mch c tch hp siu ln do phc tp ca

    mch rt cao nn vic xy dng m hnh mch thng theo cc mc chi tit khcnhau. iu cho php ngi thit k tp trung vo tng phn ca m hnh ti tng

    giai on thit k.

    b. Tng hp v ti u haTng hp l giai on sng to th hai ca qu trnh thit k. Giai on u tun

    theo cc tng ca nh thit k hnh thnh dn cc khi nim v mch v xy dng

    nhng m hnh sbu tin v mch. Mc ch chnh ca giai on ny l xy

    dng m hnh chi tit ca mch nh cc chi tit v dng hnh hc phc v cho cng

    on lp rp v to v bc cho mch. iu ny t c thng qua qu trnh xy

    dng v chnh xc ha thit k tng bc trong m hnh tru tng ban u c

    ngi thit k chi tit ha tng bc lp i lp li. Khi thc hin qu trnh tng hp

    mch theo cc bc ci tin m hnh, ngi thit k cn nhiu thng tin lin quan ti

    cc cng ngh ch to v cc phong cch thit k mong mun. Ta c th thy cc

    chc nng ca mch c thc lp vi cc chi tit thc hin, trong khi cc dng

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    3/120

    biu din hnh hc ca mch hon ton ph thuc vo cc c tnh ca cng ngh

    nh kch thc ca cc dy dn trong mch ph thuc vo cng ngh ch to.

    Bi ton ti u mch lun kt hp cht ch vi bi ton tng hp mch. Qu trnh ti

    u i hi phi la chn nhng chi tit xc nh ca mch vi mc ch lm tng kh

    nng ca mch v phng din thit k tng ng vi nhng o xc nh. Vai trca ti u l nng cao cht lng ca mch in nh ti u v chc nng, v din tch,

    v tnh d kim nghim v pht hin li. Chc nng lin quan n thi gian thc hin

    mt qu trnh x l thng tin cng nh s lng thng tin c thc x l trong mt

    n v thi gian. Cc tnh nng ca mch l nh hng ln ti kh nng cnh tranh ca

    mch trn th trng. Vn cht lng ca mch cng lin quan ti kch thc cng

    nh din tch ca mch. Din tch cng l i tng ca ti u mch. Kch thc nh

    ca mch cho php c th phn b nhiu mch trn mt lp, iu lm gim gi thnh

    ch to v ng gi. Trong cng nghip ch to chng ta mang mun c nhng thit k

    cho php pht hin li v xc nh v tr li ca mch sau khi ch to. Kh nng ny,

    trong nhiu trng hp, nh hng ln ti cht lng ca mch. Mt thng s quan

    trng trong vn pht hin li ca mch l phn trm li c thc pht hin i vi

    mt b gi tr th nghim. Ni chung ngi thit k mong mun c nhng mch d

    kim nghim, iu lm gim gi thnh chung ca qu trnh sn xut.

    c. Kim nghim v ph chunQu trnh ph chun mch l vic t c mt mc chc chn hp l rng

    mch in s lm vic ng vi gi thit khng c li ch to. Nhm loi b mi li

    thit k c th c trc khi a vo sn xut. Qu trnh ph chun mch bao gm vic

    xy dng m hnh m phng mch da trn thit k v thc hin kim tra. M phng

    mch bao gm phn tch cc din bin hnh vi ca mch in theo thi gian i vi mt

    hoc nhiu b gi tru vo. Qu trnh m phng c th p dng trn nhiu mc thit

    k khc nhau ty theo cc mc tru tng ca m hnh.

    1.2M hnh ho mch in

    M hnh mch l biu din tru tng trong ch ra nhng c tnh thch hp m

    khng c nhng chi tit tng ng. Qu trnh tng hp mch l qu trnh to m hnh

    mch bt u t nhng biu din slc nht.

    Cc m hnh c phn loi theo cc mc m t tru tng v cc gc quan st.

    - Cc mc m t tru tng c chia lm ba mc nh sau:

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    4/120

    Mc kin trcMch in c th hin qua tp hp cc thao tc nh cc tnh ton trn d

    liu, cc php chuyn i v truyn thng tin. V d, trn mc kin trc, mch

    c thc biu din qua nhng m hnh trn cc ngn ng m t phn cng,

    nhng biu lung thng tin. Mc logicMch in c th hin nh tp hp cc chc nng logic v c chuyn

    thnh cc hm logic. V d, trn mc logic mch c thc biu din thng

    qua cc biu chuyn trng thi, cc s mch lgic

    Mc hnh hcMch c th biu din nh tp hp cc i tng hnh hc. V dn gin ca

    biu din hnh hc c th l cc lp trong mch nhiu lp, dng v b ngoi vphn b ca cc phn t cu thnh mch.

    - Cc gc quan st cng c chia thnh 3 gc : Gc hnh vi: m t cc chc nng ca mch m khng quan tm ti

    vic thc hin cc chc nng .

    Gc cu trc: m t m hnh mch bng cc thnh phn c bn camch v cc lin kt gia cc thnh phn .

    Gc vt l: c lin quan ti cc i tng vt l xut hin trong thit k.Cc m hnh c cc mc m t tru tng khc nhau v c thc

    quan st theo nhng gc khc nhau.

    V d: mc kin trc theo gc hnh vi th mch in l tp hp cc

    php ton v s lin quan gia chng vi nhau, cn theo gc cu trc

    th mch l tp hp cc khi csv cc lin kt ghp ni gia cc khi

    cs.

    Nu xt trng hp thit k cc mch ng b th vi cc m hnh trn

    mc logic, gc hnh vi c th l cc lu chuyn trng thi, cn gc

    cu trc l cc phn t logic.

    1.3Tng hp v ti u ho mch dng my tnhCc cng c trgip thit k bng my tnh cho php nng cao nng sut thit k.

    Cc k thut thit k cho php gim thi gian nng cao chu trnh thit k v gim cng

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    5/120

    sc con ngi. Cc k thut ti u lm tng cht lng thit k. Do k thut tng

    hp v ti u ha mch vi s trgip ca my tnh c s trong hu ht cc qu

    trnh thit k mch in t s.

    Tng hp mch in:Gm cc phn on sau:

    Tng hp mc kin trc bao gm vic to ra gc cu trc ca m

    hnh mc kin trc, c ngha l xc nh v phn cc chc nng ca mch thnh

    cc php ton. Cc php ton ny c gi l ti nguyn thit k. Phn on ny

    thng c gi l tng hp mc cao hay tng hp cu trc v ngi thit

    k phi xc nh cc cu trc vm (trn mc cc s khi) ca mch.

    Tng hp mc logic l phn on to ra gc cu trc ca m hnh

    mc logic, gm cc thao tc s dng k thut logic to nn m hnh logic.M hnh ny bao gm cc phn t logic cbn v kt ni gia cc phn t.

    Nh vy bc tng hp logic l bc xc nh cu trc vi m (mc cc phn t

    logic cbn) ca mch.

    Tng hp mc hnh hc bao gm vic to ra gc vt l ca m

    hnh mc hnh hc. mc ny m hnh c m t thng qua cc c tnh ca

    tt c cc mu hnh hc to nn dng ca cc mch. Phn on ny thng c

    gi l thit k vt l.

    Ti u ha mch inBi ton ti u ha lun i i vi bi ton tng hp mch. Ti u ha

    khng nhng t c mc cao nht v cht lng mch m cn

    to ra nhng mch c tnh cnh tranh cao.

    Xt hai o cht lng quan trng: din tch v hot ng ca mch.

    Ngoi ra mt o cht lng quan trng na l kh nng d kim tra v

    pht hin li ca mch.

    Din tch ca mch c xc nh bng tng din tch ca cc phn t

    mch. Do din tch ca mch c thc xc nh thng qua gc

    cu trc ca mch nu ta bit din tch ca tng thnh phn mch. Thng

    thng cc phn t cbn ca mch logic l cc ph t logic, cc thanh

    ghi, cc phn t ny c din tch bit trc ty thuc vo tng loi thit k.

    Din tch cc dy ni ng vai tr quan trng v khng th b qua. Cc

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    6/120

    thnh phn din tch ny c thc xc nh t m hnh mch trn gc

    vt l hoc c lng t cc m hnh theo gc cu trc theo cc

    phng php thng k.

    Hiu nng ca mch c ti u ha da trn thi gian tr, thi gian ng

    b, cnh tranh trn cc phn t, tnh ton o hot ng ca mchcn thit phi phn tch cu trc v hnh vi ca mch. Vn ny khc

    nhau i vi cc loi mch khc nhau.

    Hiu nng ca mch t hp c xc nh thng qua thi gian tr truyn t

    u vo n u ra. Thng thng gim phc tp ca tnh ton, ta

    lun gi thit rng cc gi tru vo xut hin trong cng mt thi im

    v hiu nng ca mch c tnh qua thi gian tr truyn theo ng d

    liu di nht.

    i vi cc mch tun tng b, o hiu nng c thc xc nh

    thng qua thi gian quay vng ca mch. Thi gian ny l chu kng b

    nhanh nht c tht vo mch.

    Cc mch ng b c th thc hin dy cc php ton theo ch dy

    chuyn, trong mch s thc hin cc php ton song song trn nhng

    tp hp d liu khc nhau. Nh vy hiu nng ca mch cn c thc

    thc hin qua kh nng x l d liu, lng d liu m mch c th x l.

    o gi l thng lng ca mch.

    Vi nhng o ni trn, ti u ha hiu nng ca mch bao gm vic

    gim thiu thi gian tr truyn i vi mch t hp, thi gian quay vng v

    thi gian thc hin i vi mch tun tng b, lm tng ti a thng

    lng ca mch i vi nhng mch thc hin theo k thut dy chuyn.

    Ngoi ra, hiu nng ca mch cn lin quan ti kh nng pht hin li v

    nh v v tr li trong mch.

    Tm li bi ton ti u ha thit kc a v kt hp hai bi ton: gim

    thiu din tch thc t ca mch v tng hiu nng ca mch vi kh nng

    cao nht c th c. Bi ton ti u ha c th ph thuc vo cc rng buc

    nh gii hn trn v din tch v gii hn di v hiu nng. Bi ton ti

    u ha c thc biu din trong khng gian vectnh sau. Tp hp cc

    cu trc c th c ca mch sc thit k to thnh mt khng gian.

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    7/120

    Khng gian ny gi l khng gian thit k v cha mt s hu hn cc

    im trong tng ng vi mt thit k c th. Mi im (tng ng l

    thit k) s c cc gi tr din tch v hiu nng tng ng. Ta s lp hm

    gi tr trn cscc i tng nh din tch, thi gian tr, thi gian thc

    hin, thi gian quay vng, thng lng. Bi ton ti u ha tr thnh biton tm kim im xc nh trong khng gian thit k sao cho cc i

    tng t gi tr ti u.

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    8/120

    Chng II: Cston hc ca mch

    2.1 i s Boole v l thuyt chuyn mch

    1. i s Bool v l thuyt tp hp

    L thuyt chuyn mch l csthit k cc h thng s hin i. L thuyt ny da

    trn logic k t do nh ton hc Bool sang to nn.

    nh ngha: i vi tp hp B = {a, b,} v hai ton t + v ., nu bn tin

    sau tha mn th h thng i s gi l i s Bool:

    Cc nh l ca i s Bool:

    2.2 Cc hm logic v dng chun tc ( c hc, SV tc ti liu)

    2.3 Ti thiu ho cc biu thc logic ( c hc, SV tc ti liu)

    0.,1,:)4

    1.,0,:0,1)3

    ).().().(),).(().(

    ,,,)2

    ;..,,,)1

    ==+

    ==+

    +=+++=+

    =+=+

    aaaaBaBa

    aaaaBaBB

    cabacbacabacba

    Bcba

    abbaabbaBba

    baba

    baba

    abaa

    abaa

    cbacba

    cbacba

    aa

    a

    a

    aaa

    aaa

    +=

    =+

    =+

    =+

    ++=++

    =

    =

    =

    =+

    =

    =+

    ..11..10

    ).(.9

    ..8

    )().(7

    )..()...(6

    .5

    00..4

    11.3

    ..2

    .1

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    9/120

    Chng III: Csca thit kLogic (3 tit)

    3.1 c im ca qu trnh thit k mch ca my tnh

    1. nh gi thi gian tr trong cc mch lgicTrong qu trnh thit k cc thit b tnh ton, ngoi chc nng thc hin cc php

    ton loogic ca mch nh thit k cn phi tnh n c thi gian tr ca tn hiu khi i

    qua cc phn t lgic v cc on mch. Thi gian tr ny nh hng ln n hot

    ng ca mch trong thc t.

    Thi gian tr thun ty td l thi gian truyn tn hiu qua mch. Trong trng hp

    ny, thi gian tr ca mch gm cc phn t chc nng mc ni tip s bng tng cc

    thi gian tr ca cc phn t chc nng v thi gian tr ca cc phn t lin kt.

    2. Cc mch t hp v cc mch tun tS phn chia cc mach s thnh cc mch t hp v cc mch tun t xut pht t

    cc im khc bit cbn gia cc c tnh ca chng.

    Cc bin u ra ca mch t hp ch ph thuc vo cc tc ng vo mch ti thi

    im hin ti

    Cc mch tun t tnh ton cc gi tr ra da vo cc gi tru vo khng ch ti thi

    im hin ti m cn ph thuc c vo nhng trng thi ca mch tnh t thi im

    ang xt trv trc. Cc trng thi ca mch tun tc lu tr vo cc phn t

    nhtrong thnh phn ca mch.Cc mch tun tc cu to bi 2 phn: cc b phn nh lu tr cc trng thi

    ca mch; v mch t hp dng iu khin cc phn t nhv hnh thnh cc gi

    tr tn hiu ra

    Trong k thut tnh ton, cc mch t hp l cc mch m ha, gii m, b so snh

    tn hiu, b cng, Cc mch tun t l cc trig, cc mch nh, thanh ghi, b

    m, Cc phng php phn tch v tng hp cc mch t hp n gin hn so vi

    mch tun t.

    Trong qu trnh thit k, cc mch s thng c biu din bng nhiu phng

    php, v d nh cc bng, ma trn, th hoc bng cc otomat.

    3.2 Cc phn tlogc cbn

    Trong qu trnh thit k cc mch tch hp c mt s phn t logic cbn c s

    dng ph bin. Vic thc hin cc phn t logic ny ph thuc vo cng ngh sn xut

    linh kin in t nh cng ngh transistor CMOS, cng ngh ttransistor trng, TTL,

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    10/120

    Cc phn t logic cbn gm phn t AND, OR, NOT, XOR, NOR, NAND, ngoi ra

    trong nhiu trng hp phn tng ngt cng c coi l phn t cbn.

    Trn quan im v kh nng xy dng cc hm logic bt k, mt phn t cbn hp

    thnh hy . iu c ngha l vi cc hm cbn tham gia vo hy , ta c

    th xy dng mi hm logic. Ta c h cc phn t AND, OR, NOT to thnh mt hy v ta c th xy dng mi hm logic theo cc dng chun tc tuyn hoc hi vi

    s tham gia ca cc phn t ny.

    H cc phn t NOT, AND to thnh mt hy v php ton OR c thc biu

    din qua NOT v AND.

    - H cc phn t NOT, OR to thnh mt hy

    - H php ton ch c phn t NAND hoc NOR l mt hy - Phn tng ngt v phn t NOT to thnh mt hy .

    .

    Ngoi cc phn t lgic hai u vo nu trn, trong cng ngh cn s dng nhng

    phn t c nhiu hn 2 u vo. Cc phn t c nhiu u vo c thc biu din

    nh ghp ni nhiu lp cc phn t lgic c s lng u vo t hn hoc nh mt phn

    t duy nht.

    Cc phng php xy dng mch c la chn da vo cc tiu chun ti u v

    din tch tinh th bn dn ca mch, nng lng m mch tiu th v thi gian tr

    truyn ca tn hiu khi i qua mch.

    3.3 Thit k cc mch t hp

    1. Tng hp mch theo biu thc lgicThng thng cc hm lgic c biu din bng cc biu thc lgic cha cc php

    ton AND, OR, XOR, NOT. Nhng biu thc c thc thc hin thnh mch

    thng qua nhng phn t lgic cs.

    Biu thc c thc phn tch di dng cc cy tnh ton tng ng vi cc du

    ngoc v mc u tin ca cc php ton.

    V d:

    Phng php xy dng mch trc tip t cc biu thc lgic nh nu trn l

    phng php n gin. Vi phng php ny ta c th xy dng mi hm lgic vi

    yxyxz .=+=

    wzyxf += .

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    11/120

    nhng phc tp khc nhau nhng n c mt nhc im ln l lin quan n thi

    gian tr ca tn hiu i qua mch v di ca tn hiu

    2. Thc hin cc mch hai tngTrong cc phng php thit k mch hai tng trn cscc phn t AND-OR v

    OR-AND gm:

    + Xy dng mch trc tip t cc dng chun tc, trn thc t phng php ny

    khng hiu qu. Khi xy dng mch cc phn t lgic c n u vo cn nhiu din tch

    trn tinh th bn dn hn khi xy dng trn phn t c hai u vo. Do gi thnh

    mch cng ph thuc vo s lng u vo ca cc phn t lgic. i vi vic thit cc

    mch t hp s dng s hai tng thng qua cc phn t AND-OR. Bn cnh vic

    gim s lng cc phn t cn gim s lng u vo ca cc phn t.

    V d:

    Thit k theo dng chun tc tuyn trc tip v thit k sau khi ti thiu ha

    Xy dng mch trc tip t cc dng chun tc, trn thc t phng php ny khng

    hiu qu. Khi xy dng mch cc phn t lgic c n u vo cn nhiu din tch trn

    tinh th bn dn hn khi xy dng trn phn t c hai u vo. Do gi thnh mch

    cng ph thuc vo s lng u vo ca cc phn t lgic. i vi vic thit cc

    mch t hp s dng s hai tng thng qua cc phn t AND-OR. Bn cnh vicgim s lng cc phn t cn gim s lng u vo ca cc phn t.

    3. Thc hin mch t hp trn cscc PLA/ROMMa trn logic lp trnh l cc khi phn t vm c s dng thit k nhng

    mch LSI, VLSI theo cu trc cc mch hai tng. Cc PLA bao gm cc ma trn

    AND v ma trn OR c mc ni tip. Ma trn th nht l ma trn AND, ma trn

    th hai l ma trn OR. Nh ta bit cu trc cu trc 2 tng AND OR tng

    ng vi cu trc hai tng ca cc phn t NAND. Theo nguyn l i ngu, cutrc cng tng ng vi cu trc hai tng NOR-NOR. Do trong k thut

    thit k cu trc hai tng NAND-NAND v NOR-NOR c s dng rng ri.

    ( )= 15,12,11,8,7,6,5,2,0f

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    12/120

    Mt phn t hay c s dng ca cu trc VLSI v cng cho php lp trnh c nh

    PLA l ROM. ROM khc PLA ch ROM l cu trc cho php lp trnh cc gi tr 1 v 0

    i vi cc tch cc tiu mt cch ty . Nhc im ca chnh ca ROM so vi PLA l

    ROM c hiu sut s dng din tch tinh th thp. So vi PLA, ROM c mm do cao

    hn trn quan im thay i cc hm logic trong h hm, do ROM c s dng trong

    k thut tnh ton rng ri hn PLA. i khi, thay th ROM, ngi ta c th s dng cc

    b nhtruy cp ngu nhin trong c ghi sn bng chn l.

    3.4 Nhng vn khi thit k mch t hp1. Nhng giai on thit k mch t hp:Qu trnh thit k mch t hp thng c thc hin theo nhng bc sau:

    - Kho st c im v chc nng ca mch t hp, nhng lin kt ca mch vinhng mch khc theo u vo/u ra, thit lp cc quan h tng ng vi cc bin

    logic.

    - nh gi kch thc ca bi ton v gii quyt vn phn chia mch t hp thnh

    cc phn h theo mc cn thit.

    - Xy dng bng th hin cc chc nng ca mch t hp.

    - Ti thiu ha mch.

    - La chn cc phn t lgic v biu din hm lgic theo cc h cs la chn.2. nh hng ca thi gian tr ti hot ng ca cc mch t hp

    - Lm thay i hon ton chc nng hot ng ca mch

    i vi cc mch t hp, thi gian tr khng ch lm gim tc hot ng ca

    mch m cn c th sinh ra cc gi tr nht thi b sai u ra ca mch. iu ny c

    th lm hot ng ca ton h thng c th b thay i. Theo thi gian nhng gi tr

    Ma trnAND

    MatrnOR

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    13/120

    ny s bin mt v u ra ca mch s nhn cc gi trc tnh theo cc hm logic

    thit k. Nhng cc gi tr sai ny rt nguy him trong nhng trong nhng trng

    hp khi mch t hp c ni vi cc mch nhdung lu tr cc trng thi ca h

    thng. Khi s xut hin cc trng thi khng don trc v hot ng ca ton

    h thng c th sai hon ton. Nhng trng hp ny gi l cc ruit ro trong mch. loi tr kh nng li xut hin do ri ro, trong cc mch t hp ngi ta s dng

    ng b qu trnh nhn thng tin bng cc mch nhni vi u ra ca mch t hp.

    Thng tin c nhn vo mch nhthng qua tn hiu ng b C. Tn hiu ny c

    tc ng vo mch nhsau khi cc qu trnh qu trong mch t hp kt thc. Nh

    vy cc tn hiu sai s khng tc ng n phn t nhv do khng xut trn u

    ra ca mch.

    3.5 Thit k mch dyMch tun tc thng c thit k theo cu trc bao gm cc mch t hp lin

    kt vi cc mch nh. Cc mach t hp s thc hin cc tnh ton theo cc hm l

    gic, cn cc mch nhdng lu tr cc kt qu trung gian.

    1. Nguyn l ca cc mch nh:Cc mch t hp cho php thc hin mt s mch phc tp, nh mch nhn nhanh,

    nhng i vi mt s thao tc x l d liu phc tp hn yu cu ghi nhcc kt qu

    tnh ton trung gian v thc hin cc thao tc lp tng ng vi trnh t tnh ton.

    Trong lnh vc x l s, cc d liu c biu din di dng nh phn do cn thit

    nhng mch cho php nhli hai trng thi 0 v 1.

    C hai loi s nhkinh in: mch nhtnh v mch nhng

    Xt cc dng mch nhc xy dng trn nhng phn tn gin nht. Vic xy

    dng cc phn t nhu da trn cc nguyn l chung: hoc phi xy dng cc vng

    phn hi tn hiu trong mch duy tr gi tr nhhoc l dng thit b ph tr

    duy tr gi tr nh.

    Vng phn hi

    Mch nhtnh

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    14/120

    Mch nhc xy dng t hai phn t NOT mc ni tip v mt vng phn hi.

    Khi mch trng thi n nh u ra ca hai phn t NOT ln lt l 1, 0 hoc 0,

    1. Nhng gi tru ra ca cc phn t NOT cng vi vng phn hi c tc dng duy

    tr trng thi ca phn t nh . Nh vy mch ny c tc dng lu tr cc gi tr d

    liu 1 v 0. Mch nhny gi l mch nhtnh.Mch nhng: Phn t kha SW ni tip vi phn t NOT. Phn t nhny lu tr

    gi tr d liu ca nhbng phn tin dung k sinh ti u vo ca phn t logic

    NOT. Khi tn hiu iu khin K=1, kha SW ng v in dung k sinh ti u vo

    phn t NOT c tch in. Khi K=0, kha SW mv in tch u vo phn t

    NOT b c lp vi mch ngoi. Do phn t NOT cn phi c gi tr trkhng u

    vo cao. Thi gian lu trc xc nh theo thi gian lu giin tch ca phn t

    in dung u vo.

    2. Cc s thanh ghi v trigS nhng cho php lu tr trc tip mt bit thng tin. c th ghi nhng

    thi c n bit thng tin ngi ta dung song song n phn t nhng. Thit b

    c gi l thanh ghi ng n bit

    x1 1x

    x2 2x

    xn xn

    3. Cc chng b:Tn hiu iu khin cc u vo ca mch nhthng cung cp bi cc mch ng

    b. u vo tn hiu ng b tng ng ca mch gi l cc u vo ng b. Tn

    hiu ng bc xc nh bi di khong thi gian t m tn hiu trng thi 1

    v chu k T.

    t

    Thanhghing

    CK

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    15/120

    T

    Cc mch nhs dng tn hiu ng b thc hin cc thao tc c v ghi d liu khi

    u vo ng b nhn gi tr 1 hoc 0. Cc mch loi ny c gi l cc mch lm vic

    trong chng b theo mc. Khi thit k cc mch lm vic theo chng b, dica tn hiu ng bng mt vai tr quan trng trong hot ng ca mch. Nu cc trigo D

    ng b theo mc c ghp ni ni tip v ni chung cc u tn hiu ng b ta s c

    thanh ghi lm vic theo ch nh sau:

    u vo

    Nu tn hiu ng b c di ln th sau mt khong thi gian tt c cc trigo s

    ghi cng mt gi tr. Trong trng hp ngc li, khi di t ca tn hiu ng b tha mn

    h thc:

    minmax dt

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    16/120

    Nhc im ca phng php ng theo sn dc v ng b hp l min dch pha

    cho php ca xung ng b. dch tng i ca cc xung l hin tng xut hin dch

    pha ca cc xung trn u vo ng b ca cc phn t nhtrong mch VLSI. dch pha

    ca cc xung ng b xut hin ch yu do kt qu ca s phn b cc thi gian tr trong

    cc mch phn phi tn hiu ng b.ng b hai pha:

    u vo

    CK1

    4. Cc trigo s dng chng b hp v ng b hai phaTrong k thut, nhiu mch nhs dng cc trigo hot ng theo cc chng b

    theo sn ln hoc lm vic theo chng b hai pha. Cc chng b ny

    cho php gii quyt vn cnh tranh gia cc phn t logic trong hot ng ca cc

    trigo. iu ny lm cho cc mch nhhot ng tin cy hn v lm gim tnh bt

    nh ca mch.

    5. Thit k cc mch tun t bng cc otomat hu hnTrong cc mch t hp, gi tru ra c hon ton xc nh theo cc tn hiu u

    vo ti thi im hin ti. Nhng cc mch tun t c cc gi tru ra c xc

    nh theo dy cc gi tru vo tc ng vo mch ti nhng thi im trc thi

    im hin ti.

    3.6 Nhng vn khi thit k mch dy1. Hin tng cnh tranh trong cc mch tun t

    Khc vi cc mch t hp, trng thi ca cc mch tun t c xc nh

    khng ch t cc tn hiu vo m cn ph thuc vo cc trng thi trc ca

    mch. Vic lu tr cc trng thi trc ca mch c thc hin trn cc

    phn t nh nh thanh ghi, trigo, Nh vy mch tun t c th biu din

    bng cc otomat c s trng thi hu hn.

    Trong cc otomat, do nh hng ca thi gian tr tn hiu trn cc phn t

    mch, ngi ta quan st thy nhng trng thi trung gian chuyn tip gia cc

    trng thi trong thit k. nhng trng thi chuyn tip ny c nh hng ln

    D Q

    Q

    D Q

    Q

    D Q

    Q

    D Q

    Q

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    17/120

    n hot ng ca mch. S cnh tranh trong qu trnh truyn tn hiu gia cc

    phn t mch c th dn n vic thit lp nhng trng thi sai ca cc phn t

    nh, do c th dn n hot ng sai ca mch. Khi thit k cc otomat, s

    cnh tranh trong cc phn t mch phi c loi tr.

    V d:Trong nhiu trng hp cch cnh tranh trong cc mch tun t xy ra nh

    sau:

    Cc trng thi ca otomat, do cc tn hiu thit lp thay i li ph thuc vo

    trng thi ca cc phn t nh. Do hin tng tr tn hiu trong cc phn t

    logic, s thit lp cc gi tr ca nhkhng xy ra ng thi. iu c th

    nh hng ti tn hiu thit lp ca cc phn t khc v do c th dn n

    nhng trng thi khng lng trc.Phng php quan trng ngn chn hin tng cnh tranh gia cc phn t

    trong mch l s dng cc chng b. Trong cc ch ny, vic nhn

    cc gi tr vo cc nhv a cc gi tr vo ti u ra c thc hin vo

    nhng thi im xc nh sau khi cc qu trnh qu trong mch kt thc.

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    18/120

    Chng IV: Nhng khi nim chung v m hnh ho phn cng

    4.1 M hnh ho phn cng

    M hnh ha phn cng l nhng biu din phn cng trn cc mc tru tng

    khc nhau. M hnh cho ta thy nhng phn t lin quan m khng ch r nhng chi tit

    ca chng.

    Trong qu trnh thit k, m hnh c s dng c trng cho mch, th hin cc

    tnh cht ca mch v l phng tin trao i thng tin v thit k gia nhng ngi

    thit k v my tnh.

    Cc c t mch l cc m hnh m t chi tit mch sc xy dng. Cc c t ny

    lun i i vi cc rang buc v mt thit k nh hiu nng, din tch.

    Mch in c thc m hnh ha theo nhng cch khc nhau tng ng vi cc

    mc tru tng (mc kin trc, mc logic, mc hnh hc), theo cc gc quan st

    (gc hnh vi, gc cu trc v gc vt l) v tng ng vi cc phng php m

    hnh ha c s dng trong qu trnh thit k (cc ngn ng m t thit k, cc s

    mch hoc cc m hnh ton hc).

    Trong qu trnh thit k mch, hin nay ngi ta thng s dng cc ngn ng m

    hnh ha phn cng (HDL), ngn ng ny cng ging nh cc ngn ng lp trnh phn

    mm. Tnh sc tch d hiu ca cc ngn ng HDL gip cho vic m t mch bng cc

    ngn ng c a chung hn vic biu din bng cc biu , s trng thi, slogic,

    4.2 Cc ngn ngm hnh ho phn cng

    Trong lnh vc thit k mch, cc ngn ng m hnh ha phn cng (HDL) xut hin

    do nhu cu phi c cng c m t chnh xc mch v cu trc cng nh hnh vi.

    S khc bit gia cc ngn ng lp trnh v ngn ng m hnh ha phn cng:

    - Cc mch phn cng c th thc hin nhng php ton c mc song song (ng

    thi) ln cn cc phn mm th ngc li, trn nhng my n x l ch thc hin cnhng php ton tun t. V mt ny, cc ngn ng m t phn cng s gn ging vi

    cc ngn ng lp trnh cho cc my tnh x l song song.

    - Cc m hnh phn cng lun phi cha nhng thng tin v cu trc nh s tip xc

    ca mch vi nhng mch khc lm ny sinh yu cu phi m t cc cng vo ra ca

    mch v khun dng d liu c trao i qua nhng cng . Do cc ngn ng m

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    19/120

    hnh ha phn cng phi h trvic m t thit k trn gc hnh vi v cu trc nhm

    biu din cc c trng ca mch mt cch c hiu qu.

    - Vic xc nh thi gian v thi im thc hin ca cc php ton ht sc quan trng

    trong phn cng do cc tng tc gia cc thnh phn phn cng vi nhau. Trong khi

    vn tng tc theo thi gian t nh hng ti vic thc hin cc php ton trong ccchng trnh phm mm, tr mt s trng hp trong nhng ng dng thi gian thc.

    Mch in c thc m t di nhng gc quan st khc nhau, do cc ngn ng

    HDL vi nhng c trng tng ng cng c pht trin.

    1. Nhng c im khc bit ca cc ngn ng m t phn cng.Cc ngn ngc c trng nhcc quy tc c php, ng ngha v thc t s

    dng. C php lin quan n cc cu trc ca ngn ng v c thc thc hin

    qua cc quy tc ng php. Ng ngha ch ra ngha ca cc thnh phn ngn ng.Cc quy tc ng ngha tc ng tng ng vi ti nhng thnh phn ngn ng

    tha mn cc quy tc c php. Thc t s dng ngn ng lin quan n nhng

    kha cnh khc ca ngn ng, bao gm c vn s dng v thc hin ngn ng.

    C th chia ngn ng thnh 2 loi:

    + Ngn ng th tc (procedural): cc chng trnh th hin cc tc ng mong

    mun bng cch m t dy cc bc cn thit thc hin cc tc ng .

    + Ngn ng khai bo (declarative): cc m hnh th hin cc vn sc giiquyt bng tp hp cc c t, khai bo m khng a ra chi tit cc phng php

    gii quyt. Do trnh t m t cc khi cskhng quan trng trong cc ngn

    ng khai bo.

    Cc ngn ng m hnh ha phn cng c phn loi da trn csgc quan st cc i

    tng c m t. V d nhng ngn ng m t thit kmc vt l sc h trnhcc

    c t nhng i tng hnh hc nguyn thy, cc thao tc trn cc i tng .

    Cc ngn ng HDL thng c pht trin km theo cc b m phng. Tc thc hin lmt trong nhng yu cu i vi b m phng. Cc thut ton m phng theo s kin c

    s dng rng ri bi v chng cho php b m phng gim thiu s lng cc tnh ton v

    do lm gim thi gian thc hin m phng.

    Qu trnh m phng bao gm vic tnh cc gi tr cuiar tn hiu trong mt khong

    thi gian xc nh. Khong thi gian c chia thnh cc khung thi gian. Trong mi khung

    thi gian chu trnh m phng gm cc bc sau:

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    20/120

    - Tn hiu c lan truyn trong mch v c cp nht

    - Tt c cc qu trnh nhy cm vi cc s kin c tnh ton cho ti khi chng

    c dng li.

    - Khi tt c cc qu trnh tnh ton u dng li, thi gian trong b m phng c

    chuyn sang khung tip theo v hnh thnh chu trnh m phng mi.

    Phng php m hnh ha mch ny tng qut cho php m t cc mch ng

    b v khng ng b. Trong cc mch ng b tt c cc qu trnh c thc kch hot ti

    mi chu kng h. Nu thi gian tr ca cc thnh phn mch bit, chng c thc

    c t nh mt thuc tnh ca tn hiu v b m phng c th m t chnh xc hnh vi theo

    thi gian ca mch. Trong trng hp thi gian tr l cha bit, ngi thit k hoc ohair

    tm nhng thng tin v thi gian tr t cc m hnh hnh vi hoc a ra cc gi thit v rng

    buc v thi gian tr thc hin cc php ton trong khung thi gian xc nh.2. Cc ngn ng m t cu trc phn cng

    M hnh c m t bng cc ngn ng cu trc th hin cc kt ni gia cc

    phn t. Do ngn ng ny c sc mnh biu cm tng t nh cc s mch

    mc d nhng c dim ca ngn ng cho php cung cp nhng m t khi qut

    hn. Cc h thng th bc trong ngn ng cho php to cc m hnh c tnh

    mun ha v ngn gn. Cc thnh phn csca ngn ng cu trc cho php

    xp cc ngn ng ny vo nhm cc ngn ng khai bo (delarative), mc d mt

    s ngn ng m t cu trc c cha nhng thnh phn th tc. Cc bin trong

    ngn ng tng ng vi cc cng ca cc phn t.

    V d: M t mch na tng bng ngn ng VHDL.

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    21/120

    Architecture STRUCTURE ofHalf_Adder is

    Component AND2

    Port (x, y: in bit; 0: out);

    End Component

    Component EXOR2

    Port (x, y: in bit; 0: out);

    End Component

    Begin

    G1: AND2

    Port map (a, b, carry);

    G2: EXOR2

    Port map (a, b, sum);

    End STRUCTURE;

    Trong m hnh ny cha hai khai bo ca m hnh khc l AND2 v EXOR2 v hai

    khi to m hnh l G1 v G2. Thng tin c th v cc m hnh AND2 v EXOR2 c

    khai bo mt v tr khc nh trong th vin chun.

    Dng khc ca bin l cc siu bin. Cc bin ny c dng lm m hnh mch

    gn hn, cc bin loi ny c th l cc ch s ca mng. Cc bin loi ny khng biu

    din trc tip cc thnh phn ca phn cng v c loi tr khi m hnh sau bc dch

    u tin..

    V d: Xy dng m hnh ca mng 32 bo tn hiu ni gia hai tuyn tn hiu bng

    ngn ng VHDL. T kha generate cho ta nhiu phin bn ca bin vng lp i:

    Architecture STRUCTURE ofBUS_INV is

    Component INVERTER

    Port (i1: in bit; 01: out bit);

    End component

    Begin

    G: for i in 1 to 32 generate

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    22/120

    INV: INVERTER port map (inputs (i), output (i));

    End generate;

    End STRUCTURE;

    3. Ngn ng m t chc nng phn cngCc mch t hp c thc m t bng tp hp cc phn t logic v tp hp cc

    phng trnh. Cc cu trc ny lin kt cc bin thnh cc biu thc logic.

    Phng thc khai bo ng dng tt nht cho trng hp m t cc mch t hp

    nhng mch c m t khng cn b nh: Cc mch t hp c th coi l cc

    ghp ni (v mt cu trc) ca cc ton t, trong mi ton t xc nh mt hm

    logic . Cc m hnh ny khc vi m hnh cu trc ch khng c tng quan

    mt - mt gia cc biu thc v cc cng logic, v i vi mt s biu thc s

    khng tn ti phn t logic thc hin biu thc .Cc ngn ng th tc c th s dng m t cc mch t hp. Phn ln cc

    ngn ng cu trc cho php thc hin php gn nhiu ln vi mt bin. trnh

    s mp mv gi tr bin, trong cc ngn ng m t chc nng c cc cch gii

    quyt mp mnh nhng php ton sau s xa b tc ng ca php ton trc.

    V d: M t b na tng trn VHDL dng m hnh hnh vi.

    Architecture BEHAVIOR ofHALF_ADDER is

    Process

    Begin

    Carry

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    23/120

    theo xung nhp ng h, vi nhng phn nhnh chuyn trng thi tng ng vi

    trng thi hin thi.

    V d: M t otomat hu hn thc hin nhn bit cc bit 1 lin tip dng d

    liu vo.

    4.3 Cc m hnh tru tng

    1. Cc cu trc

    Cu trc ca mch c th m hnh ha da vo cc cu trc lin kt bao gm

    tp hp cc mun, tp hp cc mng kt ni v quan h lin kt gia cc mun v mng

    kt ni. M hnh cu trc c thc biu din bng nhiu cch.

    - C th biu din m hnh cu trc mt cch n gin bng cc siu th, trong mi nh ca th tng ng vi cc mun v cung tng ng vi mng

    lin kt. Quan h lin kt gia cc mun v mng c m t bng cc ma trnlin kt. Ta c siu th tng ng vi mt th 2 phn c tp hp cc nh

    chia lm hai phn, trong mt phn tng ng vi cc mun, phn cn li

    tng ng vi cc mng.

    - Mt cch biu din khc ca cu trc l biu din mi mun bng cc im ucui hay l cng v m t s kt ni gia cc mng vi cc cng ca mun.

    Thng thng cc ma trn lin kt rt tn mn, khi s dng danh sch mng c hiu qu

    hn m t cu trc. Trong danh sch mng ta nh s tt c cc mng ni vi tngmun (danh sch loi ny c gi l danh sch mng hng mun) hoc nh s tt c

    cc m un kt ni vi mt mng (danh sch mng hng mng).

    V d:

    2. Mng lgicMng logic tng qut l mt cu trc trong nhng m un ti l tng ng vi

    cc hm logic tun t hoc t hp. Gm mng logic t hp v mng logic ng

    b.- Mng logic t hp hay cn gi l mng logic Bool l mt cu trc phn cp trong

    :

    Mi m un ti l tng ng vi mt hm logic c nhiu u vo v mtu ra. Hm ny gi l hm cc b.

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    24/120

    Cc cng vo ra c chia lm hai nhm: cc cng vo v cc cng ra.Cc cng khng thuc cc m un con cng c chia lm hai nhm: cc

    u vo v cc u ra scp.

    Mi mng c mt cng tch bit gi l cng ngun v c nh hng tcng ngun ti cc cng khc. Cc cng ngun ca mng c th hoc lu vo scp hoc l u ra scp ca m un thuc mc thp hn.

    Quan h gia cc mng trong m un l quan hc sp mt phnV d:

    a x

    b

    c y

    S mng

    logic (cu trc)

    - Va, Vb, Vc l 3 nh vo- Vx, Vy l 2 nh ra- Vp, Vq l 2 nh trung

    gian tng ng vi cchm logic.

    th mng logic ca cu trc trn.

    = ab

    = +c

    Va

    Vb

    Vc

    Vp

    Vq

    Vx

    Vy

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    25/120

    Chng V: Cc phng php m hnh ho logic

    M hnh ha logic l hnh thc kim tra thit k s dng cc m hnh ca mch

    c thit k. Qu trnh m hnh ha logic v m phng thit k c thc biu din

    theo s sau:

    Chng trnh m phng s biu din tn hiu vo v tn hiu iu khin, pht trin qu

    trnh tnh ton trn cc tn hiu theo thi gian v hnh thnh cc gi tru ra da trn m

    hnh ca mch.

    Vic kim chng thit k logic l qu trnh kim tra thit k mch trn phng din

    hot ng v chc nng v theo thi gian. Qu trnh ny c thc hin da trn vic so

    snh cc kt qu nhn c qua qu trnh m phng vi nhng gi trc tnh ton t

    trc da vo chc nng. Bn cnh m hnh ha logic cn c th s dng kimchng cc tnh cht sau ca hot ng ca mch c thit k:

    - Sc lp ca cc trng thi ban u;- S nhy cm ca cc bin (tn hiu) vo tham s thi gian tr ca cc phn t.- Trong hot ng ca mch khng tn ti s chy ua gia cc phn t, s dao

    ng, cc iu kin u vo khng thch hp hoc cc trng thi treo.

    Thng thng nh thit k xy dng nhng phin bn mu ca mch theo thit k v

    kim tra hot ng ccuar mu. Vic kim tra ny s cho php tm ra nhng li timn trong thit k.

    u im ca vic chn mu l chng cho php nh thit k kim nghim thit k

    theo tc tnh ton thc t. Nhc im l gi thnh xy dng phin bn mu th

    nghim cao v tn thi gian.

    Chng trnhm phng

    M hnh mch

    Cc gi tru vov cc iu khin Kt qu

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    26/120

    M hnh ha logic v m phng thay th vic xy dng mu th bng cc phn mm.

    iu ny cho php nh thit k phn tch, kim nghim v hiu chnh m hnh mt

    cch d dng. So vi qu trnh kim nghim trn mu, vic kim nghim thit k

    bng m hnh c nhng u im sau:

    - Cho php kim tra cc iu kin sinh ra li (nh cc mu thun trn ng tnhiu)

    - Cho php thay i tham s thi gian tr ca cc phn t trong m hnh kimtra nhng trng hp xu nht viu phi thi gian trong mch.

    - Kim tra nhng gi tr do nh thit k xc nh trong qu trnh m phng.- Cho php mch c m phng bt u hot ng ti bt k mt trng thi no.- Cho php kim sot mt cch chnh xc vic iu phi thi gian i vi nhng s

    kin khng ng b.

    - C kh nng tng kim tra hot ng ca mch c thit k trong mi trnglin kt vi nhng mch khc.

    5.1 Csm hnh ho logic

    1. Cc phng php m hnh ha v cc h m phng

    Trong k thut thit k mch, m hnh ha mch gm 2 phng php chnh: Phng

    php m hnh ha bin dch v phng php m hnh ha hng s kin.

    * Phng php m hnh ha bin dch:

    Cc h chng trnh m phng thc hin cc m hnh logic c dch t cc ngn

    ng m hnh ha phn cng c gi l h m phng bng bin dch. Cc m bin dch

    c to ra t nhng m hnh trn mc thanh ghi, t cc m hnh chc nng hoc m

    hnh cu trc.

    * Phng php m hnh ha hng s kin:

    Gi s ta kho st mch in khi mch hot ng v quan st nhng tn hiu thay i

    gi tr ti nhng thi im thi gian bt k. Nhng tn hiu ny c gi l nhng tn

    hiu kch hot. T l gia s lng cc tn hiu kch hot v tng s cc tn hiu trong

    mch gi l hot tnh ca mch (khong 1% - 5%).

    Trong mch in, s thay i gi tr ca tn hiu trn mt ng truyn tn hiu c

    gi l mt s kin. Nh vy mi khi c s kin xut hin trn ng tn hiu I, chng ta

    ni rng phn t mch nhn ng tn hiu I lm u vo c kch hot. Qu trnh tnh

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    27/120

    ton cc gi tru ra ca phn tc gi l qu trnh xc nh gi tr tn hiu. Phng

    php m phng theo hot tnh ca mch ch xc nh gi tr tn hiu i vi nhng phn

    tc kch hot. Nhng phn tc kch hot s thay i cc gi tr tn hiu trn u

    ra ca chng v to ra cc s kin mi. Nh vy, hot tnh ca mch c xc nh bi

    cc s kin trn cc ng tn hiu, do phng php m phng theo hot tnh cnc gi l phng php m phng hng s kin. c th truyn cc s kin theo cc

    ng lin kt trong mch gia cc phn t, h thng m phng hng s kin cn phi

    bit m hnh cu trc ca mch. Do m hnh ha logic v m phng hng s kin

    thng da trn cch lp bng.

    Phng php m hnh ha logic v m phng bng bin dch phn ln ch quan tm

    ti vic kim chng chc nng hot ng ca mch m khng quan tm ti vic iu

    khin v iu phi cc qu trnh tnh ton theo thi gian ca mch. Do phng php

    m hnh ha logic v m phng bng bin dch thch hp vi nhng mch ng b, trong

    , vic iu phi cc tin trnh tnh ton theo thi gian c thc kim tra tch ri vi

    vic kim tra chc nng ca mch.

    Ngc li phng php m hnh ha lgic v m phng hng s kin tp trung ch

    yu vo cc m hnh iu khin tin trnh tnh ton theo thi gian v c th lm vic vi

    nhng m hnh thi gian chnh xc. Nh vy, phng php m hnh ha logic v m

    phng hng s kin c tnh tng qut cao hn v c th p dng cho c nhng mch

    khng ng b.Phng php m hnh ha logic v m phng hng s kin c th thao tc vi

    nhng u vo thi gian thc c ngha l: nhng u vo c s ln thay i trng thi

    c lp vi hot tnh ca mch c m phng.

    Trong k thut hai phng php m phng v m hnh ha ny c s dng mt

    cch kt hp, trong nhng th tc hng s kin s truyn cc s kin trn cc ng

    tind hiu qua cc phn t mch cn nhng phn tc kch hot s thc hin cc thao tc

    ln tn hiu bng cc m hnh xy dng t cc m bin dch. Chng ta c th biu din ccmc m phng sau:

    - M hnh ha trn mc thanh ghi: h thng sc m t hon ton trn mc thanh

    ghi truyn t hoc nh lin kt gia nhng thnh phn ca m hnh trn thanh ghi.

    - M hnh ha trn mc chc nng: h thng c m t bng cc thnh phn cbn

    v lin kt gia cc thnh phn .

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    28/120

    - M hnh ha trn mc cc phn t logic

    - M hnh ha trn mc cc transistor

    - M hnh ha hn hp

    2. Cc gi tr logic khng xc nh:

    Trong qu trnh m hnh ha logic, c th m t chc nng v hot ng ca mch

    theo thi gian, ta phi m t mt cch chnh xc cc qu trnh din ra trong mch, c ngha

    l chng ta phi m tc s bin thin gi tr tn hiu trn cc ng tn hiu trong mch.

    Do hot ng ca cc mch s da trn csca logic chuyn mch, nhng vi 2 gi tr {0,

    1} ta khng th m tc s chuyn tip gi tr tn hiu t mt mc sang mt mc khc.

    Do phi mrng min xc nh ca cc php ton logic truyn thng v mrng php

    ton ny trn min xc nh mi.

    Trong qu trnh m hnh ha, m t cc gi tr tn hiu trn cc ng tn hiutrong cc qu trnh tnh ton, ngi ta thng s dng hai dng gi tr tn hiu sau:

    - Cc gi tr tn hiu thc cc i tng c m hnh ha trc tip v tng ng

    vi cc gi tr tn hiu trong s thc.

    - Cc gi tro cc gi tr ch tn ti trong m hnh mch khi thc hin qu trnh m

    phng.

    Trng thi bin i gi tr t 0 -> 1 l 1

    Trng thi bin i gi tr t 1 -> 0 l

    0 1 0

    Cc trng thi ny th hin cc qu trnh qu trong mch trn cc ng truyn tn

    hiu. Ngoi ra i vi nhng phn t c 3 trng thi ta cn phi s dng them gi tr Z

    ch trng thi cao. Nh vy min xc nh ca cc php ton c mrng t tp hp

    {0, 1} sang tp {0, 1, , , Z}.

    Cc gi tro c s dng trong qu trnh m hnh ha i vi mt s trng hp ta

    khng th thit lp gi tr tn hiu nh l kt qu ca cc php ton trn nhng gi tr

    thc hoc khi ta phi m hnh ha cc phn t ca mch trong iu kin gi tr thi gian

    tr ca cc phn t khng xc nh.

    V d: Khi m phng hot ng ca phn t NAND c 2 u vo bng cc gi tr tn

    hiu thc {0, 1, , , Z}, chng ta khng th thit lp gi tru ra bng cc gi tr tn

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    29/120

    hiu thc nu trn khi gi tru vo l v . Trong nhng trng hp nh vy ta

    phi s dng them cc gi tr tn hiu khng xc nh k hiu l U.

    p ng ca cc mch tun ti vi cc tc ng ph thuc vo cc gi tr khi to

    ban u, do giai on u ca qu trnh m phng, chng ta cn xc nh nhng gi

    tr tn hiu tng ng vi trng thi ban u ca mch. Khi mch bt u c cung cpnng lng, do gi tr thi gian tr ca cc phn t mch l cc i lng ngu nhin nn

    cc trng thi ca cc phn t trigo, thanh ghi, nhkhng xc nh. l nguyn nhn

    v sao trc khi mch bt u thc hin cc chc nng tnh ton thng thng, chng ta

    thng a mch v trng thi ban u bng mt chui cc khi to reset.

    Do , trong qu trnh m phng, khi cung cp nng lng cho mch, thi im ban

    u tn hiu thng c gn gi tr X. Nu gi tr ca mt tn hiu l X ti mt im

    xc nh c ngha l tn hiu c th nhn gi tr hoc 0 hoc 1 ti thi im .

    Hai gi tr X v U c ngha khc nhau mc d chng cng l cc gi tr khng xc

    nh. X ch s bt nh v trng thi ca mch ti thi im ban u. Do c s chy ua

    gia cc phn t logic thnh phn v s bin thin ngu nhin ca tham s thi gian tr

    ca chng nn thi im ban u, cc phn t nhc th nhn cc gi tr 0 hoc 1

    mt cch ngu nhin. Khi ta biu th trng thi ca mch l X. Gi tr U xut hin

    khi ta lm cc php ton trn cc tn hiu.

    V d: ti thi im t, gi tr tn hiu S1 l dy tn hiu 1, gi tr tn hiu S2 l 0.

    Cc dy tn hiu ny biu th cc qu trnh qu xy ra trn ng tn hiu s1 v s2 ti

    thi im t khi gi tr tn hiu chuyn t 0 sang 1 v t 1 v 0. Nu cc ng tn

    hiu s1 v s2 l cc u vo ca phn t AND, khi phn t AND s thc hin php

    ton and(, ). Kt qu ca php ny l khng xc nh. Trn u ra ca phn t

    AND tn hiu s khng nhn gi tr 0 cng nh 1. Trong trng hp ny chng ta

    biu th gi tr tn hiu k hiu U

    Nu s lng cc gi tr thc v o trong qu trnh m hnh ha logic v m phng

    bng n th nhn c m hnh m phng n gi tr v h cc php ton logic tng ngphi c mrng thnh h logic n gi tr.

    V d:

    - Nu n = 3, tp hp cc gi tr m tn hiu c th nhn c trong m hnh mphng ca mch s l {0, 1, X};

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    30/120

    - Nu n = 5, tp hp cc gi tr m tn hiu c th nhn c trong m hnh mphng ca mch s l {0, 1, , , U};

    - Nu n = 7, tp hp cc gi tr m tn hiu c th nhn c trong m hnh mphng ca mch s l {0, 1, , , U, X, Z};

    5.2 Phng php m hnh ho bin dch

    Phng php m hnh ha logic v m phng bng bin dch l phng php m

    hnh ha trong cc tn hiu c xc nh gi tr bng cch to cho mi phn t ca

    mch mt m lnh tng ng vi cc php ton m phn t cn c thc hin. Cc

    m lnh nhn c sc biu din theo mt trt t tng ng.

    Trong phng php m hnh ha v m phng bng bin dch, m hnh bng cc m

    lnh l mt thnh phn ca h thng m phng. Trong nhng trng hp c bit, h m

    phng chnh l cc m hnh trn cc m lnh. M hnh m lnh c kt ni vi h mphng , trong mi tin trnh bao gm vic c cc vecto u vo, thc hin m hnh

    vi tng vecto u vo v hin th kt qu.

    Cc bc m hnh ha bng phng php bin dch:

    - Phn hng cc phn t ca mch cn m hnh ha theo trt t thc hin cc php

    ton sao cho khng c mu thun ny sinh.

    - To cc m lnh tng ng vi cc php ton do cc phn t thc hin.

    phn hng cc phn t ca mch, trc tin phi ngt cc vng phn hi nu c.Cc im ngt c xc nh tng ng vi cc chc nng ca mch. Trong trng hp

    m hnh ha cc mch tun tng b vic ngt mch phn hi thc hin trn nhng

    phn t trc tip nhn cc tn hiu ng b.

    Gi s l(k) l hng ca phn t k, khi qu trnh c phn hng c thc hin nh

    sau:

    - Cc u vo ca mch c hng 0

    - Nu k1, k2, , kp l cc phn tc ni vi cc u vo ca phn t k s bng:

    l(k) = 1 + max(l(k1), , l(kp)).

    Nhn xt: phng php bin dch khng tnh ti nh hng ca thi gian tr khi tn

    hiu c truyn qua mch do qu trnh xy dng m hnh mch c thc hin theo

    hng.

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    31/120

    - Nu ta ch gii hn trng hp thi gian tr l cc thi gian tr lan truyn th vic m

    hnh ha hot ng ca c th thc hin c theo cch phn hng. Cc thi gian tr lan

    truyn sc tnh n mt cch tng minh trong qu trnh phn hng v truyn tn

    hiu qua tng lp phn hng.

    - i vi cc dng thi gian tr khc nh thi gian tr ngu nhin hoc thi gian trqun tnh th vic m hnh ha mch theo phng php bin dch khng th thc hin

    c.

    - Do ta phi ngt cc vng phn hi trong mch, phng php bin dch ch c th s

    dng trong nhng trng hp khi ngha ca vic ngt vng phn hi r rang

    V d trong trng hp cc mch ng b, m hnh ha mch bng phng php bin

    dch c th thc hin c kh nhanh. Nhng phng php ny c nhc im quan

    trng l khng tnh thi gian tr trong mch. Nu ta coi thi gian tr trn tt c cc phnt mch c coi l bng nhau th vic phn hng s th hin c s tr ca tn hiu khi

    i qua mch. Trong trng hp thi gian tr c nhng dng phc tp th phng php

    bin dch khng th thc hin c chnh xc. Khi m hnh ha cc mch ng b, vic

    ngt cc vng phn hi cng lm cho ng ngha ca mch b thay i. Trong trng hp

    i vi nhng mch khng ng b vic khng tnh n thi gian tr c th dn ti

    nhng kt qu sai.

    gii quyt mt phn kh khn nu trn, i vi nhng mch khng ng b, ta phi

    gi thit gi tr thi gian tr ch tp trung trn ng phn hi. p ng vi vecto u

    vo x, mch phi tri qua mt chui cc ln chuyn trng thi. Qu trnh chuyn trng

    thi ny c biu din bng s thay i gi tr ca bin trng thi y. Ta gi thit rng

    vector tn hiu u vo ch tc ng khi trng thi ca mch n nh y = Y. ng tn

    hiu phn hi c hng 0 phi c xc nh trc khi cc m lnh tng ng vi mch

    t hp C c to ra.

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    32/120

    N Y

    Hnh trn m phng mch khng ng b bng m hnh m lnh bin dch. Khi thc

    hin m phng m hnh, qu trnh m hnh ha s thc hin tnh gi tr tn hiu z v Y

    da trn gi tr x v y.

    Phng php ny khng chnh xc khi thc hin i vi cc mch khng ng b

    trong cc thao tc tnh ton u da trn tham s thi gian tr ca cc phn t mch.

    V d: Ta xt mch to xung sau:

    A

    B C

    Khi tn hiu trn ng A c s thay i gi tr t 0 sang 1, nu mch o B khng

    lm tr tn hiu th tn hiu trn C s lun nhn gi tr 0 do tn hiu trn hai ng A v

    B lun ngc nhau. Nu phn t B lm tr tn hiu, trong khong thi gian c di

    bng gi tr thi gian tr tn hiu qua phn t B, hai u vo ca phn t AND s c cng

    gi tr 1 v trn ng tn hiu C s xut hin xung 0 1 0 c rng bng

    gi tr tham s tr ca phn to B. Nu khng cn thn, xung 1 ny s xut hin trn

    ng tn hiu C bi v phng php bin dch ch quan tm ti hnh vi tnh ca mch

    (nu khng quan tm n s tr tn hiu, gi tr trn ng C lun bng 0) Nu ta them

    vo phn t tr D vo ng tn hiu ti u ra ca phn t B, mch sc m phng

    mt cch ng n.

    c gi tr vecto u

    vo x tip theo

    Thc hin cc m lnh tngng vi mch t hp C

    y = Y?

    y = Y

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    33/120

    A C

    B

    5.3 Phng php m hnh ho hng skin

    Phng php m hnh ha hng s kin cho php xy dng nhng m hnh m

    phng trn my tnh vi chnh xc cao, trong ta c th m t ton b cc lin kt

    trong mch v da vo xy dng cc m hnh hot ng ca mch theo tin trnh thi

    gian.

    H thng m hnh ha logic v m phng hng s kin s dng m hnh cu trc ca

    mch lan truyn cc s kin. S thay i gi tr ti cc u vo chnh ca mch c

    xc nh bng cc vecto tn hiu kch hot. Mi s kin trn cc ng tn hiu khc ca

    mch c tnh ton theo cc phn t b kch hot.

    Cc s kin xut hin ti nhng thi im thi gian m phng xc nh. Cchiu

    phi thi gian cu qu trnh m phng iu khin s xut hin ca cc s kin theo mt

    trt t xc nh. Cc tc ng vo mch sc biu din bng dy cc s kin xut hin

    trn cc ng tn hiu ti cc thi im thi gian xc nh. Cc s kin s xut hin

    trong tng lai s phi chv lu trong danh sch cc s kin. Nhng s kin trong danh

    sch s kin sc iu phi v x l ti nhng thi im m phng.

    D

    Thi im m phngtip theo

    Xc nh s kin hin

    ti

    Thay mi cc gi tr

    Lan truyn cc skin qua cc phn t

    Kt thcm phng

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    34/120

    m t r qu trnh m hnh ha logic v m phng hng s kin, c th ha thut

    ton m phng hng s kin nh sau:

    V0: gi tr tn hiu- ng truyn tn hiu thay i thi im thi gian hin ti.

    V1: gi tr tn hiu ng truyn tn hiu thay i di tc dng ca V0.

    1. Thit lp hai tp hp Va v Vb, gn a0, b12. Thit lp gi tr trng thi ban u ca mch3. c cc gi tru vo. Xt cc ng tn hiu m trn xut hin cc s kin

    (s thay i gi tr tn hiu). Cc gi tr ca s kin xy ra ny c ghi vao tp

    hp Va.

    4. Kim tra tp hp Va, nu Va rng quay v bc 3; nu Va khng rng, thc hinbc 5.

    5. Thc hin m hnh ha logic i vi cc gi tr trong tp hp Va; cc ng d liutrn xut hin s kin v gi tr ca chng c ghi vo Vb.

    6. Gn a1, b0, vai tr ca Va v Vb thay i. Kim tra s xut hin dao ngtrong mch. Nu khng c dao ng quay v bc 4.

    V d: M hnh ha qu trnh hot ng ca trigo RS

    a

    c

    b d

    1. Thit lp VA v VB (A0, B1);2. Do trng thi ban u ca mch khng xc nh, gi tr trn tt c cc ng tn

    hiu c gn bng X;

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    35/120

    3. t cc gi tru vo: a = 0, b = 1. Ta c VA = {(a,0)(b,1)};4. Ta thy V0rng, chuyn sang bc 55. Gi tr trn ng tn hiu ra c thay i t X sang 1, tp hp V1 = {(c,1)};6. Gn V1 vo V0. Tp hp V0 nhn gi tr V0 = {(c,1)} v quay li bc 47. Ta thy V0 rng, chuyn ti bc 58. Gi tr tn hiu trn ng d chuyn t x sang 0, V1 = {(d,0)}9. Gn V1 vo V0, V0 = {(d,0)}, quay v bc 410.Ta thy V0 rng, chuyn sang bc 511.Gn V1 vo V0, khi V0 = rng, chuyn sang bc 412.Ta thy V0 = rng, quay v bc 313.c cc gi tr tip theo u vo

    Nh vy vi gi tru vo a = 0, b = 1, ta nhn c cc gi tru ra c = 1, d

    = 0.

    5.4 M hnh ho qu trnh tr tn hiu trong cc phn tmchKhi thc hin m hnh ha logic v m phng hot ng ca mch trn mc cc phn

    t logic, mt nhn t quyt nh mc chnh xc ca m hnh so vi mch thc t l tham

    s thi gian tr ca cc phn t logic. Nu ta biu din hot ng ca mch khng tng

    ng vi nhng tnh hung thc t s din ra trong cc phn t logic, khi s xut hin skhng chnh xc trong cc m hnh hot ng ca mch ch yu theo nhng quan h v thi

    gian.

    1. M hnh ha qu trnh tr tn hiu qua cc phn t logic:Mi phn t logic u tc ng ln cc tn hiu vo v lm tr cc tn hiu . Trong k

    thut thng s dng hai m hnh tr qua cc phn t logic: m hnh tr lan truyn v m

    hnh tr qun tnh

    - Tr lan truyn: l s tr tn hiu pht sinh khi ta cho tn hiu i qua phn t mch.

    - Tr qun tnh: l s tr tn hiu gn lin vi nng lng kch hot phn t mch.

    a. Tr lan truyn:

    Thi gian tr lan truyn l thi gian m tn hiu ra ca mch chm pha so vi tn hiu

    vo mch. M hnh tr lan truyn l m hnh cbn, trong xc nh khong thi gian T

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    36/120

    cch bit gia cc s kin xut hin ti u ra vi s kin xut hin ti u vo sinh ra

    chng. n gin, cc gi tr thi gian tr s dng trong m phng l cc s nguyn.

    Trong mt s trng hp khi m hnh ha mch ta khng tnh n thi gian tr tn

    hiu khi i qua cc phn t. Lc ta coi thi gian tr ca cc phn t bng khng, chng ta

    s dng m hnh vi thi gian tr tn hiu bng khng. Phng php m hnh ha logic vm phng bng bin dch s dng m hnh thuc tnh tr ny. M hnh cc phn t vi thi

    gian tr bng khng chc s dng m hnh ha cc gi tr logic trong nhng mch t

    hp v mch tun tng b.

    M hnh mch trong thi gian tr ca tt c cc phn t logic bng nhau gi l m

    hnh vi thuc tnh tr thun nht. Do cc phn t logic c thi gian tr khc khng nn

    chng ta c kh nng m hnh ha v x l cc mch khng ng b c phn hi. Trong

    mt s trng hp ta c th chn gi tr thng s tr lm n v vi t l mt, m hnh ny

    c gi l m hnh trn v.

    Trong trng hp tng qut, cc phn t ca mch c th nhn nhng thi gian tr

    khc nhau. thc hin qu trnh m hnh ha hot ng ca mch, ta s dng n v thi

    gian o gi tr thng s thi gian tr l c s chung ln nht T ca cc thng s thi

    gian tr trn cc phn t v phn phi cc gi tr thng s thi gian tr theo t l

    tng ng vi T. M hnh ny c gi l m hnh hot ng vi thng s thi gian tr

    phn tn.

    a 1

    c d

    b h

    0 e1 g

    S mch cho trng hp tr thun nht v tr phn tn

    a

    b

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    37/120

    c

    d

    e

    g

    h

    Hot ng ca mch trn vi m hnh tr thun nht.

    a

    b

    c

    d

    e

    g

    h

    Hot ng ca mch vi m hnh tr phn tnKhi m hnh ha hnh vi ca cc phn t logic, thng phn tch chc nng tnh ton

    logic cchiu phi thi gian ca chng. Nh vy mt phn t vi thng s thi gian

    tr l T s tng ng vi phn t logic c thng s thi gian tr bng 0 v phn t tr c

    thng s thi gian tr l T. Trong qu trnh m hnh ha hnh vi ca phn t logic, u

    tin phn t b kch hot sc tnh ton theo chc nng logic, sau cc thuc tnh tr

    sc tnh ton v th hin qua qu trnh iu phi thi gian.

    Khi s dng m hnh tr khng xc nh hoc m hnh tr cc i cc tiu , trong

    hot ng ca mch s xut hin nhng hnh vi khng xc nh v biu th qua nhng

    gi tr U.

    V d:

    a b c d e

    g

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    38/120

    Vi m hnh tr cc i cc tiu ta c th m hnh ha phn ln cc trng hp phc

    tp xut hin trong hot ng ca mch trn thc t.

    b. Tr qun tnh:

    Mi mch in u cn nng lng chuyn trng thi. Nng lng ca tn hiu l

    hm s ca bin v di tn hiu. Nu i ca tn hiu qu ngn, tn hiu khng

    th kch hot phn t chuyn trng thi. di ti thiu ca tn hiu u vo

    kch hot mch chuyn trng thi c gi l thi gian tr qun tnh u vo ca phn t,

    k hiu l I. Nhng tn hiu c di nh hn I sc gi l xung nhn v s khng

    c phn t cho i qua. Nu di tn hiu ln hn hoc bng I, tn hiu sc i

    qua mch vi tr bng thi gian tr lan truyn ca phn t. Vic a tr qun tnh u

    vo cho php m hnh ha nhng trng hp c bit trong cc s thc khi phn tngng lm vic vi nhng xung rt hp.

    2. M hnh ha qu trnh tr tn hiu qua cc phn t chc nng v thanh ghi.Cc chc nng logic v cc c tnh thi gian ca cc phn t chc nng phc tp hn so

    vi cc phn t logic cbn.

    V d: xt hnh vi hot ng ca phn t Trigo D lm vic theo sn ln vi hai ng

    tn hiu khng ng b thit lp S (set) v khi to R (Reset).

    q S R C D Q QN Tg Tr

    0 0 1 x x 1 0 S/Q = 4; S/QN = 3

    1 1 0 x x 0 1 R/Q = 3; R /QN = 4

    1 1 1 0 0 1 fC/Q = 8; rC/QN = 6

    0 1 1 1 1 0 rC/Q = 6; fC/QN = 8

    SD Q

    C QNR

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    39/120

    x 0 0 x x u u

    I/O: ch tr ca p ng ti u ra O i vi tc ng ti u vo I

    r, f: tr sn ln v sn xung ca phn t.

    Ta xt dng th 3: nu trng thi ban u ca phn t q = 1 v ti cc ng tn hiu S,R khng c tc ng (S = 1, R = 1), s chuyn trng thi ca xung ng h C t 0

    sang 1 s lm cho u ra Q nhn gi tr ca ng D vi thi gian tr sn xung fC/Q

    = 8; u ra QN chuyn t 0 sang 1 vi trrC/QN = 6.

    Dng cui cng ca bng ch ra rng nu cc u vo nhn gi tr cm 00, c hai u

    ra s nhn gi tr U.

    5.5 M hnh ho trn mc cc phn tCc phng php iu khin qu trnh th hin trnh t thc hin m hnh ha v nhng

    phng php x l gn lin vi qu trnh m phng mch. Trong phng php bin dch,

    trnh t thc hin m hnh ha c xc nh bng vic phn hng cc phn t . Nh vy

    vic ngt cc vng phn hi trong cc mch tun t v phn hng phn t chnh l phng

    php iu khin qu trnh m hnh ha.

    Khi s dng phng php m hnh ha hng s kin nu cc gi tr tn hiu v(j) ti

    thi im thi gian t0 khc gi tr V(j) ti thi im trc, iu c ngha l xut hin s

    kin, ta s coi rng tn hiu thay i gi tr vo thi im t0 +T trong T l tr lan

    truyn ca phn tang xt.

    m t s xut hin s kin trn cc ng tn hiu trong mch theo thi gian ta cha

    cc s kin vo danh sch tuyn tnh c dng biu din nh sau:

    u danhsch

    ti

    t

    t

    S ki n

    S ki n S ki n

    S ki nS ki n

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    40/120

    Danhsch cc s kin

    Tng ng vi mi mt thi im thi gian s c mt danh sch cc s kin xut hin

    vo thi im .

    Khi c s kin mi xut hin, s kin ny c a vo danh sch s kin gn lin

    vi mt thi im thi gian xc nh. S xut hin ca mt thi im thi gian cnh du trong qu trnh m hnh ha khng ph thuc vo chui thi gian. Do

    xc nh v tr ca cc thi im c tnh ton trc trong danh sch s kin, chng

    ta cn phi c chui thi gian tng ng vi danh sch c x l. iu ny c thc

    thc hin da vo cc nh x thi gian.

    Khi ta s dng php nh x thi gian, dy cc thi im thi gian c xc nh vi

    khong thi gian cch u , mi s kin trong h thng sc xc nh da vo cc

    thi im thi gian ny. Khong thi gian c xc nh bng c s chung ln nhtca thi gian truyn tn hiu v tr ca cc phn t trong mch. Do gii hn v b nh

    dung thc hin qu trnh m hnh ha mch, ta xc nh gi tr gii hn ca thi im

    thi gian cc i bng M. Nh vy cc thi im thi gian sau thi im cc i s quay

    li bt u t thi im ban u v to thnh vng quay thi gian (bnh xe thi gian).

    Thng thng khong thi gian tng thch vi tr ca cc phn t trong mch.

    Trong nhng trng hp khi trong mch c nhng phn t vi tr ln, khong thi gian

    c th vt qu thi gian gii hn M, iu ny dn ti vic thi im m phng vt ra

    ngoi vng thi gian v gy nn hin tng trn. gii quyt vn ny, ta xy dng danhsch b tr lu tr cc thi im trn v gi l danh sch trn. Trong trng hp s dng

    danh sch trn, mi khi bnh xe thi gian thc hin c mt vng quay, ta cn thc hin

    thao tc tr cc thi im trong danh sch trn v chu trnh x l theo vng thi gian.

    Khi thc hin qu trnh m hnh ha theo vng thi gian, cc bc ca qu trnh m

    phng c thc biu din di dng sau:

    1234tt+1

    S ki nS ki nS ki n

    S ki n S ki n

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    41/120

    - B1: Thit lp cc gi tr ban u ca tn hiu ti thi im tt0 v t cc gi tr thnh gi tr hin thi.

    - B2: c cc gi tru vo. Nu cc gi tr ny khc cc gi tr hin thi, ta achng vo tp hp L trong = t+ T.

    - B3: Nu L = , chuyn ti bc 5, ngc li chuyn ti bc 4- B4: Nu gi tr trong L trng vi gi tr hin thi, ta gi nguyn gi tr hin thi;

    nu cc gi tr ny khc nhau, ta lm gi tr cp nht hin thi v a vo L, =

    t+ T

    - B5: Gn tt=1 v quay v B2.V d: Minh ha thut ton m hnh ha hng s kin i vi mch sau:

    V d minh ha thut ton m hnh ha hng s kin i vi mch trn. Cc gi tr

    1 v 0 trn mt ng tn hiu bt k ; v d trn ng a, sc k hiu l (a;1) v(a;0). Gi thit cc tn hiu u vo thay i theo gin thi gian trn, phn t OR c

    tr lan truyn T = 2. Trong trng hp ny qu trnh m hnh ha hng s kin s

    c thc hin theo trnh t sau:

    1. B1: Ti thi im ban u t=t0 (t0 = 1); Cc gi tr ban u: (a; 0), (b; 0), (c; 0);2. B2: c gi tru vo: (a; 1); L+T = L3 = {(c; 1)};3. B3: Kim tra L1, L1=, chuyn ti bc 5;4. B5: tt+1(t = 2); Quay li B2;5. B2: c gi tru vo (b; 1); L+T = L4 = {(c; 1)};6. B3: Kim tra L2, L2 = , chuyn ti B5;7. B5: tt+1(t = 3); Quay li B2;8. B2: c gi tru vo (a; 0);9. B3: Kim tra L3, L3={(c; 1)}, L3; Thc hin B4;

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    42/120

    10.B4: Gi tr hin thi c thit lp bng (c; 1);11.B5: tt+1(t = 3); Quay li B2;12.B2: c gi tru vo (b; 0); L6 = {(c; 0)};13.B3: Kim tra L4, L4 = {(c; 1)}, L4; Thc hin B4;14.B4: Do L4 trng vi gi tr hin thi (c; 1); thc hin B5;15.B5: tt+1(t = 5); Quay li B2;16.B2: Cc tn hiu vo khng thay i gi tr; Thc hin B3;17.B3: Kim tra L5, L5 ; chuyn ti B5;18.B5: tt+1(t = 6);19.B2: Cc tn hiu vo khng thay i gi tr; Thc hin B3;20.B3: Kim tra L6, L6 . Thc hin B4;21.B4: Gi tr hin thi c thit lp bng (c; 0);

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    43/120

    Chng VI: Ngn ngm hnh ho VHDL

    6.1Gii thiu v ngn ngVHDL1. Nhng khi nim chung v ngn ng VHDL

    Cc phng php thit k da trn csca cc ngn ng HDL ngy cng trnn

    ph bin. Cc ngn ng m t phn cng HDL c cc nh thit k mch s dng ch

    yu m t cu trc hoc hnh vi ca cc h thng s cho qu trnh m phng hoc

    thit k.

    Phng php thit k trn cscc ngn ng HDL so vi cc phng php thit k

    truyn thng trn csca cc cng lgic c cc u im sau:

    - Cc phng php ny cho php tng nng sut thit k, n cho php nh thit

    k tn t thi gian hn v cho php nhng ngi khng cn kin thc su v

    phn cng c th thit k phn cng.

    -Phng php thit k trn cc ngn ng HDL kh cng vi nhng cng

    ngh khc nhau. Cc m t trn cc ngn ng HDL cung cp cc ti liu c

    lp vi phn cng ca mch in. S dng cc chng trnh tin ch h tr

    thit k ta c th chuyn i cc biu din trn cc ngn ng HDL thnh nhiu

    mc ng dng cho nhng cng ngh khc nhau.

    Ngn ng HDL (VHSIC Hardware Description Language) l ngn ngc s dng

    ph bin trong cng ngh ch to cc mch VLSI. VHDL c cng nhn l ngn ng

    tiu chun trong m t phn cng ca IEEE v ca B Quc phng M.

    V mt c php, ngn ng VHDL l mt ngn ngc nh kiu cht ch v c

    mt tp hp ln cc cu lnh. Ngn ng VHDL h trcc phng php m t nhiu lp

    trong cc thnh phn cu trc hoc mng li cc phn t c thi i vi cc m t

    hnh vi hoc cc thut ton.

    VHDL cung cp kh nng m t ca mch s trn nhng mc tru tng khc

    nhau: mc thut ton; mc cc thnh ghi, hm truyn t; mc cc cng lgic. Nh thit

    k c th s dng chin lc thit k t trn xung, u tin m t thit k trn mc kin

    trc, sau chi tit ha tng bc thit k. V d ta c th m t mch so snh mt bit

    bng ngn ng VHDL theo nhng mc chi tit khc nhau. Mch ny bao gm hai

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    44/120

    u vo v mt u ra vi cc tn hiu ti cc u ny l cc tn hiu s. Nh vy mch

    s tng ng vi mt thc th c hai u vo v mt u ra. Kin trc ca mch c t

    quan h gia u vo v u ra ca mch c th m t theo hnh vi, dng truyn d liu

    qua mch, hoc theo cu trc ca mch.

    - M t trn mc thc th

    Trn mc thc th, ta m t v s lng cc cng vo ra ca mch v cc dng

    tn hiu ti cc cng . Trong v d v mch so snh mt bit, mch c m t

    bng mt thc th bao gm hai cng vo v mt cng ra. Cc d liu ti cc

    cng ny l cc bit.

    entity COMPARE is

    port ( A,B: in BIT;C:out BIT);

    end COMPARE;

    - M t mch bng hnh vi

    m t mch bng hnh vi, trong ngn ng VHDL ngi ta dng cu trc

    process. Khi m t bng hnh vi, ta khng cn thit phi cung cp chi tit v

    vic thc hin thit k. Trong v d ny ta thy mch so snh c m t bngmt qu trnh process. Qu trnh ny chu tc dng ca hai tn hiu l A v B.

    ahitechture BEHAVIOR or COMARE is

    begin

    process (A,B)

    begin

    if(A=B) then C

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    45/120

    -M t bng dng dliu

    Theo biu din bng dng d liu, h thng c biu din nh cc lung

    chuyn ng ca cc tn hiu iu khin v cc d liu. Theo phng php

    ny, hot ng ca mch c biu din nh cc mch lgic t hp, nh mch

    cng, mch so snh, mch gii m. i vi v d v mch so snh, ta thy tn

    hiu C c gn gi tr ca biu thc lgic ca A v B sau mt thi gian 10 ns

    sau khi c s thay i gi tr tn hiu trn cc cng A,B.

    architechture DATAFLOW ofCOMPARE is

    begin

    C

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    46/120

    begin

    U0: XOR_Gate port map (I0 => A,I1 =>B, O =>NET_I);

    U1: NOT_Gate port map (I0 => NET_I, O => C);

    end STRUCTURE;

    2.Qa trnh m phng

    Nh cp ti trong chng 4 ,cc ngn ng HDL lun i km vi cc b m

    phng. Cc thit k trn ngn ng VHDL, s thc hin trn b m phng VHDL

    kim tra hnh vi ca h thng c m hnh ha. ti to hot ng ca m hnh, nh

    thit k cn cung cp tp hp cc tc ng vo m hnh. Chng trnh m phng s gn

    cc tc ng ti u vo ca m hnh ti nhng thi gian xc nh v da vo m

    hnh a ra cc p ng ca mch. Cc kt qu c nh thit k s dng kim tra

    mc thch hp ca thit k.

    Ta c th thc hin m phng trn bt k giai on no ca qu trnh thit k. Ti cc

    mc bao qut nht ca thit k m phng cung cp cho ta thng tin v hot ng ca

    mch ang thit k. Thng thng m phng mc ny thc hin rt nhanh v khng

    cung cp cho ta nhng thng tin chi tit v hot ng ca mch v nh chnh thi

    gian. M phng mc thp chim nhiu thi gian hn nhng cung cp cho ta nhiu

    thng tin chi tit v hot ng ca mch, ch thi gian ng h. Ngn ng VHDL

    cho php s dng cch m phng hn hp. u im ca phng php m phng hn

    hp l cho php nh thit k tp chung v xy dng nhng phn mch quan trng.

    gim gi thnh thc hin m phng cc mc thp cc b m phng cn c dng

    pht hin cc li cng sm cng tt. Trong qu trnh m phng chng trnh VHDL, cc

    nh thit k cn cung cp tp hp cc gi tr th nghim ti nhng thi im m phng

    xc nh

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    47/120

    3. Tng hp mchTng hp mch l qu trnh xy dng cc m t thit k t mt mc tru tng no

    sang mt mc tru tng thp hn. Qu trnh ny c th l s bin i t hnh vi ny

    sang hnh vi khc hoc t hnh vi sang cu trc. Qu trnh bin i ny tng t nhqu trnh bin dch chng trnh phn mm vit trn cc ngn ng bc cao sang cc m

    Assembly. Cc u vo ca cc cng c thit k thng l cc m t trn cc ngn ng

    HDL, cc cchiu khin thi gian cc mc tiu ti u, cc th vin k thut. u ra

    ca h thng h tr thit k l cc danh sch cc mng li ti u, cc hiu nng ca

    mch, din tch ca thit kc xy dng.

    -Tng hp hnh vi l qu trnh bin i cc m ta bng cc ngn ng th tc

    sang cc m tmc thanh ghi truyn t. Thit kmc thanh ghi truyn

    t thng bao gm cc ng truyn d liu, cc mch nhv cc biu

    khin. Qu trnh tng hp hnh vi thng c gi l qu trnh tng hp

    mc cao hay cn gi l tng hp kin trc.

    -Tng hp mc thanh ghi truyn t l qu trnh to ra cu trc mng cho

    cc mch tun t t tp hp cc hm truyn t thanh ghi. Cc trng thi

    c

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    48/120

    tng ng vi chng h cng c xc nh mc ny. Cc thao tc

    mc thanh ghi truyn t c th m t bng cc tmat hu hn hoc tp hp

    cc phng trnh truyn t mc thanh ghi cc thnh phn ca qu trnh bao

    gm : ti u ha trng thi, m ha trng thi, ti u ha lgic , nh x cng

    ngh.

    -Tng hp lgic l qu trnh chuyn cc biu din mch bng cc biu din

    lgic sang cc mch lgic. Qu trnh ti u ha mch lgic thit kc chia

    lm 2 giai on: cc qu trnh ti u mch khng ph thuc vo cng ngh; v

    cc nh x cc s v cc phn t vo cc lin kt ca cc phn t m t

    trong b th vin.

    6.2Cc cu trc cstrong VHDLMi mt h thng mch s c thit k nh mt h phn cp cc mdun. Mimdun tng ng vi mt thc th ca thit k trn ngn ng VHDL. Thc th thit k

    th hin mt i tng ca thit k phn cng. i tng ny c cc u vo v u ra

    c xc nh r rng, ng thi thc th thit k cng phi ch ra c chc nng ca

    i tng thng qua php ton c nh ngha trc. Mi thc th thit k gm c 2

    phn: phn khai bo thc th v kin trc thc th.

    - Phn khai bo thc th m t dng bn ngoi ca thc th, cc giao din cathc th vi thc th khc. Giao din ny c th hin qua cc cng vo v

    cng ra ca thc th.

    - Kin trc thc th m t cc thnh phn bn trong ca thc th.

    - Ngoi ra chng cn c th dng cc gi tin ch thit k.

    - Cc thc th cn c cu hnh. Cu hnh l cc dng tng ng ca thit

    k.- Cc th vin l tp hp cc thc thc m t sn. Cc thc th trong th

    vin sc s dng ty theo mc quan trng ca thit k.

    Cc cu trc csca ngn ng VHDL bao gm:

    - Cc thc th

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    49/120

    - Cc kin trc

    - Cc gi

    - Cc cu hnh

    - Cc th vin

    1. M t cc thc thCc khai bo thc th cho ta ci nhn i vi phn t mch cn c m t t mt

    bn ngoi. Bng cch khai bo thc th, phn t mch sc m t bng s

    lng v chc nng ca cc cng giao tip v cc tnh cht ca d liu ti cc

    cng ny theo phng din t ngoi vo.

    Ngn ng VHDL c m t theo ngn ng c php. i vi cc m t thc th

    c php c dng nh sau:

    entily ten_thc_this

    [lnh_khai_bo_generic]

    [cc_lut_ti_cng]

    {cc_thnh_phn_khai_bo_thc_th}

    [begin

    Cc_thnh_phn_biu_thc_thc_th]

    end [tn_thc_th];

    Lnh khai bo generic dng khai bo cc tham sc s dng kim soat

    cu trc hoc hnh vi ca thc th. Cc hng s ny c gi l cc tham s

    chung. Cu trc generic c cu trc nh sau:

    generic (

    tn_hng: kiu_con [:=gi_tri_khi_to]

    {;tn_hng: kiu_con [:=gi_tr_khi_to]}

    );

    tn_hng: l tn ca tham s chung

    kiu: kiu d liu ca tham s.

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    50/120

    gi_tr_khi_to: gi tr khi to ca tham s.

    Cc lut cng c t cho cc knh giao tip ca thc th v c quy tc c php nh

    sau.

    port ( tn_cng: [mode] kiu_con[:=gi_tr_khi_to]

    {; tn_cng: [mode] kiu_con[:=gi_tr_khi_to]}

    );

    tn_cng: tn ca cng c m t.

    model: ch hng ca tn hiu ti cng.

    kiu_con: kiu d liu ti cng hoc cc tham s chung.

    gi_tr_khi_to: gi tr khi to cho cng.Trong phn khai bo, thc th v cc cng ca thc th lun c t tn hoc

    nh nh danh. Tn, nh danh trong ngn ng VHDL khng phn bit ch hoa v thng.

    Mt snh danh l cc t kha ca ngn ng nh: entily, port,is,endNhng t ny c

    ngha cnh v khng th thay i trong ton b ngn ng.

    Cc cng l cc tn hiu kt ni thc th vi cc thc th khc. Nhng tn hiu ti

    cng c t tng ng vi cc dng in, out, buffer, inout v cc kiu d liu. ngha ca

    cc dng cng nh sau:

    - Cng c dng in l cng ch dng c. Trong cc mch s, cc cng dng

    in chc s dng lm cng tn hiu vo.

    - Cng c dng out l cng ch dng gn gi tr. Trong thit k mch, cc

    cng dng out chc s dng lm cng tn hiu ra.

    - Cc cng dng buffer l cng cho php c 2 thao tc c v gn d liu.

    Nhng trong tng ng cnh cng ch c th nhn 1 trong 2 chc nng choc gn gi tr.

    - Cng inout l cng c th va c va gn gi tr. Cc cng ny cho php

    c nhiu iu khin d liu ng thi trong mi ng cnh.

    Trong phn cc_thnh_phn_khai_bo_thc_th ca thc th cha khai bo cc hng s,

    cch kiu hoc tn hiu c th s dng trong qu trnh xy dng thc th. Phn

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    51/120

    cc_thnh_phn_khai_bo_thc_thcha cc biu thc thc hin ng thi. Cc biu thc

    ny c s dng kim tra cc iu kin rng buc cc php ton trong hnh vi ca thc

    th cn thit k.

    2. Cc kin trcTrong ngn ng VHDL cc kin trc cung cp ci nhn bn trong ca thc th. Kin

    trc ca thc th xc nh mi quan h gia cc u vo v u ra ca thc th v c th

    biu din theo hnh vi, theo dng vn chuyn d liu hoc theo cu trc.

    Kin trc xc nh chc nng ca thc th, kin trc cha phn khai bo,trong bao

    gm cc khai bo ca tn hiu, khai bo kiu, khai bo hng, khai bo cc thnh phn v cc

    chng trnh con. Trong phn thn ca kin trc cha cc cu kt cu thc hin ng thi.

    Cc kt cu thc hin ng thi th hin tnh cht thc hin ng thi ca cc thnh phnphn cng trong thit k khi c s thay i trng thi tn hiu tc ng vo mch. Cc kt

    cu thc hin ng thi tng tc vi nhau thng qua cc tn hiu. Mi kt cu thc hin

    ng thi xc nh mt phn t tnh ton. Phn t ny c tn hiu, thc hin cc php ton

    trn cc php ton gn nhng gi tr tnh c cho tn hiu. Cc kt cu ny biu din khi

    cc phn cng v cch thc lin kt gia chng theo dng cu trc hoc dng hnh vi.

    Kin trc c m t theo quy tc sau:

    architectureten_kin_trc oftn_thc_this

    {phn_khai_bo_ca_kin_trc]

    begin

    {cc_kt_cu_thc_hin_ng_thi}

    end [tn_kin_trc];

    tn_thc_th: l tn ca i tng s xy dng.Tn ny phi trng vi tn ca thc

    th tng ng vi kin trc khai bo trong phn khai bo thc th.

    phn_khai_bo_ca_kin_trc cha nhng khai bo trong m t kin trc. Hai dau

    {} c ngha l c th khng cha mt khai bo no hoc c cha nhiu khai bo.

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    52/120

    Phn nm gia 2 t kha begin v end,cc_kt_cu_thc_hin_ng_thi xc nh

    cc khi phn cng theo dng cu trc hoc hnh vi. Cc thnh phn signals dng kt ni

    cc khi ring bit ca kin trc. Mi tn hiu tng ng vi mt kiu d liu.

    Mt thc th c th c nhiu kin trc. Thng thng, ta c th biu din kin trc ca

    mt thc th3 phng din: phng din hnh vi, phng din dng d liu, phng din

    cu trc. Mt kin trc cng c th c hn hp c 3 phng din biu din thit k. Ta hy

    xt v d biu din kin trc thit k ca mch cng mt bit. Theo hnh vi, mch cng c th

    c biu din nh mt hm lgic tc ng ln 3 bin c lp l A, B, Cin hnh thnh ln

    tn hiu ra l Sum v Cout. Theo cch biu din bng dng tn hiu, mch cng thc hin

    cc tc ng.

    Ta xt v d biu din kin trc ca mch cng mt bt:

    a. Biu din kin trc theo hnh vi

    Biu din kin trc ca thc th theo hnh vi l m t chc nng ca h thng tng

    t nh cc chng trnh phn mm bng cc qu trnh tnh ton. Trong biu din ny

    ta khng cung cp chi tit vic thc hin thit k. Trong ngn ng VHDL biu

    din kin trc theo hnh vi, cu trc chnh ca hnh vi s l qu trnh, mt qu trnh

    B cng

    Process(A,B,Cin)BeginEnd.

    S

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    53/120

    c th coi nh l chng trnh v c xy dng t nhng kin trc th tc v c th

    cho php gi cc chng trnh con ging nh cc ngn ng lp trnh truyn thng.

    Ta hy xt v d m t kin trc ca mch cng mt bit bng hnh vi. Trong m t

    cha mt qu trnh vi 3 tham s l cc tn hiu A, B v Cin. Cc tn hiu ny cha

    trong danh sch cc tn hiu tc ng vo qu trnh. Vic thc hin cc qu trnh s

    dng li nu khng c cc s kin xy ra trn cc ng tn hiu xut hin trong

    danh sch hay ni mt cch khc l cc tn hiu trong danh sch cc tn hiu tc ng

    khng thay i gi tr. Mi khi c mt s kin xy ra trn cc ng tn hiu, qu

    trnh sc kch hot v cc cu lnh bn trong cu trc sc thc hin tun t.

    architecture BEHAVIOR ofFULL_ADDER is

    begin

    process (A,B,Cin)

    begin

    if(A = 0 and B = 0 and C = 0) then

    Sum

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    54/120

    Mt qu trnh m t hnh vi ca mt phn hoc ton b thit k. Qu trnh xc

    nh cc khi m lnh tun tc lp. Cc khi ny c thc kch hot ng vi

    cc bin i trng thi ca tn hiu. Khi c nhiu hn mt qu trnh trong kin trc th

    nhng qu trnh c thc hin ng thi

    i. M hnh hnh viThit k sc m hnh ha nh mt nhm cc php ton tc ng ln cc gi tr

    d liu truyn qua h thng. Trong m hnh hnh vi ca VHDL mi php ton c

    gi l mt qu trnh v cc gi tr d liu i qua h thng c gi l tn hiu. Mt h

    thng c th coi l tp hp cc qu trnh v cc qu trnh tng tc vi nhau thng

    qua cc tn hiu. Tt c cc qu trnh trong m hnh thc hin ng thi v cc tn

    hiu c dng nh v cc qu trnh song song.

    Chng ta c th coi vic thc hin mt qu trnh nh mt vng lp v hn. Vng lp

    ny bt u t vic thc hin dng lnh u tin, ln lt n dng lnh th hai, th

    ba cho n dng lnh cui cng v li quay tr li dng lnh u tin. Vic thc

    hin cc cu lnh trong qu trnh c thc hin cho n khi gp cu lnh wait. Khi

    b dng li, qu trnh c thc tip tc thc hin tr li. iu kin qu trnh

    thc hin trli ph thuc vo thi gian ngng cc i trong cu lnh wait tri qua.

    Trong nhiu trng hp qu trnh c thc kch hot trli ty thuc vo s thayi trng thi ca cc tn hiu tc ng hoc khi cc iu kin t ra c tha mn.

    Ngn ng VHDL cung cp kh nng m t nhng tn hiu tc ng vo cc qu trnh

    bng danh sch cc tn hiu tc ng. Khi gi tr ca cc tn hiu trong danh sch tc

    ng b thay i, qu trnh c kch hot v bt u thc hin.

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    55/120

    Trn hnh 6.6 ta c m hnh kin trc ca h thng gm ba qu trnh i,j,k. Mi

    qu trnh I v j c hai tn hiu tc ng cn qu trnh k ch c mt. u ra ca qu

    trnh i ni vi u vo ca qu trnh k v u ra ca qu trnh j ni vi u vo caqu trnh i. C ba qu trnh cng thc hin ng thi v cc tn hiu tc ng dng

    kim sot tin trnh thc hin cc qu trnh.

    ii. M hnh iu khin thi gian

    S thay i gi tr tn hiu ti nhng thi im thi gian xc nh c th hin

    qua thi im m phng ca h thng. Thi im m phng trong ngn ng

    VHDL l thi im ti c cc s kin xut hin trn ng tn hiu. Khi nim

    thi im m phng trn thc t l khc vi khi nim thi gian ng h ni ti. Cc

    qu trnh trong VHDL c kch hot li mt khi c s thay i gi tr ca tn hiu

    trong danh sch tn hiu tc ng. Khi qu trnh to nn gi tr cho tn hiu u ra, h

    thng m phng s chnh lng t thi gian trc khi gi trc gi ra u ra.

    Lc ta ni rng h m phng thc hin vic nh chng trnh cho giao tc sau

    thi im m phng xc nh. H thng m phng ca VHDL cng cho php nh

    .

    ..

    ..

    .

    Tn hiu

    Tn hiu

    u tr nh

    Qu trnh iu trnh k

    M hnh qu trnh

    Tn hiu

    Tn hi u

    Hnh 6.6:M hnh hot ng ca mt qu trnh process

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    56/120

    chng trnh cho mt s bt k cc giao tc i vi ng tn hiu ra. Tp hp tt c

    cc giao tc i vi tn hiu trong mt qu trnh gi l VHDL cung cp m hnh hai

    giai on: chu trnh m phng.

    - Trong giai on 1: gi tr ca cc tn hiu s thc hin giao dch trong thi

    gian hin ti c lm mi.

    - Trong giai on 2: nhng process nhn thng tin ti nhng tn hiu tc ng

    s thc hin tnh ton cho ti khi b treo. Kt thc giai on 2, thi im m

    phng s nhn gi tr mi v chu trnh thc hin li.

    Nh thit k c th chnh thi gian tnh t thi im hin thi m gi tr sc

    gi ti tn hiu ra trong cc cu lnh gn tn hiu. Nu trong cu lnh gn tn hiu

    khng ch r gi tr thi gian tr hoc gi tr ny bng 0 th thi gian trc mc nhdelta ca b m phng sc s dng nh lch trnh cho thao tc. Thi gian tr

    ny khng thay i thi im xut hin tn hiu ng h m phng nhng c s

    dng kt thc mt chu trnh m phng v bt u mt chu trnh mi. Nu gi tr

    mi c gn cho tn hiu khc vi gi tr c, trn ng tn hiu xut hin s kin

    .

    Chu trnh m phng

    Kt thc m phng

    Giai on mt: Cp nht tin

    Bt u m hn

    Giai on 2 :Thc hin tnh toniu khin lch trnhcc giao dch

    Hnh : chu trnh m phng ca qu trnh

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    57/120

    b. Biu din kin trc theo dng dliu

    Phong cch m t kin trc theo dng d liu c t h thng nh cc biu din

    song song ca cc dng d liu v dng cc tn hiu iu khin. Theo phng php

    ny chng ta m t cc dng thng tin, hnh vi lun chuyn cc dng d liu theo

    thi gian ca cc hm lgic t hp nh mch cng, mch so snh, mch gii m v

    cc phn t lgic cs. V d.

    architecture DATAFLOW ofFULL_ADDER is

    signal S:BIT;

    begin

    S

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    58/120

    Cc hng s csc thc dng nh cc tham s tr. Trong v d ny, chng

    ta thy cc khai bo ca tham s tr ca phn khai bo thc th v vic s dng

    chng trong kin trc.

    V d.

    Entity FULL_ADDER is

    Generic ( N: TIME:= 5ns );

    Port ( A,B,Cin:in BIT; Sum, Cout: out BIT );

    End FULL_ADDER;

    Architecture DATAFLOW ofFULL_ADDER is

    Signal S: BIT;

    Begin

    S

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    59/120

    Carry,Sum ; out BIT );

    end component;

    component OR_GATE

    port ( L1,L2: in BIT;

    O: out BIT );

    end component;

    signal N1,N2,N3 : BIT;

    begin

    HA1 : HALF_ADDER port map ( A,B,N1,N2 );

    HA2 : HALF_ADDER port map ( N2,Cin,N3,Sum );OR1 : OR_GATE port map (N1,N3,Cin );

    end STRUCTURE;

    Khi phc tp ca thit k tng ln, nh thit k thng phn tch h thng

    thnh nhng h thng con. Cc h thng con ny lin kt cht ch theo chc nng

    HA1

    OR1

    HA2

    N

    N N3

    Cout

    sum

    A

    B

    Hnh 6.8:Cu trc mc kin trc ca mch cng 1 bt cu hai tn hiu

    Cin

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    60/120

    trong thnh phn ca h thng tng th. Mi h thng con li c thc phn tch

    thnh nhng phn hmc thp hn na. Trong ngn ng VHDL, mc cao nht

    ca thit k ngi ta s dng m hnh kin trc theo phong cch kin trc ca thc

    th.

    Mi phin bn ca thnh phn mch c m t bng cc hp en trong biu din

    cu trc vi cc lin kt u vo v lin kt u ra c m t r rng. Cc phin bn

    thnh phn phi tng thch vi cc thc th. Cc thc th ny s m t cc chc

    nng ca thnh phn mch bng cc m hnh kin trc theo biu din cu trc hoc

    hnh vi. V d, i vi mch cng mt bit ca hai tn hiu, ta c mch cng sc

    xy dng t hai mch na tng v mt phn t OR. Khi theo cc m t kin trc

    ca cc thc th bng cc m t cu trc, thc th FULL_ADDER c to bi hai

    thc th HALF_ADDER v mt thc th OR_GATE. Trong thc th

    HALF_ADDER c thc xy dng t cc phn t XOR v AND.

    M t thc th HALF_ADDER theo cu trc t cc phn t AND v XOR.

    entity HALF_ADDER is

    port ( I0, I1: in BIT; S, C0: out BIT );

    end HALF_ADDER;

    architecture STRUCTURE ofHALF_ADDER is

    component XOR_GATE

    port ( I0, I1: in BIT; S, C0: out BIT );

    end component;

    component AND2_GATE

    port ( I0, I1: in BIT; S, C0: out BIT );

    end component;

    begin

    U1: XOR_GATE port map ( I0,I1,S);

    U2: AND2_GATE port map ( I0,I1,C0);

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    61/120

    end STRUCTURE;

    Mt thnh phn ca thc th nu trn c th xy dng t cc thc th khc m t

    cc chc nng ca chng. V d phn t XOR_GATE c thc m t theo hnh vi

    nh sau.

    Entity XOR_GATE;

    port ( I0, I1: in BIT; S, C0: out BIT );

    end XOR_GATE;

    architecture BEHAVIOR ofXOR_GATE is

    begin

    O

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    62/120

    - Phn thn gi bao gm cc phn thn ca cc chng trnh con m t trong

    phn khai bo gi. Phn thn ny l n i vi bn ngoi. Phn thn ca gi

    khng bt buc phi c nu khng c chng trnh con c m t trong gi.

    V d, ta c khai bo gi nh sau. Gi ny khai bo mt s kiu bin, hng v

    chng trnh con.

    Package EX_PKG is

    Subtype INT8 is INTEGER range 0 to 255

    Constant ZERO : INT8 :=0;

    Constant MAX : INT8 := 100;

    Procedure Increcment ( variable count : inout INT8 N)

    End EX_PKG;

    Do trong khai bo c th tc Increcment nn ta cn phi c thn ca gi tng

    ng vi khai bo gi trn.

    Package body EX_PKG is

    Procedure Increcment ( variable Data : inout INT8 ) is

    Begin

    If( Count >= MAX ) then

    Count := ZERO;

    Else

    Count := Count + 1;

    End if

    End Increcment;

    End EX_PKG;

    4. Cc cu hnhMt thc th c th c mt vi kin trc. Trong qu trnh thit k, ta c th cn

    phi th nghim mt vi bin th ca thit k bng cch s dng cc kin trc khc

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    63/120

    nhau. Cu hnh l thnh phn cbn ca n v thit k. Cu hnh cho php gn cc

    phin bn ca thc th o nhng kin trc khc nhau. Cu hnh cng c thc s

    dng thay th mt cch nhanh chng cc phn t ca thc th trong biu din cu

    trc ca thit k.

    C php ca m t cu hnh:

    Configuration tn_cu_hnh of tn_thc_this

    { phn_khai_bo_ca_cu_hnh }

    Forc_t_ca_khi

    { mnh__use }

    {cc_phn_t_ca_cu_hnh }

    End for;

    V tphn_khai_bo_ca_cu_hnh cho php cu hnh s dng cc phn t trong

    cc gi v cc th vin.

    V tc_t_ca_khi xc nh cu hnh cho kin trc ca thc th

    5. Cc th vin thit kPhn tch VHDL l qu trnh kim tra thit k VHDL cho ng c php v ng

    ngha. Sau khi phn tch VHDL, cc n v thit k sc lu d trong cc th vin

    s dng sau ny. Th vin thit k c th cha cc phn t th vin sau.

    Gi: l nhng m t, khai bo c dng chung

    Thc th: l nhng m t thit kc dng chung.

    Kin trc: nhng thit k chi tit c dng chung.

    Cu hnh: l nhng phin bn ca thc thc dng chung.

    Cc n v th vin l cu trc VHDL c thc phn tch ring r theo trnh t

    nht nh.

    Trong ngn ng VHDL c th vin thit kc bit c tn l WORK . Khi chng

    ta bin dch mt chng trnh vit trn ngn ng VHDL nhng khng ch r th vin

    ch, chng trnh ny sc bin dch v cha vo th vin WORK. V d lnh

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    64/120

    vc My-Design.vhd s kim tra c php chng trnh nm trong tp My-

    Design.vhd, dch

    Chng trnh ri cha vo th vin WORK. Hnh 6.10 ch ra cc phng thc

    s dng cc th vin thit k trong VHDL.

    6.3Cc kiu dliuNgn ng VHDL c ba dng i tng: bin, tn hiu v hng. Phn khai bo trong

    cc cu trc ngn ng s lit k cc i tng s s dng, cc kiu ca cc i tng

    v gi tr ban u m chng s nhn trong qu trnh m phng.

    1. Cc i tng d liuTrong ngn ng VHDL ngi ta phn loi 3 loi i tng: bin, tn hiu v hng.

    Cc i tng c c t da vo cc t kha. Nhng t kha ny phi xut hin phn u ca khai bo i tng.

    a. HngHng l i tng c khi to bng nhng gi tr nht nh khi c to nn trong

    qu trnh thc hin v sau gi tr ca hng khng thay i. Hng c thc khai

    bo trong cc gi, thc th, kin trc, chng trnh con, khi v qu trnh. C php

    khai bo hng

    Constant tn_hng { ,tn_hng }: kiu [ :=gi_tr ];

    V d.

    Constant CHAR7 : BIT_VECTOR (4downto 0) :=00111;

    Constant MSB : INTEGER :=5;

    b. BinBin l i tng d liu dng cha nhng kt qu trung gian. Bin ch c thc khai bo bn trong cc qu trnh hoc chng trnh con. Bin lun i i vi

    kiu, do bin phi c khai bo kiu, xc nh khong gii hn hoc gi tr khi

    to ban u. Mt cch mc nh, gi tr khi to ca bin l gi tr thp nht trong cc

    gi tr thuc min xc nh ca kiu. Bin c c php khai bo nh sau.

    variable tn_bin { , tn_bin } : kiu [: gi_tr_khi_to ];

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    65/120

    c. Tn hiuTn hiu l i tng d liu dng kt ni gia cc qu trnh hoc ng b cc qu

    trnh. Khai bo tn hiu s to tn hiu mi c cc gi tr ca kiu xc nh. Tn hiu

    c thc khai bo trong phn khai bo gi, khai bo thc th, khai bo kin trc

    v trong khi. Cc tn hiu c c php khai bo nh sau.

    signal tn_tn_hiu {, tn_tn_hiu } : kiu [ := gi_tr_khi_to ];

    2. Cc kiu d liuTrong i tng d liu trong ngn ng VHDL u phi c nh ngha bi cc

    kiu d liu. Ngn ng VHDL cho php s dng cc kiu cs to nn cc i

    tng phc tp hn.

    Kiu phi c khai bo trc khi d dng. Khai bo kiu xc nh tn kiu v min

    xc nh ca kiu.

    Cc kiu d liu chnh trong ngn ng VHDL:

    - Kiu lit k.

    - Kiu s nguyn.

    - Kiu c nh ngha trc ca VHDL.

    - Kiu mng.

    - Kiu bn ghi.

    - Kiu STD_LOGIC.

    - SIGNED v UNSIGNED.

    - Cc kiu con.

    a. Kiu lit kKiu lit k c nh ngha bng cch lit k tt c cc gi tr c th c ca kiu.

    Cc gi tr ny do ngi s dng xc nh v c th l cc tn hoc nhng k t.

    C php ca kiu lit k.

    Typetn_kiuis ( gi_tr_lit_k {, gi_tr_lit_k } );

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    66/120

    Trong ngn ng VHDL kiu lit k c c im khc vi kiu lit k ca cc ngn

    ng lp trnh khc. Mi gi tr trong thnh phn cu bin c th xut hin trong hai

    hoc nhiu hn kiu lit k.

    b. Kiu s nguyn.Kiu nguyn l min xc nh ca cc s nguyn. Tt c cc php ton ton hc

    thng thng u p dng c cho s nguyn. Cc php ton thc hin trn kiu

    nguyn l : +,- ,* ,/. Quy tc c php khai bo kiu nguyn c dng nh sau.

    type tin_kiuis rangemin_s_nguyn;

    min_s_nguyn l min con ca tp hp s nguyn.

    c. Cc kiu c nh ngha trc trong VHDLSau y l mt s kiu chun c m t trong gi standard.

    - boolean: kiu lit k c 2 gi tr false hoc true vi quan h false < true. Cc

    php tc ng ln i tng kiu boolean l php ton lgic v quan h.

    - Bit: kiu lit k vi 2 gi tr 0 v 1. Cc php ton lgic c th thc hin

    trn cc i tng kiu Bit v tr li gi tr kiu Bit.

    - Character: kiu lit k vi min xc nh l tp hp cc k t ASII. Cc k

    t khng hin c biu din bng tn cha 3 k t.

    - Integer: kiu s nguyn vi nhng gi tr dng hoc m ( t -

    2,147,483,647 n 2,147,483,647 ).

    - Natural: l kiu con ca s nguyn v dng ch cc s nguyn khng m

    s t nhin.

    - Positive: l kiu con ca kiu s nguyn s dng biu din cc s dng.

    -Bit_vector: l kiu biu din mng cc Bit.

    - String: kiu d liu bao gm mng cc Charater

    - Real: m t cc s thc trong gii hn t -1.0E+38 n 1.0E + 38

  • 7/29/2019 Bai Giang _ Thiet Ke VLSI Va ASIC _nguyen Thi Dung

    67/120

    - Physical type Time: kiu Time c s dng biu din cc gi tr