chapter6 film deposition

24
1 ﺷﺸﻢ ﻓﺼﻞ) ﻧﺸﺎﻧﯽ ﻻﻳﻪ( درس: هﺎدﯼ ﻧﻴﻤﻪ ﻗﻄﻌﺎت ﺳﺎﺧﺖ ﺗﮑﻨﻮﻟﻮژﯼ و ﺗﺌﻮرﯼ اﺳﺘﺎد: ﭘﻮر ﺑﺎﻃﻨﯽ دﮐﺘﺮ ﺁورﻧﺪﮔﺎن ﮔﺮد: رﺳﺘﻤﯽ ﻣﺠﻴﺪ ﺁﺑﺎدﯼ زﻳﺪ اﻣﻴﻦ ﻏﻼﻣﯽ ﭘﻴﻤﺎن ﺑﻬﺎ دﻣﺎوﻧﺪ ﺗﺤﻘﻴﻘﺎت ﻋﻠﻮم واﺣﺪ اﺳﻼﻣﯽ ﺁزاد داﻧﺸﮕﺎﻩ ر١٣٩٢

Upload: hasan2087

Post on 31-Dec-2015

387 views

Category:

Documents


10 download

DESCRIPTION

fdfd

TRANSCRIPT

Page 1: Chapter6 Film Deposition

1

فصل ششم

)اليه نشانی(

تئوری و تکنولوژی ساخت قطعات نيمه هادی: درس

دکتر باطنی پور: استاد

:گرد آورندگان

مجيد رستمی

امين زيد آبادی

پيمان غالمی

١٣٩٢ر دانشگاه آزاد اسالمی واحد علوم تحقيقات دماوند بها

Page 2: Chapter6 Film Deposition

2

Film Deposition

فهرست مطالب

اليه نشانی - ۶

تبخير – ۶٫١

تئوری جنبشی گازها - ۶٫١٫١

تبخير فيالمانی - ۶٫١٫٢

تبخير پرتو الکترونی - ۶٫١٫٣

(Flash) تبخير برق - ۶٫١٫۴

مرحله پر کردن فرو رفتگی ها و سايه ها - ۶٫١٫۵

(sputtering) پراندن - ۶٫٢

(chemical vapor deposition) روش نشست شيميايی بخار - ۶٫٣

CVD راکتور های - ۶٫٣٫١

اليه نشانی پلی سيليکون - ۶٫٣٫٢

اليه نشانی دی اکسيد سيليکون - ۶٫٣٫٣

اليه نشانی نيتريد سيليکن - ۶٫٣٫۴

CVD اليه نشانی فلز - ۶٫٣٫۵

رشد اليه های همبافته - ۶٫۴

اپيتکسی حالت بخار - ۶٫۴٫١

تزريق ناخالصی به اليه های اپيتکسيال - ۶٫۴٫٢

۶٫۴٫٣ -Buried layer

اپيتکسی حالت مايع و پرتو مولکولی - ۶٫۴٫۴

خالصه – ۶٫۵

اليه نشانی- 6

در . فرايند توليد شامل مراحل زيادی است که در آن اليه های نازک از مواد مختلف بر سطح ويفر نشانده می شوندکه برای ) sputtering (پراندنو اليه نشانی بخار شيميايی روش ؛ تبخيراين فصل ، مراحل اليه نشانی از جمله

مورد نيتريد سيليکون و دی اکسيد سيليکون و دی الکتريک هايی نظير سيليکون پلی ؛ سيليکون ؛ لزاتفنشاندن .استفاده قرار ميگيرد؛ بررسی ميشود

Page 3: Chapter6 Film Deposition

3

در حالی که رشد اليه های همبافته .تبخير و روش پراندن ؛ نياز به سيستم های خأل دارند که در فشار پايين کار ميکنند .در فشار کاهش يافته و يا فشار اتمسفر انجام ميشوند (CVD)شيميايی و روش اليه نشانی بخار

.همچنين بررسی کلی سيستم های خأل و برخی از نتايج تئوری گازهای ايده ال نيز در اين فصل ارائه خواهد شد

تبخير- ۶٫١

رجه تبخير ؛ حرارت داده آلومينيوم و طال تا د. يکی از قديمی ترين روش های اليه نشانی فلزات استتبخير فيزيکی برای کنترل ترکيب . می شوند و سپس بخار حاصل، به صورت يک اليه نازک، سطح ويفر سيليکون را می پوشاند

يک سيستم اليه نشانی خأل را نشان ميدهد ۶٫١شکل .فلزات اليه نشانی شده ؛ تبخير در شرايط خأل صورت می گيرداندازه گير يا گيج های ؛ سوپاپ ها ؛ پمپ توربو مولکولیيا پمپ نفوذ ؛پمپ مکانيکی سخت ؛ محفظه خأل که شامل

.و ساير ابزار ديگر استخأل

. پاسکال می رساند١درعمل ؛ ابتدا شير رافينگ باز ميشود و پمپ مکانيکی ؛ فشار محفظه خأل را به سطح تقريبی پمپ مکانيکی . ن و سوپاپ خأل باال باز می شود اگر سطح خأل باالتر نياز باشد ؛ سوپاپ بسته می شود و شير فورالي

با پمپ نفوذ برای کاهش فشار در محفظه ) ( k 77نيتروژن مايع . ؛ خأل را روی خروجی پمپ نفوذ نگه می داردخأل يون و درجه ترموکوپل برای نمايش فشار نقاط سيستم . پاسکال استفاده می شود10 4-خأل به ميزان تقريبی

. و چندين سوپاپ ديگر برای برگرداندن سيستم به فشار اتمسفر استفاده می شوداستفاده ميشود

Page 4: Chapter6 Film Deposition

4

تئوری جنبشی گازها- ۶٫١٫١

وV ؛ حجم Pفشار . در فشار پايين گازها رفتار ايده ال دارند و با قانون گاز ايده ال به خوبی توضيح داده ميشوند

. يک مول گاز با رابطه زير نشان داده ميشودTدمای

)۶٫١ (PV = NavkT

غلظت مولکول . مولکول بر مول است ) ۶٫٠٢ * ١٠٢٣( عدد آووگادرو Nav ثابت بولتزمن و +Kکه در آن های گاز به اين صورت است

)۶٫٢ (

حضور ميزان بسيار کمی اکسيژن ويا ساير مواد . در بعضی از سيستم ها؛ سطح بدنه قبل از اليه نشانی بايد تميز شودنرخ تشکيل اين اليه ناخالصی از ميزان برخورد .ميتواند منجر به تشکيل يک اليه ناخالصی روی سطح بدنه شود

.شود و با فشار رابطه داردمولکول های گاز به سطح بدنه ؛ تعيين مي

)۶٫٣ (

.اين رابطه به صورت زير نوشته ميشود. جرم مولکول استmکه در آن

اگر ما . است ) برای مولکول های اکسيژنM=32مثال ( وزن مولکولی Mو ) پاسکال (pa فشار به Pکه در آن ض کنيم هر مولکول به سطح بچسبد و با آن در تماس باشد ؛ زمان الزم برای برای تشکيل يک اليه روی سطح به فر

صورت زير بدست می ايد

. در يک اليه است٢cm تعداد مولکول ها بر Ns که در آن

تا يک اليه اتمی از چه مدت طول ميکشد. فرض کنيد فشار باقی مانده اکسيژن در سيستم خال ا پاسکال باشد: مثال . روی ويفر قرار گيرد300Kاکسيژن در دمای

مولکولها در سطح تقريباclose packing اگر فرض کنيم. انگستروم است٣٫۵شعاع تقريبی مولکول اکسيژن : حل

٢cm / 300 باشد در دمای ٢٫٢ * ١٠١۴مولکولK پاسکال نرخ ناخالصی اکسيژن١ و فشار

٢cm / 82يک اليه در مدت زمان . بر ثانيه است٢٫٧ * ١٠١٨مولکولµsبر سطح قرار ميگيرد .

. نمايش ميدهندλفشار و دما پارامتر مهم ديگری را در اليه نشانی تعيين ميکنند که به متوسط مسير آزاد ميگويندو با

Page 5: Chapter6 Film Deposition

5

متوسط مسير آزادز است قبل از اينکه با مولکول ديگری متوسط مسير آزاد يک مولکول گاز ؛ متوسط مسافت طی شده يک مولکول گا

. با رابطه زير داده ميشودλ.برخورد کند

عمل تبخير معموال در فشار گازهای پس . انگستروم است۵ تا ٢ قطر مولکول گاز است که در رنج dکه در آن انگستروم تقريبا ۴در اين فشار متوسط مسير آزاد مولکولی با قطر . پاسکال صورت ميگيرد10 4-زمينه نزديک به

بنابراين به عنوان مثال در مدت تبخير الومينيوم؛مولکول های الومينيوم با مولکول های . متر است۶٠برابر با .گازهای پی زمينه واکنش ندارند و متمايل به طی مسير در خط مستقيم ؛ از منبع تبخير تا اليه هدف مورد نظر هستند

پاسکال استفاده ١٠٠توضيح داده خواهد شد ؛ از گاز آرگون در فشار تقريبی ۶٫۴در پروسه پراندن که در قسمت بنابراين موادی که اليه نشانی ميشوند ؛ گاها. است60µmدر شعاع مولکولی مشابه ؛ متوسط مسير آزاد فقط . ميکند

.به وسيله اتمهای آرگون پراکنده ميشوند و از جهت های اتفاقی به سمت اليه هدف می روند

تبخير فيالمانی- ۶٫١٫٢

ساده ترين تبخير کننده شامل يک سيستم خال متشکل از يک ميله است که قابليت گرم شدن تا درجه حرارت بسيار زياد ؛ حلقه های کوچک فلزی مثل الومينيوم از يک فيالمان آويخته شده اند و با يک فلز دير گداز 6.2aدر شکل .را دارد

به تدريج درجه حرارت فيالمان افزايش می يابد تا زمانی که الومينيوم ذوب شود و .ندمثل تنگستن فرم داده شده اويفرها . سپس درجه حرارت فيالمان افزايش می يابد تا الومينيوم موجود روی فيالمان ، تبخير شود. فيالمان را تر کند

اگر چه نصب سيستم های تبخير .نددر نزديکی فيالمان نصب ميشوند و با فيلم نازکی از مواد بخار پوشيده می شو .خصوصا از مواد فيالمان امکان الودگی وجود دارد. فيالمانی اسان است ؛ اما سطوح الودگی بااليی دارند

ابتدا مواد دارای درجه ذوب .همچنين ؛ با سيستم تبخير فيالمان ؛ کنترل بخار مواد مرکب به آسانی امکان پذير نيست .وند و اليه تشکيل شده دارای ترکيبات مشابهی از مواد اوليه نخواهد بودپايين به بخار تبديل ميش

Page 6: Chapter6 Film Deposition

6

تبخير پرتو الکترونی- ۶٫١٫٣

پرتو الکترون جايگزين فيالمان با ) توجه کنيد6.2bبه شکل ((E-beam)در سيستم های تبخير پرتو الکترونی به منبع هدف که شامل kev 15نرژی بيش از يک پرتو الکترون با شدت باال و با ا.درجه حرارت باال شده است

انرژی پرتو الکترون ، هدف مورد نظر را ذوب ميکند و مواد منبع تبخير . موادی است که بايد تبخير شوند می تابد ميزان رشد با رابطه زير داده می شود.ميشوند و ويفر سيليکون را با يک اليه نازک می پوشانند

m و ρ. زاويه بدنه ويفر با مجرای عبور بخار است نرمال با سطح منبع است و زاويه سطحф ؛ 6.3در شکل . موادی هستند که اليه نشانی ميشوندg/Sec و نرخ جرم بخار /٢cm gبه ترتيب چگالی

استفاده ميشود که شامل قسمت های گردان ) 6.4شکل (برای اليه نشانی دسته ای ؛ از يک نگه دارنده بدنه ويفر ها به r0 نشان داده شده است ؛ هر بدنه ويفر روی سطح کره با شعاع 6.3همانطور که در شکل . کره استيک

. مستقل از موقعيت بدنه استG.صورت مماسی قرار می گيرد

ويفرها باالی منبع نصب ميشوند و در مدت اليه نشانی دور منبع ميچرخند تا از اليه نشانی يکنواخت اطمينان حاصل مواد منبع در . اها ويفرها حرارت داده می شوند تا قدرت چسبندگی و يکنواختی مواد تبخير شده افزايش يابدگ.شود

ميزان . ظرف آب سرد قرار می گيرند و در طول پروسه تبخير فقط سطح مواد منبع با پرتو الکترون در تماس استان مواد منبع اصلی زياد باشد ؛ منبع تغذيه در صورتی که ميز.خالصی با خالصی مواد منبع اصلی کنترل ميشود

نامحدودی از مواد برای پروسه تبخير فراهم ميشود و باعث ميشود نرخ اليه نشانی با تغيير جريان و انرژی پرتو .الکترون به راحتی کنترل شود

سيله مواد بخار در يک روش کنترل نرخ اليه نشانی در طول پروسه اليه نشانی ؛ از يک کريستال کوارتز که به و .فرکانس رزونانس کريستال متناسب با ضخامت فيلم اليه نشانی شده تغيير ميکند.پوشيده شده است؛ استفاده ميشود

ممکن . قابل اندازه گيری استAO /Sec 1با کنترل فرکانس رزونانس کريستال؛ نرخ اليه نشانی با دقتی بيش از . استفاده شودE-beamنه برای تبخير مواد مرکب در سيستم های تبخير پرتو الکترونی با دو مقصد جداگا٢است از

Page 7: Chapter6 Film Deposition

7

ويفر ها ممکن است . توليد می شودX ؛ اشعه 10kev تا 5 ؛ برای ولتاژهای شتاب باالتر از E-beamدر سيستم بيشتر خرابی ممکن است در مراحل بعدی . را تحمل کنندXبعضی از خرابی های ناشی از پرتوهای الکترونی و اشعه

اثرات ناشی از تشعشع اهميت زيادی دارد؛ بنابراين در بسياری از پروسه های ساخت ؛ MOSدر طراحی . شود .روش پراندن جايگزين روش تبخير با پرتو الکترونی ميشود

(Flash) تبخير برق - ۶٫١٫۴

ز يک شمش سراميک با درجه در اين روش از يک تکه بسيار کوچک سيم به عنوان منبع مواد استفاده ميشود و اسيم به صورت پيوسته تغذيه ميشود و در اتصال با شمش سراميکی تبخير . حرارت باال برای تبخير سيم استفاده ميشود

؛ ميتوان فيلم های نازکی توليد کرد ؛ بدون اينکه مشکل ناشی از E-beamدر اين روش مشابه با سيستم . ميشود .تشعشع را داشته باشيم

Page 8: Chapter6 Film Deposition

8

مرحله پوشش پله ای و در سايه ماندن الگوها- ۶٫١٫۵

در فشار پايين متوسط مسير ازاد مولکول های گاز بزرگ است لذا تکنيک های تبخير که در خال انجام می شوند به صورت ذاتی ؛ جهت دار هستند و در پروسه اليه نشانی ممکن است ضعيف بودن پوشش پله ای و در سايه ماندن

پديده در سايه ماندن را شرح داده است که به دليل نزديکی اجزای مدارها؛ روی سطح 6.5شکل .بيفتدالگو ها اتفاق در نواحی تاريک ؛ ضخامت فيلم . در ناحيه کامال تاريک؛ميزان اليه نشانی کم است.مدارهای مجتمع ايجاد ميشود

ماندن در مدت اليه نشانی ؛ نگه دارنده بدنه در سيستم های پرتو الکترونی برای کاهش اثر در سايه . متغير خواهد بود .به صورت پيوسته ويفر ها را می چرخاند

(sputtering) پراندن - ۶٫٢

اتمهای سطح هدف از جای خود حرکت .در پراندن ؛ هدف به وسيله يون های پر انرژی به ويژه آرگون بمباران ميشودمواد هادی الکتريسيته مثل الومينيوم و . شانی انجام ميشودميکنند و به سمت ويفر حرکت ميکنند و در انجا اليه ن

. استفاده کنند که در آن هدف به صورت کاتد يک سيستم ديودی به کار می رودDCتيتانيوم می توانند از منبع برق

؛ تا به وجود داردRFدر پراندن دی الکتريک هايی نظير دی اکسيد سيليکون يا اکسيد الومينيوم ؛ نياز به منبع برق . نشان داده شده است6.6دياگرام اين سيستم در شکل .اتم های آرگون انرژی الزم را بدهند

Page 9: Chapter6 Film Deposition

9

در اين روش اليه نشانی ؛ يک استانه انرژی وجود دارد که انرژی بايد از آن بيشتر شود تا اليه نشانی انجام هدف به وسيله هر اتم برخورد کننده است و با برابر با ميزان اتم های آزاد شده از ) 6.7شکل (بازده پراندن .شود

برای اينکه از حداقل بازده که برابر واحد است ؛ اطينان حاصل .انرژی ناشی از برخورد به شدت افزايش می يابد .شود ؛ بايد سيستم با انرژی زياد راه اندازی شود

Page 10: Chapter6 Film Deposition

10

عالوه ممکن است فيلم های آلياژهای اليه نشانی به.در اين روش از مواد زيادی برای اليه نشانی می توان استفاده کردمثال آلياژ الومينيوم ؛ مس و سيليسيم ؛ معموال . شده ؛ دارای ترکيبات مشابهی با آلياژ مورد استفاده در هدف باشند

بدنه در پروسه پراندن ؛ با ترکيب آرگون به فيلم ها و افزايش دمای .برای فلز کاری درمدارات مجتمع به کار می رونددر کاربرد تکنولوژی های جديد مدارات مجتمع ؛ استفاده . درجه سلسيوس نتايج خوبی حاصل ميشود٣۵٠ويفرها تا

.از روش پراندن نتايج خوبی خواهد داشت

برای پاک سازی سطح بدنه قبل از ) معکوس پروسه اليه نشانی پراندن ((sputter etching) خورندگی پراکندگیاز اين پروسه نيز گاها برای تميز کردن اتصاالت قبل از اليه نشانی استفاده می .به کار ميرودعمل اليه نشانی

؛ اکسيد های باقی مانده مجراها را پاک ميکند و اتصال مناسبی بين فلزات و مواد (etching)عمل خورندگی .شود .قرار گرفته در اليه های پايين تر ايجاد می کند

(chemical vapor deposition)يی بخار شيميااليه نشانی – ۶٫٣

های نازک نشسته اليه به وسيله واکنش ترکيبات گازی يا تجزيه حرارتی ؛ (CVD) شيميايی بخار اليه نشانی روش پلی . مواد مورد نظر مستقيما از مرحله گاز روی سطح ويفر ؛ اليه نشانی ميشوند. شده در سطح ويفر را ايجاد می کند

بعالوه فلزات . اکسيد سيليکون و نيتريد سيليکون ؛ معموال با استفاده از اين روش اليه نشانی ميشوندسيليکون ؛ دیاين روش در فشارهايی انجام ميشود که متوسط مسير .دير گداز مانند تنگستن را با اين روش ميتوان اليه نشانی کرد باشد تا در قسمت وسيعی از مقاطع توپولوژی ؛ پوشش ازاد مولکول های گاز بسيار کوچک باشد و نسبتا دما بايد باال

.مناسبی ايجاد شود

CVD راکتور های - ۶٫٣٫١

راکتور در فشار 6.8aدر شکل . نشان داده شده است6.8 در شکل CVDچند نوع مختلف از سيستم های راکتور دی اکسيد سيليکون به passivationگاها اين نوع راکتور برای نشاندن اليه . پيوسته اتمسفر نشان داده شده است

گازهای واکنش دهنده در قسمت ميانی راکتور . عنوان يکی از آخرين مراحل پروسه مدارات مجتمع بکار ميرودبدنه ويفر به صورت پيوسته از طريق . جريان دارند و شامل ديواره های نيتروژن در قسمت انتهای راکتور هستند

ميزان جريان گاز در فشار اتمسفر راکتور .با قطر باال به راحتی راه اندازی ميشوندسيستم تغذيه ميشود و ويفرهای .بايد باال باشد

Page 11: Chapter6 Film Deposition

11

معموال برای اليه نشانی پلی سيليکون ؛ دی اکسيد سيليکون و نيتريد ) 6.8bشکل ( سيستم ديواره گرم با فشار پايين گازهای واکنش دهنده . ناميده ميشود) با فشار پايين CVDسيستم (LPCVDاين سيستم با نام .سيليکون استفاده ميشود

رنج دما بين . توليد ميشوند و از انتهای ديگر خارج ميشوندThree-zone furnaceدر يکی از دو انتهای ناحيه تا300

1150o c با سيستم . پاسکال است٢۵٠ تا ٣٠ است و معموال فشار بينLPCVD يکنواختی بسيار خوبی ميتوان سيستم های با ديواره . د و با يک بار کارکرد سيستم ميتوان روی صدها ويفر پروسه های الزم را انجام دادايجاد کر

گرم اين ايراد را دارند که همزمان با اليه نشانی ؛ درون سيستم نيز از مواد اليه نشانی پوشيده می شود و بايد لوله علی رغم وجود اين مشکل . يض کرد تا در کار اشکال ايجاد نکنددرون سيستم را مرتبا تميز نمود و يا اينکه آن را تعو

. استفاده وسيعی در صنعت نيمه هادی دارندLPCVD؛ سيستم های

. را می توان در راکتور پالسما نيز انجام دادCVD نشان داده شده است ؛ واکنش های 6.8cهمانطور که در شکل که اين اولين مزيت ايجاد . د واکنش در درجه حرارت پايين انجام شودساختار پالسما به گونه ای است که اجازه ميده

(PECVD). شده ؛ پروسه های با پالسما است

در سيستم های با صفحات موازی ؛ ويفرها روی صفحه الومينيوم گراند شده قرار می گيرند که به عنوان الکترود ده از المپ های با شدت باال يا هيتر های مقاومتی تا دمای ويفرها با استفا.پايين برای تثبيت پالسما استفاده ميشود

400o cالکترود باال ؛ صفحه الومينيوم دوم است که در نزديکی سطح ويفر قرار ميگيرد. حرارت داده ميشوند.

گازها در خارج سيستم توليد می شوند و به سرعت از روی ويفرها عبور داده ميشوند و از قسمت مرکز خارج می ظرفيت اين سيستم محدود است و ويفرها بايد . اعمال می شود تا پالسما را تثبيت کندRFبه صفحه باال ؛ سيگنال .شوند

مشکل اصلی اين است که در توليد .به صورت دستی در محل خود قرار گيرند و ويفر های کمی در ان جابجا ميشوند .ها سقوط کنند ممکن است مواد از صفحه بااليی روی ويفرVLSIمدارات مجتمع

ويفرها موازی . ميتواند تعداد زيادی ويفر را همزمان راه اندازی کند6.8dسيستم کوره پالسما نشان داده شده در شکل .با جريان گاز نگه داشته می شوند و پالسما بين نگه دارنده ويفرها تثبيت می شود

اليه نشانی پلی سيليکون- ۶٫٣٫٢

.نشانی شده ؛ از تجزيه حرارتی سيالن بدست می آيد سيليکون اليه LPCVDدر سيستم

از سيالن رقيق شده با % ٣٠ تا ٢٠از سيالن و يا % ١٠٠؛ ) پاسکال١۵٠ تا ٢۵(در سيستم های فشار پايين AO /min تا ١٠٠ با نرخ o c ۶۵٠ تا ۶٠٠اليه نشانی مواد پلی سيليکون در درجه حرارت . نيتروژن استفاده ميشود

٢٠٠

.م می شودانجا

Page 12: Chapter6 Film Deposition

12

Page 13: Chapter6 Film Deposition

13

گاز حامل هيدروژن . ميزان اليه نشانی کمتر خواهد بود١٠۵٠ o c تا ٨۵٠در اتمسفر هيدروژن و در درجه حرارت

به وسيله نفوذ ؛ کاشت يونی و يا در مدت پروسه .در درجه حرارت باالتر ؛ مانع از کاهش نرخ اليه نشانی می شودتزريق ناخالصی .اليه نشانی با تزريق گازهايی مثل فسفين ؛ آرسين و ديبران به پلی سيليکون ناخالصی افزوده ميشود

. حاليکه تزريق فسفين يا آرسين نرخ اليه نشانی را کاهش ميدهدديبران نرخ اليه نشانی را بسيار افزايش می دهد ؛ در

گاها پلی سيليکون به عنوان يک ماده خالص اليه نشانی می شود و سپس به وسيله نفوذ به آن ناخالصی تزريق می ی فيلم پل.به نسبت سيليکون تک کريستالی ؛ درجه حرارت باال باعث نفوذ سريعتری در پلی سيليکون می شود.شود

سيليکون با ناخالصی اشباع ميشود تا حداالمکان مقاومت آن کاهش يابد و برای استفاده در اتصاالت داخلی مناسب معموال ؛ کاشت يونی در . ميرسدΩ/cm 0.001 تا 0.01در نفوذ ناخالصی به پلی سيليکون ؛ مقاومت آن به . باشد

شت يونی پلی سيليکون نسبت به نفوذ در درجه حرارت فيلم پلی سيليکون چگالی ناخالصی را کاهش می دهد ؛ و کا . برابر مقاومت را افزايش می دهد١٠باال ؛

اليه نشانی دی اکسيد سيليکون- ۶٫٣٫٣

ممکن .فيلم های دی اکسيد سيليکون با استفاده از واکنش ها و رنج درجه حرارت های مختلف ؛ اليه نشانی ميشوند passivationاز تزريق اکسيد فسفر می توان به عنوان اليه .د و يا اينکه نشوداست به فيلم ها ناخالصی تزريق شو

در مدار مجتمع تکميل شده استفاده کرد و يا می توان به عنوان عايق ميانی در پروسه های فلزی که چندين سطح دارند ر ميکند تا در درجه حرارت فسفر افزوده می شود و آن را ماليمت% ٨ تا ۶به وزن دی اکسيد سيليکون .استفاده نمود

برای پرکردن فرو رفتگی ها و تهيه ”P-glass reflow“گاها اين پروسه . جريان يابد١١٠٠ o c تا ١٠٠٠ دی اکسيد اگر غلظت ناخالصی فسفر تزريق شده به.توپوگرافی نرم تر برای مراحل بعدی پروسه ؛ استفاده کرد

اگر غلظت فسفر زياد باشد باعث پوسيدن الومينيوم در مجاورت . شودسيليکون کم باشد؛ به طور مناسبی جاری نمیاليه .از مواد مختلف را ميتوان به عنوان منبع نفوذ استفاده کرد% ١۵ تا ۵اکسايد با ميزان ناخالصی . رطوبت می شود

سيليکن؛ صورت -م ؛ نقطه ذوب الومينيوo c ۵٧٧ نشانی اکسيد سيليکن روی الومينيوم بايد در درجه حرارت کمتر از .گيرد

. صورت گيردo c ۵٠٠ تا ٣٠٠واکنش بين سيالن و اکسيژن بايد در درجه حرارت

.برای تزريق ناخالصی به اکسايد ؛ ميتوان از فسفر موجود در فسفين استفاده کرد

.؛ اليه نشانی کرد اکسايد را در فشار اتمسفر passivation ؛ اليه های 6.8aمی توان با استفاده از راکتور شکل

بهتر است قبل از . اليه نشانی را انجام داد 6.8b در فشار پايين تر مطابق شکل LPCVDو يا با استفاده از سيستم اين کار انتخاب . اليه نشانی فلزات؛ اليه نشانی فيلم های دی اکسيد سيليکون را در درجه حرارت باالتر انجام دهيم

مثال .ن پذير ميکند و نتايج بهتری در يکنواختی پوشش ايجاد شده حاصل می شودوسيعتری از واکنش ها را امکا

Page 14: Chapter6 Film Deposition

14

؛ برای نشاندن اليه های ٩٠٠ o c در دمای تقريبی LPCVDواکنش دی کلرو سيالن با اکسيد نيتروژن در سيستم .عايق دی اکسيد سيليکن روی سطوح ويفر استفاده ميشود

٧۵٠ o c تا۶۵٠ در درجه حرارت بين LPCVD را در سيستم TEOS تجزيه بخار توليد شده از منبع مايع

.می توان انجام داد

برای تزريق ناخالصی به . ؛ يکنواختی و پوشش بسيار خوبی ايجاد می کندTEOSاليه نشانی حاصل از تجزيه ارائه شده CVDی مختلف مقايسه ای بين اکسايد ها۶٫١در جدول .اکسايد از فسفين ؛ آرسين و ديبران استفاده می شود

. است

اليه نشانی نيتريد سيليکن- ۶٫٣٫۴

. توضيح داده شد ؛ نيتريد سيليکن به عنوان يک ماسک در پروسه اکسيداسيون استفاده ميشود٣همانطور که در فصل

بت و آلودگی همچنين از نيتريد سيليکن به عنوان آخرين اليه محافظتی استفاده ميشود؛ چون مانع خوبی در مقابل رطو ؛ فيلم های مرکب از اکسيد و نيتريد مورد VLSIبرای استفاده به عنوان عايق های نازک گيت در قطعات .سديم است

از اين مواد به عنوان دی الکتريک گيت در قطعات حافظه قابل برنامه ريزی استفاده می . بررسی قرار گرفته اند .شود

واکنش سيالن در درجه حرارت . کلرو سيالن با آمونياک واکنش می دهندبرای توليد نيتريد سيالن ؛ سيالن و دی . و فشار جو صورت می گيرد٩٠٠ o c تا ٧٠٠

. استفاده می شود٨٠٠ o c تا٧٠٠ در درجه حرارت LPCVDدی کلرو سيالن در سيستم

Page 15: Chapter6 Film Deposition

15

ض آمونياک باشد؛ در درجه وقتی سيالن در معر. رشد حرارتی نيتريد سيالن امکان پذير هست اما عملی نيست . ؛ نيتريد سيالن شکل می گيرد؛ اما نرخ رشد آن بسيار کند است١١٠٠ o c تا١٠٠٠حرارت

سيالن با نيتروژن آزاد شده واکنش می دهد. ممکن است از سيستم های پالسما برای تجزيه نيتريد سيالن استفاده شود

. شکل می گيردSiNو نيتريد پالسما

.سمای آرگون با آمونياک واکنش می دهدسيالن در پال

اليه نشانی پالسما فيلم های . هيدروژن هستند% ٨ ؛ غنی شده از هيدروژن هستند و شامل حداکثر PLCVDفيلم های ؛ LPCVDفيلم های . شامل هيدروژن هستند% ٢۵ تا ٢٠نيتريد سيليکون را توليد نمی کند بلکه فيلم ها به ميزان

از طرف ديگر فيلم های اليه . ممکن است ترک بردارند٢٠٠٠ AOايينی دارند و فيلم های نازکتر از قابليت کشسانی پ cm)-Ω( 10 6نسبت به فيلم های پالسما ؛ مقاومت .نشانی شده پالسما قابليت کشسانی باالتری دارند

تا ١٠١۵يد پالسما در رنج مقاومت نيتر. ؛ باالتر استLPCVD فيلم نيتريد ( MV/cm 10)و مقاومت دی الکتريک

Cm - Ω ١٠١۶ تا١ است و بسته به ميزان نيتروژن داخل فيلم رنج مقاومت دی الکتريک بين MV/cm ۵است .

CVD اليه نشانی فلز - ۶٫٣٫۵

و(Ti) ؛ تيتانيوم (Ta) ؛ تانتاليم (MO)موليبدينوم . ؛ فلزات زيادی را می توان اليه نشانی کردCVDبا پروسه های

از فلزاتی هستندکه به دليل مقاومت پايين و توانايی آنها برای شکل دهی سيليسيد با سيليکون ؛ برای (W)تنگستن آلومينيوم از ترکيبات متال اورگانيک.پروسه هايی که امروزه در اليه نشانی استفاده ميشوند؛ بسيار مناسب هستند

اما استفاده از اين تکنيک خيلی معمول نيست چون روش . ؛ قابل اليه نشانی استtri-isobutyl Aluminumمثل تنگستن را ميتوان با حرارت ؛ پالسما و يا مواد وابسته به .های خوب ديگری برای اليه نشانی آلومينيوم وجود دارد

. ؛ اليه نشانی کردWF6نور حاصل از تجزيه

.وژن اين کار را انجام دادو يا از طريق احيا هيدر

Mo ؛ Ta ؛ Ti در يک سيستم LPCVDواکنش ها برای هر سه . از طريق واکنش با هيدروژن اليه نشانی می شوند .فلز يکسان است

. می تواند هر کدام از فلزات گفته شده در باال باشدMکه در آن

رشد اليه های همبافته- ۶٫۴

Page 16: Chapter6 Film Deposition

16

در شرايط مقتضی ؛ .ويفر سيليکون از پروسه نشست شيميايی بخار استفاده می شودبرای نشاندن سيليکون روی سطح

ويفر سيليکون به صورت يک دانه کريستال عمل ميکند و يک اليه سيليکون تک کريستال روی سطح ويفر رشد داده لت بخار اپيتکسی حاvapor phase epitaxy (VPE)رشد يک اليه سيليکون کريستالی از مرحله بخار .ميشود

به عالوه اپيتکسی . ناميده ميشود و معمول ترين روش رشد اليه های همبافته است که در پروسه سيليکن استفاده ميشود molecular beam epitaxy (MBE) و اپيتکسی پرتو الکترون liquid phase epitaxy (LPE)حالت مايع

ا ؛ رشد اليه های همبافته در پروسه مدارات مجتمع در ابتد. به صورت وسيعی به کار ميرودGAAS؛ در تکنولوژی برای ايجاد يک اليه با مقاومت پايين در زير ناحيه کلکتور p روی بنه نوع nبرای رشد اليه های تک کريستالی نوع

. ؛ استفاده می شدBJTترانزيستور

لصی کم ؛ روی بدنه هايی با نوع استفاده می شود که در آنه اليه های با ناخاCMOS VLSIاخيرا در پروسه توليد که ميزان ناخالصی بااليی دارند رشد داده می شوند تا مانع از ) + p رویp و + n روی n(نيمه هادی مشابه

. شودLatch upخرابی

اپيتکسی حالت بخار- ۶٫۴٫١

يک مدل اوليه . رشد داده می شوندمعموال اليه های اپيتکسی سيليکون ؛ با سيليکون اليه نشانی شده از مرحله گاز ؛ مولکول های گاز ؛ در سطح سيليکون از رابطه زير Jsجريان . توضيح داده شده است۶٫٩اين پروسه در شکل

.بدست می ايد

در حالت پايدار ؛ اين . غلظت مولکول های اين واکنش در سطح استNs ؛ ثابت نرخ واکنش سطح و Ksکه در آن . به صورت زير تقريب زده ميشودJgجريان . مولکول های نفوذی جريان گاز باشدJgيان جريان بايد معادل جر

Page 17: Chapter6 Film Deposition

17

Dg/δضريب . حداکثر فاصله ای است که نفوذ اتفاق می افتد δ ؛ ثابت نفوذ موثر مولکول های گاز وDgکه در آن

نرخ رشد ؛ .سطح بدست می ايد ؛ شار برخورد با Jg و hgاز تساوی . ناميده ميشودhgضريب انتقال جرم است و .است) تعداد مولکول های موجود در واحد حجم فيلم (Nبرابر با شار تقسيم بر

. باشد؛ گفته می شود که رشد در انتقال جرم محدود شده است < < hg Ksاگر

. باشد ؛ گفته می شود رشد در واکنش سطح محدود شده استhg > > Ksاگر

واکنش های شيميايی در . شد اليه های همبافته به صورت تابعی از دما نشان داده شده است نرخ ر۶٫١٠در شکل مشخص می شوند پيروی می کند و به دما وابسته است در EA که با انرژی اکتيواسيون Arrheniusسطح از رابطه

در دمای پايين ؛ . اده شده استاين دو ناحيه مشخصا در شکل نشان د. حاليکه فرايند انتقال جرم وابسته به دما نيستدر دماهای باالتر ؛ نرخ رشد وابسته به دما . تبعيت می کند ev 1.5نرخ رشد با انرژی اکتيواسيون تقريبا برابر با

برای اينکه کنترل خوبی روی نرخ رشد داشته باشيم و حساسيت به تغييرات دما را به حداقل برسانيم ؛ شريط .نيست .نتخاب می شود که انتقال جرم محدود شده باشدرشد اپيتکسی طوری ا

Page 18: Chapter6 Film Deposition

18

تجهيزاتی . نشان داده شده است۶٫١١ معمول ؛ سيستم های افقی ؛ عمودی و لوله ای در شکل VPEسه نوع راکتور حرارت داده می RFکه ويفرها را نگه می دارند از گرافين ساخته شده اند و در راکتور های افقی و عمودی با القا

. راکتورهای لوله ای با تشعشع حرارت گرم می شوندشوند و در

تترا کلريد . سيليکون به کار می روندVPEتترا کلريد سيليکون ؛ سيالن ؛ دی کلروسيالن و تری کلروسيالن برای .سيليکون استفاده وسيعی در پروسه های صنعتی دارد

اگر گاز حامل ورودی به راکتور شامل اسيد .است رخ می دهد و قابل برگشت ١٢٠٠ o cاين واکنش در دمای تقريبی می etchingاز اين پروسه . را انجام دادetchingهيدرو کلريک باشد ؛ روی سطح ويفر سيليکون می توان پروسه

.توان برای تميز کردن ويفر قبل از شروع اليه نشانی اپيتکسيال استفاده نمود

.ل رقابت می کندواکنش دوم با پروسه اليه نشانی اپيتکسيا

Page 19: Chapter6 Film Deposition

19

اگر غلظت تترا کلريد سيليکون خيلی زياد باشد؛ به جای . می کندetchاين واکنش دوم ؛ سطح ويفر سيليکون را نيز اثر غلظت تترا کلريد سيليکون را روی رشد ۶٫١٢شکل . کردن سطح انجام می شودetchاليه نشانی اپيتکسيال ؛

سپس به . ا نرخ رشد با افزايش غلظت تترا کلريد سيليکون ؛ افزايش می يابد در ابتد.سيليکون اپيتکسيال نشان می دهداگر . کردن غالب می شود etchسرانجام رشد متوقف می شود و پروسه .حداکثر می رسد و در نهايت کاهش می يابد

.ی شودنرخ رشد خيلی زياد باشد ؛ اليه پلی سيليکون به جای اليه سيليکون تک کريستالی ؛ اليه نشانی م

.همچنين با تجزيه پيروليتيک سيالن می توان رشد اپيتکسيال ايجاد نمود

به عنوان يکی از HCLبه عالوه از تشکيل گاز . واکنش برگشت پذير نيست و در درجه حرارت پايين رخ می دهدبه جای اليه سيليکون الزم است راکتور دقيقا کنترل شود تا اليه پلی سيليکون .محصوالت واکنش ؛ جلوگيری می کند

حضور هر اکسايدی در راکتور می تواند منجر به آلودگی اليه اپيتکسيال با غبار سيليکا .تک کريستالی ؛ تشکيل نشود .شود

تزريق ناخالصی به اليه های اپيتکسيال- ۶٫۴٫٢

، به اليه اپيتکسيال در طول پروسه رشد ممکن است با افزودن ناخالصی به گاز استفاده شده برای اليه نشانیمقاومت اليه اپيتکسيال با تغيير . آرسين ، ديبوران و فسفين ، منابع مناسبی برای ناخالصی هستند.ناخالصی افزوده شود

افزودن فسفين يا .بسيار کمی در فشار گونه ناخالصی تزيق شده به گازی که به راکتور وارد می شود ؛ کنترل می شودگاها .در صورتی که افزودن ديبوران نرخ رشد را افزايش می دهد. يال را کاهش می دهدآرسين ، نرخ رشد اپيتکس

اليه های اپيتکسيال با ميزان ناخالصی کم روی بدنه هايی با ميزان ناخالصی زياد رشد داده می شود و در مدت رشد ، autodopingاز سطح ويفر تبخير شوند و يا در مدت اليه نشانی ، ناخالصی ها ممکن است . اليه اپيتکسيال رخ دهد

Page 20: Chapter6 Film Deposition

20

ناخالصی هايی که با جريان گاز ترکيب شده اند ، به اليه . کلرين از سطح ويفر آزاد شوند(etching)با خورندگی در رشد اليه اپيتکسيال ، ناخالصی های کمی از ويفر آزاد ميشود و وارد جريان گاز می شود و . رشد تزريق می شوند

.اين سطح را ناخالصی های تزريق شده جريان گاز تعيين می کند. ثابتی می رسدمشخصات ناخالصی به سطح

out“ اين نفوذ. در طول اليه نشانی ، بدنه به عنوان منبع ناخالصی که به اليه اپيتکسيال نفوذ می کند، عمل می کندdiffusion”در فصل بعدی بيشتر توضيح داده می شود .autodoping و out diffusionاعث می شوند انتقال ب

نشان داده ۶٫١٣اين دو اثر در شکل .ناخالصی از بدنه به اليه اپيتکسيال، به طور ناگهانی از سطح مطلوب کمتر شود .شده است

۶٫۴٫٣ -Buried layer

Out diffusion مشکلی است که با buried layerبه منظور کاهش . در تراتزيستورهای دو قطبی ايجاد می شود به آن تزريق nمت سری با کلکتور در ترانزيستور های دو قطبی، ناحيه ای را که مقدار زيادی ناخالصی نوع مقاو

درطول پروسه اپيتکسی ، ناخالصی از . ، به بدنه نفوذ داده می شودnشده است، قبل از رشد اليه اپيتکسيال نوع از بدنه در مدت رشد اپيتکسی، با معادله نفوذ با يک نفوذ ناخالصی . به باال نفوذ داده می شودburied layerناحيه

. نشان داده شده است۶٫١۴حرکت مرزی مدل می شود ، همانطور که در شکل

. نرخ رشد اليه اپيتکسيال استVxکه در

مورد اول رشد اليه اپيتکسی خالص روی . دو پاسخ دارد که قابل اعمال در رشد اليه اپيتکسی هستند۶٫٣٠معادله و N(x ,0)=Ns=N(∞ , t)شرايط مرزی . بدنه ای است که به طور يکنواخت ناخالصی به آن تزريق شده استيک

ضريب انتقال جرم است که با نرخ گريز اتم های ناخالص کننده از h که در آن Jx=(h +Vx)N(0 , t)جريان ؛ معادله / x – Vxt x = به xاز تغيير متغير ها . است h Vxمعموال . سيليکون به گاز مشخص می شود

. را می دهدN(x , t) ساده می شود و حل تقريبی ۶٫٣٠

Page 21: Chapter6 Film Deposition

21

۶٫٣١معادله . فرض می کند که نرخ رشد اليه اپيتکسيال بسيار بيشتر از سرعت حرکت جبهه نفوذ باشد۶٫٣١معادله . استحل دقيقی برای نفوذ از يک اليه نيمه بينهايت به اليه نيمه بينهايت دوم

، رشد يک اليه اپيتکسيال که ناخالصی به آن تزريق شده است روی يک بدنه خالص ۶٫٣٠پاسخ دوم حل معادله برای ۶٫٣٠حل معادله . استN( x , 0 ) N(∞ , t) = 0 = و N( 0, t )= NEشرايط مرزی اين مورد .است

:اين شرايط مرزی عبارت است از

Page 22: Chapter6 Film Deposition

22

، تقريب خوبی از نفوذی را که در ٢ و ١مجموع حل موارد . اليه اپيتکسيال است ضخامتXepi = Vx*tکه در آن .مدت رشد اپيتکسيال رخ می دهد ، ارائه می کند

Ns ناخالصی تزريق شده به بدنه را نشان می دهد و NEناخالصی تزريق شده به اليه اپيتکسيال را نشان می دهد .

Ds و Deمشخصات ۶٫١٣شکل . در بدنه و اليه اپيتکسيال را نشان می دهد ، به ترتيب ضرايب نفوذ ناخالصی ، رشد اليه اپيتکسيال را که ناخالصی فسفر به آن تزريق شده است ، در نرخ های مختلف روی بدنه ای که به آن

. رسم شده است۶٫٣٣منحنی با استفاده از معادله . ناخالصی آنتيموان تزريق شده است ، نشان می دهد

مراحل پروسه های اکسيداسيون و ليتوگرافی در طول . ديگری نيز هنگام رشد اليه اپيتکسيال رخ می دهديک مشکل. ايجاد می کنند٠٫٢ mµ يک پله به اندازه buried layer انجام می شوند در محيط buried layerشکل گيری

نشان ۶٫١۵ند،همانطور که در شکل رشد اپيتکسيال در اين سطح باعث می شود که درمدت رشد، الگو شيفت پيدا کپيش بينی ايجاد شيفت در الگو مشکل است و ممکن است اين شيفت به بزرگی ضخامت اليه اپيتکسيال .داده شده است

.باشد و بايد در محاسبات لحاظ شود

Page 23: Chapter6 Film Deposition

23

اپيتکسی حالت مايع و پرتو مولکولی- ۶٫۴٫۴

بدنه به . مل موادی به فرم مايع که بايد اليه نشانی شوند، استدر اپيتکسی حالت مايع، بدنه در تماس با يک محلول شا . است١ m/minµ و ٠٫١نرخ رشد بين . طور مستقيم ، به عنوان يک دانه کريستالی برای محلول عمل می کند

کل می در پروسه اپيتکسيال پرتو مولکولی ، اليه کريستالی با اليه نشانی از يک پرتو حرارتی اتمها يا مولکول ها ، ش ۴٠٠ در رنج MBEحرارت بدنه در مدت . صورت ميگيرد) پاسکال 8- 10( اليه نشانی در شرايط باالی خال .گيرد

اليه اپيتکسی ، اليه اتمی رشد داده شده ). ٠٫٠٠١ تا ٠٫٣ m/minµ( است و نرخ رشد نسبتا پايين است ٩٠٠ o cتا نی شده بين يک اليه و اليه بعدی ، قطعات منحصر به فرد زيادی را به وسيله اليه اتمی است و با تغيير مواد اليه نشا

.می توان توليد نمود

که مزايای CVD نسبتا پايين است و البراتورهای تحقيقاتی پروسه های پالسما باMBEدر حال حاضر بازده روش

. با بازده باالتر را داراست، توصيه می کنندMBEسيستم

خالصه- ۶٫۵

در اين فصل يک مرور کلی از تکنيک های اليه . هايی از مواد مختلف کاربرد دارنداليهرات مجتمع در ساخت مدا، رشد اليه های همبافته CVD) ( شيميايی بخاراليه نشانی فيزيکی بخار ، اليه نشانی نشانی شامل روش

(EPITAXY) و پراندن (SPUTTERING)در اين .نجام می شوندبيشتر اين پروسه ها در فشار پايين ا. ارائه شد .فصل مقدمه ای بر سيستم های خال ارائه شد و موارد مهم تئوری گازهای ايده ال بيان گرديد

در روش نشست فيزيکی بخار از تبخير کننده های فيالمانی يا پرتو الکترونی ، برای اليه نشانی فلزات و يا موادی که .به آسانی ذوب می شوند ، استفاده می کند

اما . در سطوح قدرت باال عمل می کنند و فلزاتی که دمای ذوب باالتری دارند را ذوب می کنندE-beamهای سيستم به عالوه اليه نشانی مواد . ممکن است به اليه های اکسايد نازک در سطح ويفر صدمه وارد کنندE-beamتبخير

کول های گاز در فشار پايين دارای متوسط مسير در نهايت مول. مرکب و آلياژها با استفاده از بخار، کار مشکلی است .آزاد بااليی هستند و تبخير در طول اليه نشانی ، با مشکل در سايه ماندن و پوشش کم مواجه است

روش پراندن از انرژی يون هايی مانند آرگون برای بمباران کردن هدف و کندن اتمها از سطح هدف ، استفاده می سيستم های پراندن جريان مستقيم برای نشاندن مواد هادی استفاده . ی سطح ويفر می نشينداتم های کنده شده رو. کند

پراندن را می توان برای اليه . برای اليه نشانی مواد عايق به کار می روندRFمی شوند و سيستم های پراندن

Page 24: Chapter6 Film Deposition

24

روش پراندن ، . با مواد اوليه دارندنشانی مواد مرکب به کار برد که در آن فيلم های اليه نشانی شده ترکيباتی مشابهمتوسط مسير آزاد کوتاهتر باعث ايجاد پوشش بهتر و . نسبت به تبخير از فشار های باالتری استفاده می کند

shadowingسيستم های . کمتر می شودCVD فشار پايين از طريق واکنش های شيميايی که در جريان گاز عبوری .انجام می شوداز ويفر رخ می دهد، اليه نشانی

، اليه CVDپلی سيليکون ، دی اکسيد سيليکون ، نيتريد سيليکون و فلزات را می توان با استفاده از تکنيک های که رشد اليه ای همبافته ناميده می شود ، در رشد فيلم های سيليکون CVDيک نوع خاص از اليه نشانی . نشانی کرد

. می شودتک کريستال روی سطح ويفر سيليکون انجام

Outdiffusion و autodoping مشکالتی را در کنترل مشخصات ناخالص در مدت رشد اليه های همبافته ايجاد اليه نشانی های مدرن، می توان از تکنيک های تبخير ، پراندن و BJT يا MOSدر پروسه ساخت .می کنند

.شيميايی بخار استفاده نمود