電子回路論第13回 electric circuits for...

33
電子回路論第13Electric Circuits for Physicists 東京大学理学部・理学系研究科 物性研究所 勝本信吾 Shingo Katsumoto

Upload: others

Post on 06-Apr-2020

0 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic

電子回路論第13回

Electric Circuits for Physicists

東京大学理学部・理学系研究科

物性研究所

勝本信吾

Shingo Katsumoto

Page 2: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic
Page 3: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic

Outline

7.2 Sequential digital circuit

7.3 Implementation of logic gates

7.4 Circuit implementation and simplification

of logic operation

7.5 DA/AD converter circuits

7.6 Digital filters (digital signal processing)

Page 4: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic

7.2.3 Sequential logic: Flip-Flop (FF)

RS (reset-set) Flip-Flop (FF)

Symbol

Truth table

Equivalent circuit with discrete gates

Page 5: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic

7.2.3 Sequential logic: Flip-Flop (FF)

JK Flip-Flop

Symbol

Truth table

Equivalent circuit with discrete gates

Page 6: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic

7.2.3 Sequential logic: D-FF, T-FF

D-FF

T-FF

Symbol

Symbol

Truth table

Truth table

Page 7: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic

7.2.4 Sequential logic: Counters

Unsynchronized

counter

(ripple counter)

Timing

chart

Page 8: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic

7.2.4 Sequential logic: Counters

Synchronized counter

Equivalent

circuit with

discrete gates

Timing chart

Page 9: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic

Standard gate logic IC packaging

Full pitch Half pitch surface mount

Page 10: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic

Mounting of logic ICs

Printed board with soldering Surface mounting

Wire wrapping

Page 11: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic

7.3 Implementation of logic gates

TTL (transistor-transistor logic) CMOS (complimentary MOS)

NAND gates

Page 12: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic

7.3 Implementation of logic gates

LT Spice

simulation

Page 13: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic

7.3 Implementation of logic gates

Voltage levels diagram

Page 14: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic

TTL logic family evolution

Legacy: don’t use

in new designs

Widely used today

Page 15: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic

CMOS logic family evolution

obsolete

• Reduction of dynamic losses through

successively decreasing supply voltages:

12V 5V 3.3V 2.5V 1.8V

CD4000 LVC/ALVC/AVC

• Power reduction is one of the keys to

progressive growth of integration

General trend:

Page 16: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic

Summary

TTL

Logic

Family

CMOS

TPD Trise/fall VIH,min VIL,max VOH,min VOL,max Noise

Margin

Page 17: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic

7.4 Circuit implementation and simplification of logic operation

Truth table Simplification Circuit diagram

Visual method: Karnaugh mapping

Quine-McClusky algorithm

Ex)

principal disjunctive canonical expansion (主加法標準展開)

Product of all the logic variables: canonical expansion

Simplification

Or in binary: Y = 0011+1111+0111+1101+1100+1011

Page 18: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic

Quein-McClusky algorithm

Classification

with the number

of 1

Num.of 1 smallest compress1 compress2

2 0011 0_11 __11

1100 _011 __11

3 0111 110_

1011 _111

1101 1_11

4 1111 11_1

Y = __11+110_+11_1 First simplification

smallest

0011 1100 0111 1011 1101 1111

__11 ◎ ◎ ◎ ◎

110_ ◎ ◎

11_1 ○ ○

Y = __11+110_ Final form

Page 19: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic

Wolfram Alpha

Page 20: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic

Design of sequential logic circuit: State diagram

Ex) 2-bit counter with two T-FF State (transition) diagram:

state state

Transition

input output

FF output:

Karnaugh

map

simplification

Recursion equation:

Page 21: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic

Design of sequential logic circuit: State diagram

T-FF :

Characteristic equation

(recursion equation)

x

y

TFF1 TFF2

Page 22: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic

7.5 AD/DA converter circuit

7.5.1 Digital to Analog conversion

Resistor string type DA converter

n bits converter

→ 2𝑛 outputs!, 2𝑛 resistors!

Input

MOS switches

Page 23: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic

7.5.1 Digital to Analog conversion

Resistor ladder type DA converter

MOS switch array

ON: 𝑉𝑆

OFF: ground

Input 0,0, ⋯ , 0,1,0, ⋯ , 0 𝑑𝑘 = 1, others = 0

2R

2R

2R

2R

2R

𝑉𝑆

1 k n

R R

𝐽1 𝐽1

Page 24: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic

7.5.1 Digital to Analog conversion

2R

2R 𝐽𝑙 𝐽𝑙/2

𝐽𝑙/2

2R

𝐽out +

-

𝑅f

From the superposition theorem:

Page 25: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic

7.5.1 Digital to Analog converter

t

Pulse width modulation (PWM) Digital signal →

PWM signal with a counter

Analog signal

Low pass

D-class amplifier

Page 26: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic

7.5.2 Analog-Digital converter

Successive comparison type AD converter

+

+

--

𝑉𝑆

Decoder

⋯ ⋯ ⋯ ⋯ ⋯ ⋯ ⋯

⋯ ⋯ ⋯ ⋯ ⋯ ⋯ ⋯

input

Flush type

comparators

Output

Sample

and

hold

R R R R

Page 27: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic

7.5.2 Analog-Digital converter

Integrating Analog-Digital Converter

Sample

and

hold

comparator

clock

Cou

nte

r +

-

+

-

C

R

output

start

reset

𝑉𝑖𝑛

𝑉𝑖𝑛

𝑉𝑆

slope = 𝑉𝑆

𝐶𝑅

t

t

𝑉𝑜

𝑉𝑜

𝑉count

𝑉count

Page 28: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic

Filter Circuit

Transmission

w

Transmission

w

Transmission

w

Transmission

w

Low pass filter

High pass filter

Band pass

filter

Notch filter

Page 29: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic

An example for retrieving data from noise

Signal with noise

Detection of

carrier

Page 30: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic

Results

Frequency filter

1.5

1.0

0.5

6543210

Original signal

Page 31: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic

7.6 Digital filter (as a digital signal processing)

Digital filtering:

Block diagram representation of operations

constant multiplier adder delay (shift resistor)

Page 32: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic

Block diagram example

Page 33: 電子回路論第13回 Electric Circuits for Physicistskats.issp.u-tokyo.ac.jp/kats/circuit3/doc/presen/circuit_13.pdf · 7.2 Sequential digital circuit 7.3 Implementation of logic

Feedback and transfer function