my second fpga for altera de2-115 board

47
My Second FPGA for Altera DE2- 115 Board 數數數數數數 TA: 數數數 Author: Trumen

Upload: gabby

Post on 26-Feb-2016

114 views

Category:

Documents


10 download

DESCRIPTION

My Second FPGA for Altera DE2-115 Board. 數位電路實驗 TA: 吳柏辰. Author: Trumen. Outline. DE2-115 System Builder ModelSim -Altera. DE2-115 System Builder . Introduction to DE2-115 System Builder (1/2). - PowerPoint PPT Presentation

TRANSCRIPT

Page 1: My Second FPGA  for Altera DE2-115 Board

My Second FPGA for Altera DE2-115

Board數位電路實驗TA: 吳柏辰

Author: Trumen

Page 2: My Second FPGA  for Altera DE2-115 Board

2

Outline• DE2-115 System Builder • ModelSim-Altera

Page 3: My Second FPGA  for Altera DE2-115 Board

3

DE2-115 System Builder

Page 4: My Second FPGA  for Altera DE2-115 Board

4

Introduction to DE2-115 System Builder (1/2)

• This section describes how users can create a custom design project on the DE2-115 board by using DE2-115 Software Tool DE2-115 System Builder.

• The DE2-115 System Builder is a Windows based software utility, designed to assist users to create a Quartus II project for the DE2-115 board withim minutes.

Page 5: My Second FPGA  for Altera DE2-115 Board

5

Introduction to DE2-115 System Builder (2/2)

• The generated Quartus II projects files include:

• Quartus II Project File (.qpf)• Quartus II Setting File (.qsf)• Top-Level Design File (.v)• Synopsis Design Constraints file (.sdc)• Pin Assignment Document (.htm)

Page 6: My Second FPGA  for Altera DE2-115 Board

6

General Design FlowStart

Launch DE2-115 System

Builder

.qpf .qsf

.v .sdc

.htm

Create New DE2-115

System Builder Project

GenerateQuartus II

Projectand Document

LaunchQuartus II and Open Project

Add User Design/Logic

Compile to generate .SOF

Configure FPGA

End

Page 7: My Second FPGA  for Altera DE2-115 Board

7

• DE2_115_tools\DE2_115_system_builder.exe

Launch DE2-115 System Builder

Page 8: My Second FPGA  for Altera DE2-115 Board

8

Input Project Name1

Page 9: My Second FPGA  for Altera DE2-115 Board

9

System Configuration

1

Page 10: My Second FPGA  for Altera DE2-115 Board

10

GPIO Expansion

1

Page 11: My Second FPGA  for Altera DE2-115 Board

11

HSMC Expansion

1

Page 12: My Second FPGA  for Altera DE2-115 Board

12

Project Setting Management

1

Users can save the current board configuration information into a .cfg file and load it to the DE2-115 System Builder

2

Page 13: My Second FPGA  for Altera DE2-115 Board

13

Project Generation• When users press the Generation

buttion, the DE2-115 System Builder will generate the corresponding Quartus II files and documents.N

o.Filename Description

1 exp2_rsa.v Top level verilog HDL file for Quartus II2 exp2_rsa.q

pfQuartus II Project File

3 exp2_rsa.qsf

Quartus II Setting File

4 exp2_rsa.sdc

Synopsis Design Constraints file for Quartus II

5 exp2_rsa.htm

Pin Assignment Document

Page 14: My Second FPGA  for Altera DE2-115 Board

14

THDB-HTG Board• This figure illustrates how the THDB-HTG

board is connected to the DE2-115 board.

Be sure to turn off the power whenever you connect or disconnect the THDB-HTG board!!

Page 15: My Second FPGA  for Altera DE2-115 Board

15

exp2_rsa.htm (1/2)DE2_115_User_manual.pdf4.8 Usiing the Expansiion Header

Page 16: My Second FPGA  for Altera DE2-115 Board

16

exp2_rsa.htm (2/2)

THDB-HTG_V1.0.3.pdf1-6 Expansion Prototype Connectors

Page 17: My Second FPGA  for Altera DE2-115 Board

17

exp2_rsa.sdc• Remember to modify the .sdc file.

• clock, i/o delay, etc.

create_clock -period 1000 [get_ports clk]derive_clock_uncertaintyset_input_delay 0 -clock clk [all_inputs]set_output_delay 0 -clock clk [all_outputs]

Page 18: My Second FPGA  for Altera DE2-115 Board

18

Can't place multiple pins…?

• If you try to assign pins by yourself, this error message may occur.

• How to fix it?

Page 19: My Second FPGA  for Altera DE2-115 Board

19

12

Page 20: My Second FPGA  for Altera DE2-115 Board

20

1

Page 21: My Second FPGA  for Altera DE2-115 Board

21

1

2

3

Page 22: My Second FPGA  for Altera DE2-115 Board

221

Page 23: My Second FPGA  for Altera DE2-115 Board

23

ModelSim-Altera

Page 24: My Second FPGA  for Altera DE2-115 Board

24

Introduction to ModelSim (1/5)

• ModelSim is a simulation and verification tool for VHDL, Verilog, SystemVerilog, and mixed language designs.

• The following diagram shows the basic steps for simulating a design in ModelSim.Creat

e a worki

ng librar

y

Compile design

files

Load and Run

simulation

Debug results

Page 25: My Second FPGA  for Altera DE2-115 Board

25

Introduction to ModelSim (2/5)

• Creating the Working Library• In ModelSim, all designs are compiled into

a library. • You typically start a new simulation in

ModelSim by creating a working library called "work," which is the default library name used by the compiler as the default destination for compiled design units.

Page 26: My Second FPGA  for Altera DE2-115 Board

26

Introduction to ModelSim (3/5)

• Compiling Your Design• After creating the working library, you

compile your design units into it. • The ModelSim library format is compatible

across all supported platforms. • You can simulate your design on any

platform without having to recompile your design.

Page 27: My Second FPGA  for Altera DE2-115 Board

27

Introduction to ModelSim (4/5)

• Loading the Simulator with Your Design and Running the Simulation• With the design compiled, you load

the simulator with your design by invoking the simulator on a top-level module (Verilog) or a configuration or entity/architecture pair (VHDL).

Page 28: My Second FPGA  for Altera DE2-115 Board

28

Introduction to ModelSim (5/5)

• Debugging Your Results• If you don’t get the results you

expect, you can use ModelSim’s robust debugging environment to track down the cause of the problem.

Page 29: My Second FPGA  for Altera DE2-115 Board

29

ModelSim-Altera• Altera Quartus II software allows the user

to launch Modelsim-Altera simulator from within the software using the Quartus II feature called NativeLink.

• It facilitates the process of simulation by providing an easy to use mechanism and precompiled libraries for simulation.

Page 30: My Second FPGA  for Altera DE2-115 Board

30

Setting up EDA Tool Options 1

2

Page 31: My Second FPGA  for Altera DE2-115 Board

31

1

2

3

Page 32: My Second FPGA  for Altera DE2-115 Board

32

Setting Up the Simulation1

2

Page 33: My Second FPGA  for Altera DE2-115 Board

33

1

2

3

45

Page 34: My Second FPGA  for Altera DE2-115 Board

34

1

2

3

4

56

7

8

Page 35: My Second FPGA  for Altera DE2-115 Board

35

1

Page 36: My Second FPGA  for Altera DE2-115 Board

36

Before Simulation…• We should compile our design before

simulation to generate a simulation snapshot.

• "Start Analysis & Elaboration" is enough, and it takes much less time than "Start Compilation".

• And then we can run the simulation.

Page 37: My Second FPGA  for Altera DE2-115 Board

37

Issues of Working Directory

• The working directory of ModelSim-Altera is under "(project directory)/simulation/modelsim", so be careful of setting the directory of input data in the testbench.

Page 38: My Second FPGA  for Altera DE2-115 Board

38

1

23

Page 39: My Second FPGA  for Altera DE2-115 Board

39

12 3

4

Page 40: My Second FPGA  for Altera DE2-115 Board

40

Congratulation!

Be sure ModelSim-Altera has found the input data!!

Page 41: My Second FPGA  for Altera DE2-115 Board

41

If There are Something Wrong…

• Your simulation takes a long time and seems it will not stop.

• The calculated result is incorrect.

Page 42: My Second FPGA  for Altera DE2-115 Board

42

If the Input Date are not Found…

It is a fake "PASS"…

Page 43: My Second FPGA  for Altera DE2-115 Board

43

Change the Time Unit of the Timeline

12

3

4

Page 44: My Second FPGA  for Altera DE2-115 Board

44

Check the Waveform (1/2)

1

2

3

Page 45: My Second FPGA  for Altera DE2-115 Board

45

Check the Waveform (2/2)

Zoom full Zoom Mode

How to see the signals in the design? Just try it!

Page 46: My Second FPGA  for Altera DE2-115 Board

The End.Any question?

Page 47: My Second FPGA  for Altera DE2-115 Board

47

Reference1. "DE2-115 User manual" by Terasic.2. "THDB-HTG User Manual" by Terasic.3. ModelSim® Tutorial by Mentor Graphics

Corporation.4. "Getting Started with Quartus II

Simulation Using the ModelSim-Altera Software User Guide" by Altera.