quartusⅡ 软件入门 ( 全加器 )

68
Quartus软软软软 ( 软软软 ) 软软软软软软 (II)

Upload: allan

Post on 21-Jan-2016

331 views

Category:

Documents


0 download

DESCRIPTION

QuartusⅡ 软件入门 ( 全加器 ). 电子技术实验 (II). 问题的提出. 设计一个 数字钟 ,使之完成以下功能: 实现时、分、秒的计时;时可采取 12 小时计时也可采取 24 小时计时;具有异步清零和启动 / 停止功能;并可调整时间。 用数码管显示时分秒;具有整点报时功能; 可继续设计日、星期、月、年等其他万年历功能。 可设计秒表功能,闹钟功能。. 解决方案 1 —— 传统的数字系统设计方法. 搭积木的方式! 基于 电路板 的设计方法 —— 采用固定功能的器件(通用型器件),通过设计 电路板 来实现系统功能. 1. 根据设计要求划分功能模块; - PowerPoint PPT Presentation

TRANSCRIPT

Page 1: QuartusⅡ 软件入门 ( 全加器 )

QuartusⅡ 软件入门( 全加器 )

电子技术实验 (II)

Page 2: QuartusⅡ 软件入门 ( 全加器 )

问题的提出

设计一个数字钟,使之完成以下功能: 实现时、分、秒的计时;时可采取 12 小时

计时也可采取 24 小时计时;具有异步清零和启动 / 停止功能;并可调整时间。

用数码管显示时分秒;具有整点报时功能; 可继续设计日、星期、月、年等其他万年历

功能。 可设计秒表功能,闹钟功能。

Page 3: QuartusⅡ 软件入门 ( 全加器 )

解决方案 1—— 传统的数字系统设计方法

1. 根据设计要求划分功能模块;2. 确定输入和输出的关系,画出真值表,写出逻辑表达式;4. 利用公式或卡诺图进行人工化简;5. 根据化简后的逻辑表达式画出电路原理图;6. 在面包板上进行实验,验证电路的正确性;7. 若无错误,再在透明薄膜上用贴图符号贴 PCB图;8. 检查后送制板厂制板;9. 对 PCB板进行安装、调试,若有大的错误,修改设计,重复以上过程,重新制板。

搭积木的方式!基于电路板的设计方法——采用固定功能的器件(通用型器件),通过设计电路板来实现系统功能

搭积木的方式!基于电路板的设计方法——采用固定功能的器件(通用型器件),通过设计电路板来实现系统功能

Page 4: QuartusⅡ 软件入门 ( 全加器 )

传统的数字系统设计方法

特点特点• 采用自下而上( Bottom Up )的设计

方法• 采用通用型逻辑器件• 搭积木式的方式 • 在系统硬件设计的后期进行仿真和调试 • 主要设计文件是电路原理图

Page 5: QuartusⅡ 软件入门 ( 全加器 )

传统的数字系统设计方法的缺点

效率低下——所有这一切,几乎都是手工完成!

设计周期很长; 容易出错; 芯片种类多,数量大,受市场的限制; 设计灵活性差; 产品体积大。

效率低下——所有这一切,几乎都是手工完成!

设计周期很长; 容易出错; 芯片种类多,数量大,受市场的限制; 设计灵活性差; 产品体积大。

Page 6: QuartusⅡ 软件入门 ( 全加器 )

解决方案 2—— 现代的数字系统设计方法

首先在计算机上安装 EDA软件,它们能帮助设计者自动完成几乎所有的设计过程;再选择合适的 PLD芯片,可以在一片芯片中实现整个数字系统。

基于芯片的设计方法——采用 PLD (可编程逻辑器件),利用 EDA 开发工具,通过芯片设计来实现系统功能。

基于芯片的设计方法——采用 PLD (可编程逻辑器件),利用 EDA 开发工具,通过芯片设计来实现系统功能。

EDA 软件 空白 PLD+ 数字系统编程

Page 7: QuartusⅡ 软件入门 ( 全加器 )

现代的数字系统设计方法(续 1)

1. 根据设计要求划分功能模块

2. PLD 开发(利用 EDA 工具)( 1 )设计输入:采用原理图或硬件描述语言( HDL),描述出输入和输出的逻辑关系,将整个原理图或程序输入到计算机中;

( 2 )设计的编译: EDA工具可自动进行逻辑综合,将功能描述转换为门级描述,或转换成具体 PLD的网表文件,将网表文件自动适配到具体芯片中进行布局布线;

( 3 )功能仿真和时序仿真;( 4 )编程下载到实际芯片中,在实验台上进行验证;( 5 )在每一阶段若有问题,可在计算机上直接修改设计,重复以上过程。

Page 8: QuartusⅡ 软件入门 ( 全加器 )

现代的数字系统设计方法(续 2 )3. 设计包含 PLD 芯片的电路板( 1)在计算机上利用 EDA软件画电路原理图;( 2) 进行电气规则检查无误后,自动生成网表文件;( 3) 利用 EDA软件画 PCB图,自动布线;( 4) 自动进行设计规则检查,无误后输出文件,制板。

优点: 效率高——所有这一切,几乎都是借助计算机利

用 EDA 软件自动完成! 容易检查错误,便于修改; 设计周期短、成功率很高 ; 产品体积小。

优点: 效率高——所有这一切,几乎都是借助计算机利

用 EDA 软件自动完成! 容易检查错误,便于修改; 设计周期短、成功率很高 ; 产品体积小。

Page 9: QuartusⅡ 软件入门 ( 全加器 )

现代的数字系统设计方法

• 通常采用自上而下( Top Down )的设计方法• 采用可编程逻辑器件 • 在系统硬件设计的早期进行仿真• 主要设计文件是用硬件描述语言编写的源程序• 降低了硬件电路设计难度

特点特点

自行定义器件内部的逻辑和引脚

自行定义器件内部的逻辑和引脚

写出真值表或状态表→ EDA 开发工具自动进行逻辑综合→ 模拟仿真→编程下载到 PLD中

基于芯片——采用 PLD,利用 EDA开发工具,通过芯片设计来实现系统功能。

Page 10: QuartusⅡ 软件入门 ( 全加器 )

什么是 EDA 技术? EDA ( Electronic Design Automation

,电子设计自动化) 是在计算机的辅助下完成电子产品设计的一种先

进的硬件设计技术! 是立足于计算机工作平台开发出来的一整套先进

的设计电子系统的软件工具。

计算机并口

器件编程接口 PCB Board

PCB Board

PLD

PLD编程目

标文件

Page 11: QuartusⅡ 软件入门 ( 全加器 )

EDA 技术的范畴

IC IC 版图设计版图设计

PLD PLD 设计设计

电路设计电路设计

PCB PCB 设计设计模拟电路

数字电路

混合电路

设计输入

逻辑综合仿真

编程下载

本课程内容!本课程内容!

Page 12: QuartusⅡ 软件入门 ( 全加器 )

学习 EDA 到底有什么用呢?

真有趣,可以按自己的想法设计一个芯片!

我也要参加全国大学生电子设计竞赛!

呀,毕业设计正好能用得上哎!

原来在一个芯片里就可以设计一个完整的计算机系统呀!

找工作时也算得上一技之长哦!

Page 13: QuartusⅡ 软件入门 ( 全加器 )

电子设计自动化( Electronic Design Automation, EDA)技术是以计算机科学和微电子技术发展为先导,汇集了计算机图形学、拓扑逻辑学、微电子工艺与结构学和计算数学等多种计算机应用学科最新成果的先进技术,它是在先进的计算机工作平台上开发出的一整套电子系统设计的软件工具。从 20世纪 60年代中期开始,人们不断开发出各种计算机辅助设计工具来帮助设计人员进行集成电路和电子系统的设计,集成电路技术的不断发展对EDA技术提出新的要求,并促进了 EDA技术的发展。

EDA及其发展

● 第一阶段:计算机辅助设计( CAD阶段)● 第二阶段:电子设计自动化( EDA)阶段● 第三阶段:电子设计自动化( EDA)的发展阶段

Page 14: QuartusⅡ 软件入门 ( 全加器 )

EDA工具 电路仿真工具: 主要用于模拟电路和数字电路的仿真,常见的有 SPICE

/ PSPICE 、 EWB 、 MULTISIM 等; 电路板级设计工具: 常见的有 PROTEL 、 POWER PCB 等; 可编程器件开发工具: 常见的有 MAXPLUS II 、 QUARTUS II 、 MATLAB /

DSP BUILDER 等。

Page 15: QuartusⅡ 软件入门 ( 全加器 )

EDA即电子设计自动化技术,是利用计算机工作平台,从事电子系统和电路设计的一项技术。

EDA技术为电子系统设计带来了很大的变化:( 1 )设计效率提高,设计周期缩短;

( 2 )设计质量提高;

( 3 )设计成本降低;

( 4 )能更充分地发挥设计人员的创造性;

( 5 )设计成果的重用性大大提高,省去了不必要的重复劳动。

EDA设计方法

Page 16: QuartusⅡ 软件入门 ( 全加器 )

传统设计方法 vs EDA设计方法

传统设计方法 EDA设计方法

自底向上手动设计软硬件分离原理图设计方式系统功能固定不易仿真难测试修改模块难移植共享设计周期长

自顶向上自动设计打破软硬件屏障原理图、 HDL等设计方式系统功能易改易仿真易测试修改模块可移植共享设计周期短

Page 17: QuartusⅡ 软件入门 ( 全加器 )

17

本课程要学习的 PLD 设计 EDA 工具软件

Quartus Ⅱ 美国 Altera 公司自行设计的第四代 PLD 开发软件 可以完成 PLD 的设计输入、逻辑综合、布局与布

线、仿真、时序分析、器件编程的全过程 同时还支持 SOPC (可编程片上系统)设计开发

Page 18: QuartusⅡ 软件入门 ( 全加器 )

QuartusⅡ 简介 QuartusⅡ 提供了方便的设计输入方式、快速的

编译和直接易懂的器件编程。能够支持逻辑门数在百万门以上的逻辑器件的开发,并且为第三方工具提供了无缝接口。 QuartusⅡ 支持的器件有: Stratix Ⅱ 、 Stratix GX、 Stratix、 Mercury、 MAX3000A、 MAX 7000B、 MAX 7000S、 MAX 7000AE、 MAX Ⅱ 、 FLEX6000、 FLEX10K、 FLEX10KA、 FLEX10KE、 Cyclone、 Cyclone Ⅱ 、 APEX Ⅱ 、 APEX20KC、 APEX20KE和 ACEX1K系列。 QuartusⅡ 软件包的编程器是系统的核心,提供功能强大的设计处理,设计者可以添加特定的约束条件来提高芯片的利用率。

Page 19: QuartusⅡ 软件入门 ( 全加器 )

设计流程

设计准备

设计输入

设计处理

器件编程

功能仿真

时序仿真

器件测试

Page 20: QuartusⅡ 软件入门 ( 全加器 )

Quartus II软件的设计过程主要包括:① 建立项目② 输入设计电路(可采用不同方式)③ 设计编译④ 设计仿真⑤ 设计下载

QuartusⅡ 设计流程介绍

Page 21: QuartusⅡ 软件入门 ( 全加器 )

QuartusⅡ 设计流程 启动 Quartus 5.0Ⅱ

双击桌面上的 Quartus 5.0Ⅱ 图标或单击开始按扭,在程序菜单中选择 Quartus 5.0 Ⅱ ,可以启动Quartus 5.0Ⅱ 。其初始界面如图所示。

Page 22: QuartusⅡ 软件入门 ( 全加器 )

1 .建立项目 利用 Quartus II提供的新建工程指南可以帮助我们很容易的建

立一个工程:①在主菜单上选择 File\New Project Wizard 将弹出如下图所示对话框。

QuartusⅡ 设计流程

Page 23: QuartusⅡ 软件入门 ( 全加器 )

②在上图中的第一个空白处需添入新建工程工作目录的路径,为便于管理, Quartus II软件要求每一个工程项目及其相关文件都统一存储在单独的文件夹中。第二个空白处需添入新建的工程名称。第三个空白处需添入的是工程的顶层设计实体名称,要求顶层设计实体名称和新建的工程名称保持一致。③如上图所示添好后,按 Next按钮,将会弹出加入文件对话框,如下图所示。

QuartusⅡ 设计流程

新建工程工作目录的路径

新建的工程名称工程的顶

层设计实体名称

Page 24: QuartusⅡ 软件入门 ( 全加器 )

加入文件对话框:

Page 25: QuartusⅡ 软件入门 ( 全加器 )

可以在 File空白处选择添入其他已存在的设计文件加入到这个工 程 中 ,也可 以使用 User Library Pathnames 按钮把用户自定义的库函数加入到工程中使用。完成后按 Next按钮进入下一步。

④下面弹出的是选择可编程逻辑器件对话框,如下图所示。选 Yes,手动选择需要的器件,选 No,则由编译器自动选择。

QuartusⅡ 设计流程

Page 26: QuartusⅡ 软件入门 ( 全加器 )

⑤在下一步弹出的对话框中通过选择器件的封装形式,引脚数目,以及速度级别来约束可选器件的范围。如图所示。

器件设置对话框

QuartusⅡ 设计流程

EP1K30TC144-3

Page 27: QuartusⅡ 软件入门 ( 全加器 )

⑥最后是由新建工程指南建立的工程文件摘要,显示了上面的全部设置选项。至此,新工程建立完毕,在 QuartusII设计软件界面的顶部标题栏将显示工程名称和存储路径。如下图所示。

QuartusⅡ 设计流程

Page 28: QuartusⅡ 软件入门 ( 全加器 )

2 .输入设计电路单击标题栏中的 File→New对话框,如图所示。

单击 New对话框的Device Design Files选项卡,

Block diagram/schematic file,选好后单击【 OK】按钮,打开原理图编辑器窗口。

QuartusⅡ 设计流程

Page 29: QuartusⅡ 软件入门 ( 全加器 )

设计输入

将所设计的电路的逻辑功能按照开发系统要求的形式表达出来的过程称为设计输入。 设计输入有如下三种方式: ( 1)原理图输入方式 适用于对系统及各部分电路很熟悉的场合。 ( 2)硬件描述语言输入方式 硬件描述语言是用文本方式描述设计,硬件描述语言有ABEL、 AHDL、 VHDL、 Verilog等,其中 VHDL和Verilog已成为 IEEE标准。 ( 3)波形输入方式

QuartusⅡ 设计流程——

Page 30: QuartusⅡ 软件入门 ( 全加器 )

在编辑窗中的任何一个位置上单击鼠标右键,在弹出的快捷菜单中选择其中的输入元件项 Insert--Symbol,于是将弹出如下图所示的输入元件的对话框

QuartusⅡ 设计流程

Page 31: QuartusⅡ 软件入门 ( 全加器 )

选择菜单 File-Save As命令,将已设计好的原理图文件取名并存盘在已为此项目建立的文件夹内。

QuartusⅡ 设计流程

设计半加器

Page 32: QuartusⅡ 软件入门 ( 全加器 )

QuartusⅡ设计流程 将设计项目设置成可调用的元件

Page 33: QuartusⅡ 软件入门 ( 全加器 )

QuartusⅡ 设计流程 设计全加器顶层文件

Page 34: QuartusⅡ 软件入门 ( 全加器 )

3 .设计编译①编译设置:利用 Quartus II提供的编译设置指南可以帮助我们很容易的进行一个项目的编译设置。在主菜单中选择Assignments/Compiler Settings Wizard 选项,将弹出一个对话框,要求输入指定的编译实体模块和设定名字。②编译设置好后,在主菜单中选择 Processing/Start Compilation 对所设置的项目进行编译。③阅读编译报告:编译后自动生成的编译报告如图所示,它包含了怎样将一个设计放到一个器件中的所有信息。有器件使用统计,编译设置情况,底层显示,器件资源利用率,状态机的实现,方程式,延时分析结果, CPU使用资源。

QuartusⅡ 设计流程

Page 35: QuartusⅡ 软件入门 ( 全加器 )

QuartusⅡ 设计流程

Page 36: QuartusⅡ 软件入门 ( 全加器 )

QuartusⅡ 设计流程 编译报告 :

Page 37: QuartusⅡ 软件入门 ( 全加器 )

4 .设计仿真 QuartusII支持多种仿真输入方法,它支持波形方式

输入,如:向量波形文件( .vwf )、向量文件( .vec ) 、 列 表 文 件 ( .tbl ) , 也 支 持Testbench如: Tcl/TK脚本文件,同时也支持第三方的仿真工具的 Verilog/VHDL Testbench。

①QuartusII 仿真设置 : 利用 Quartus II 提供的仿真设置指南可以帮助我们快速进行一个项目的仿真设置。在主菜单中选择 Assignments/Simulator Settings Wizard 选项,在弹出的对话框中,输入指定的仿真实体模块和设定名字。

QuartusⅡ 设计流程

Page 38: QuartusⅡ 软件入门 ( 全加器 )

②建立仿真波形文件 :在主菜单中选择 File/New选项,在弹出的 New对话框中选择 Other Files选项中的 Vector Waveform File。 ③设置仿真参数 : 设置仿真时间区域。对于时序仿真来说,将仿真时间轴设置在一个合理的时间区域上十分重要。通常设置的时间范围在数十微秒间:

QuartusⅡ 设计流程

Page 39: QuartusⅡ 软件入门 ( 全加器 )

④将工程端口信号节点选入波形编辑器中。

QuartusⅡ 设计流程

Page 40: QuartusⅡ 软件入门 ( 全加器 )

⑤编辑输入波形 ( 输入激励信号 ) 。

QuartusⅡ 设计流程

Page 41: QuartusⅡ 软件入门 ( 全加器 )

⑥存盘,并启动仿真

QuartusⅡ 设计流程

Page 42: QuartusⅡ 软件入门 ( 全加器 )

⑦观察仿真波形

QuartusⅡ 设计流程

Page 43: QuartusⅡ 软件入门 ( 全加器 )

5 、设计下载 :①打开编程窗和配置文件。首先将实验系统和并口通信线连接好,打开电源。在菜单 Tool 中选择 Programmer ,于是弹出如图所示的编程窗。在 Mode栏中有 4 种编程模式可以 选 择 : JTAG , Passive Serial , Active Serial 和 In-Socket 。为了直接对 FPGA 进行配置,在编程窗的编程模式 Mode 中选 JTAG(默认 ) ,并选中打勾下载文件右侧的第一小方框。注意要仔细核对下载文件路径与文件名。如果此文件没有出现或有错,单击左侧“ Add File” 按钮,手动选择配置文件 f_adder.sof。

QuartusⅡ 设计流程

Page 44: QuartusⅡ 软件入门 ( 全加器 )

设置引脚:为了能对此全加器进行硬件测试,应将其输入输出信号锁定在芯片确定的引脚上,编译后下载。 选择 Tools菜单中的 Assignments项,即进入如图所示的 Assignment Editor编辑器窗。在 Category栏中选择 Pin,或直接单击右上侧的 Pin按钮。

QuartusⅡ 设计流程

Page 45: QuartusⅡ 软件入门 ( 全加器 )

双击 "To"栏的 <<new>>,在出现的如图所示的下拉栏中分别选择本工程要锁定的端口信号名;然后双击对应的Location栏的 <<new>>,在出现的下拉栏中选择对应端口信号名的器件引脚号,如对应 ain,选择 8 脚。

QuartusⅡ 设计流程

Page 46: QuartusⅡ 软件入门 ( 全加器 )

5 、设计下载 :②设置编程器。若是初次安装的 Quartus ,Ⅱ 在编程前必须进行编程器选择操作。这里准备选择 ByteBlaster MV[LPT1]。单击 Hardware Setup按钮可设置下载接口方式,在弹出的 Hardware Setup对话框中,选择Hardware settings页,再双击此页中的选项BytcBlaster之后,单击 Close按钮,关闭对话框即可。这时应该在编程窗右上显示出编程方式: ytcBlaster [LPT 1]。如果打开下所示的窗口内“ Currently selected”右侧显示 No Hardware,则必须加入下载方式。即点击 Add Hardware钮,在弹出的窗中点击 OK,再在窗口中双击 BytcBlaster,使“ Currently selected”右侧显示 BytcBlaster [LPT1]。

QuartusⅡ 设计流程

Page 47: QuartusⅡ 软件入门 ( 全加器 )

QuartusⅡ 设计流程

Page 48: QuartusⅡ 软件入门 ( 全加器 )

5 、设计下载 :③下载:单击下载标符 Start按钮,即进入对目标器件FPGA的配置下载操作。当 Progress显示出 100%,以及在底部的处理栏中出现“ Configuration Succeeded”时,表示编程成功。注意,如果必要,可再次单击 Start按钮,直至编程成功。④硬件测试:软件下载成功后,测试已完成电路是否符合设计要求。

QuartusⅡ 设计流程

Page 49: QuartusⅡ 软件入门 ( 全加器 )

模 60的计数器设计与实现建立图形文件:打开 Quartus 5.0Ⅱ 编辑器,选择 File/New命令,在 Device Design File选项卡下选择 Block Digram /Schematic File,单击 OK按钮。

模为 60的计数器原理图

QuartusⅡ 设计应用举例

Page 50: QuartusⅡ 软件入门 ( 全加器 )

最常用的工具菜单:Project(工程):Assignment(资源分配):Processing(操作):Tools(工具):

QuartusⅡ 设计说明

Page 51: QuartusⅡ 软件入门 ( 全加器 )

关于 FPGA/CPLDFPGA/CPLD 器件的配置器件的配置 当在 Quartus Ⅱ 中完成设计后,就应当将所设计的电路下载到 CPLD芯片中,结合用户系统进行统一的调试。 CPLD编程下载的方式较多,按计算机的接口可分为:串口下载( BitBlaster或MasterBlaster)、并口下载( ByteBlaster )、 USB接口下载( MasterBlaster或 APU )等方式。按器件可分为: CPLD编程( MAX 3000、 MAX 5000、 MAX 7000、 MAX 9000),FPGA下载( FLEX 6000、 FLEX 8000、 FLEX 10K、 ACEX 1K、 APEX 20K),存储器编程EPC1、 EPC2等。

Page 52: QuartusⅡ 软件入门 ( 全加器 )

针对 CPLD器件不同的内部结构, Altera公司提供了不同的器件配置方式。 Altera可编程逻辑器件的配置可通过编程器、 JATG接口在线编程及Altera在线配置三种方式进行。

Altera器件编程的连接硬件包括 ByteBlaster并口下载电缆, ByteBlasterMV并口下载电缆, MasterBlaster串行 /USB 通信电缆, BitBlaster串口下载电缆。 Altera公司提供的 EPC1、 EPC2、 EPC16和 EPC1441等 PROM配置芯片。

关于 FPGA/CPLDFPGA/CPLD 器件的配置器件的配置

Page 53: QuartusⅡ 软件入门 ( 全加器 )

ByteBlaster 并口下载电缆连接示意图

Page 54: QuartusⅡ 软件入门 ( 全加器 )

下载模式 ByteBlaster并口下载电缆提供两种下载模式: ( 1 )被动串行模式( PS模式)——用于配置FLEX 10K、 FLEX 8000和 FLEX 6000器件;

( 2 ) JTAG模式——具有工业标准的 JTAG边界扫描测试电路(符合 IEEE 1149.1: 1990标准),用于配置 FLEX 10K或对 MAX 9000、 MAX 7000S和 MAX 7000A器件进行编程。

Page 55: QuartusⅡ 软件入门 ( 全加器 )

GW48-PK 型 EDA/SOC 实验开发系统

Page 56: QuartusⅡ 软件入门 ( 全加器 )

GW48-PK系列实验开发系统使用说明 1.闲置不用 GW48系列 EDA系统时,必须关闭电源,拔下电源插头;

2.在实验中,当选中某种模式后,要按一下右侧的复位键,以使系统进入该结构模式工作;

3.换目标芯片时要特别注意,不要插反或插错,也不要带电插拔,确信插对后才能开电源。其他接口都可带电插拔。请特别注意,尽可能不要随意插拔适配板及实验系统上的其他芯片;

Page 57: QuartusⅡ 软件入门 ( 全加器 )

4.PC机的并行口工作模式设置在“ EPP” 模式; 5.对于 GW48-CK/PK系统,主板左侧上开关默认向

下,关闭 +/-12V电源;下开关默认向上,允许下载; 6.跳线座“ SPS”默认向下短路( PIO48),右侧开关默认拨向右( TO MCU);

7.对于 GW48-CK/PK系统,左下角拨码开关除第四档“ DSB8使能”向下拨外,其余都默认向上;

GW48-PK系列实验开发系统使用说明

Page 58: QuartusⅡ 软件入门 ( 全加器 )

8.对于右下角的“时钟频率选择”区的“ clock0”

上的短路帽,平时不要插在 50/100M高频处,以免高频辐射;

9.若实验系统或开发板上的 FPGA目标器件是低压器件,如 EP20K系列, EP1K系列, MAX3000A系列等,当外部 TTL电平信号输向此类 FPGA 的 IO口或专用输入端时,必须串接 100 至 200欧姆电阻。

GW48-PK系列实验开发系统使用说明

Page 59: QuartusⅡ 软件入门 ( 全加器 )

GW48-PK系列实验电路结构图 NO.0

Page 60: QuartusⅡ 软件入门 ( 全加器 )

GW48-PK系列实验电路结构图 NO.1

Page 61: QuartusⅡ 软件入门 ( 全加器 )

GW48-PK系列实验电路结构图 NO.2

Page 62: QuartusⅡ 软件入门 ( 全加器 )

GW48-PK系列实验电路结构图 NO.3

Page 63: QuartusⅡ 软件入门 ( 全加器 )

GW48-PK系列实验电路结构图 NO.4

Page 64: QuartusⅡ 软件入门 ( 全加器 )

GW48-PK系列实验电路结构图 NO.5

Page 65: QuartusⅡ 软件入门 ( 全加器 )

GW48-PK系列实验电路结构图NO.6

Page 66: QuartusⅡ 软件入门 ( 全加器 )

GW48-PK系列实验电路结构图NO.7

Page 67: QuartusⅡ 软件入门 ( 全加器 )

GW48-PK系列实验电路结构图NO.8

Page 68: QuartusⅡ 软件入门 ( 全加器 )

GW48-PK系列实验电路结构图NO.9