반도체 공정부품 - equityequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf ·...

53
반도체 공정부품 특집 장비와 소재, 다음은 공정부품이다 3D 낸드와 플렉시블 OLED 산업에서 역사상 최대 규모의 설비투자 업사이클 이 전개되고 있습니다. 그 여파로 반도체/디스플레이 장비와 소재 업종의 주 가가 최근 1 년간 큰 폭으로 올랐습니다. 이에 비해 공정용 소모성 부품 업종 의 주가는 상대적으로 상승폭이 작았습니다. 이는 장비와 소재 업종에 비해 실적이 후행하는 특성에 기인합니다. 증설된 설비들이 올해부터 본격적인 양 산에 돌입하게 되면 수명주기가 정해져 있는 공정부품의 교체수요가 꾸준히 발생할 것입니다. 이에 따라 장비와 소재 업체들에 이어 공정부품 업체들의 실적과 기업가치가 추세적인 상승세에 진입할 것으로 판단합니다. 당사 리서 치센터는 아이원스, 원익 QnC, SKC 솔믹스, 티씨케이, 뉴파워프라즈마 등 공정 부품 업종에 대해 비중확대 전략을 권고합니다. 2017 1월 9일 ▶스몰캡 Analyst 김병기 [email protected] 3772-7479 Analyst 이승욱 [email protected] 3772-7684 RA 유창우 [email protected]

Upload: others

Post on 08-Mar-2020

5 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

반도체 공정부품 특집 장비와 소재, 다음은 공정부품이다

3D 낸드와 플렉시블 OLED 산업에서 역사상 최대 규모의 설비투자 업사이클

이 전개되고 있습니다. 그 여파로 반도체/디스플레이 장비와 소재 업종의 주

가가 최근 1 년간 큰 폭으로 올랐습니다. 이에 비해 공정용 소모성 부품 업종

의 주가는 상대적으로 상승폭이 작았습니다. 이는 장비와 소재 업종에 비해

실적이 후행하는 특성에 기인합니다. 증설된 설비들이 올해부터 본격적인 양

산에 돌입하게 되면 수명주기가 정해져 있는 공정부품의 교체수요가 꾸준히

발생할 것입니다. 이에 따라 장비와 소재 업체들에 이어 공정부품 업체들의

실적과 기업가치가 추세적인 상승세에 진입할 것으로 판단합니다. 당사 리서

치센터는 아이원스, 원익 QnC, SKC 솔믹스, 티씨케이, 뉴파워프라즈마 등 공정

부품 업종에 대해 비중확대 전략을 권고합니다.

2017 1월 9일

12월 5일

▶스몰캡

Analyst 김병기

[email protected]

3772-7479

Analyst 이승욱

[email protected]

3772-7684

RA 유창우

[email protected]

Page 2: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

| C o n t e n t s |

I. 핵심 요약..................................................................................03

II. 주요 도표..................................................................................05

III. 반도체/디스플레이 공정부품 산업 ............................................08

1. 산업의 개요 .........................................................................08

2. 반도체/디스플레이 장비와 소모성 부품 ..............................09

3. 반도체/디스플레이 공정부품 산업의 특성 ...........................11

IV. 전방산업 동향...........................................................................13

1. 반도체: 3D 낸드 빅사이클 도래 ..........................................13

2. 디스플레이: OLED Capex 메가사이클 진입 ........................15

V. 투자전략 ...................................................................................17

장비와 소재, 다음은 공정부품이다 ..........................................17

VI. 분석대상 종목...........................................................................20

1. 아이원스(114810) ..............................................................21

2. 원익QnC(074600) ..............................................................29

3. SKC솔믹스(057500) ............................................................36

4. 티씨케이(064760) ..............................................................42

5. 뉴파워프라즈마(144960) ....................................................47

Page 3: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

반도체 공정부품 특집 [한화리서치]

3

I. 핵심 요약

반도체/디스플레이는

전형적인 장치산업

반도체 및 디스플레이 산업은 전형적인 장치 산업으로 대규모 설비투자가 상시적으로

진행된다. 설비투자의 대부분은 공정용 장비에 투입되며, 고가의 공정장비들은 지속적

인 유지보수 및 관리가 필요하다. 특히 CVD, ALD, 스퍼터, Dry Etch 등 건식 장비는

고온, 고압의 환경에서 공정이 진행되기 때문에 체임버 내부를 구성하는 부품들이 견딜

수 있는 물리적인 한계가 존재한다.

공정장비 내의 부품소재는

사용수명에 한계가 존재

통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에 대한 내성이 매우

뛰어난 금속이나 세라믹 소재로 만들어진다. 하지만 이들 특수 소재도 짧게는 1개월, 길

어도 6개월 정도면 교체주기가 돌아온다. 표면에서 떨어져 나온 파티클 등이 웨이퍼나

글래스 기판의 표면을 오염시켜 불량을 야기하기 때문이다.

장비업체의 신규수요,

소재업체의 교체수요,

유지보수 수요가

지속적으로 발생

반도체 및 디스플레이 공정용 소모성 부품 업종은 IT 산업의 중요한 후방산업 중 하나

로, 국내에서도 경쟁력 있는 산업 생태계가 조성돼있다. 이런 공정부품분야에서 ▶ 장비

업체의 신규수요에 대응하고 ▶ 반도체/디스플레이 제조업체의 교체수요에 대응하며 ▶

세정/코팅을 통해 재사용이 가능하게끔 관리하는 비즈니스가 발달하고 있다.

업황 사이클에 따른

실적의 진폭이 크지 않은

안정적인 비즈니스

반도체/디스플레이 공정용 부품 산업은 다음과 같은 특성을 갖고 있다. 첫째, 지속적인

교체수요가 발생하기 때문에 전방산업의 업황에 따른 실적 변동성이 크지 않다. 둘째,

수익성이 우수하다. 공정부품은 화학적, 물리적 특성이 뛰어난 특수 소재를 사용하기 때

문에 단순 가공 중심의 여타 부품사업에 비해 진입장벽이 높다. 셋째, 세정/코팅 등 부

품의 재생과 관련된 사업으로의 확장이 용이하다. 부품의 세정/코팅은 반도체 제조업체

가 직접 수행하는 경우도 많았으나, 최근에는 외주화하는 것이 추세다. 부품 제조업체들

이 이 과정에서 수혜를 볼 가능성이 크다. 외주화하면 생산부터 재생까지 일원화함으로

써 품질안정성을 확보할 수 있기 때문이다.

공정부품 업종 실적의

최대 변수는 전방산업

증설과 가동률

반도체/디스플레이 공정부품 업종 실적의 최대 변수는 전방산업의 설비증설과 가동률이

다. 산업 현장에 깔린 장비의 대수가 많아질수록 소모성 부품의 수요가 커지기 때문이

다. 아울러 부품의 수명이 1~6개월이라는 점을 감안하면 가동률이 높을수록 교체주기

가 빨리 돌아온다.

3D 낸드 설비투자 붐은

중대한 성장기반

이러한 관점에서 볼 때 최근 진행되고 있는 3D 낸드 관련 설비투자 붐은 공정부품 업

종에 중대한 성장기반이 될 것이다. 전세계 낸드 제조업체들의 설비투자 금액은 지난

해 122억 달러로 사상 최대 규모를 기록한 데 이어 올해는 151억 달러로 24% 증가하

며 2년 연속 사상 최대 기록을 경신할 전망이다.

Page 4: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

반도체 공정부품 특집 [한화리서치]

4

삼성전자,

경쟁사들을 압도하는

3D 낸드 설비증설에

나설 것

삼성전자는 그동안 중국 시안 공장에서만 3D 낸드를 생산했으나, 지난해 하반기에 국

내의 16, 17라인에 3D 낸드 Capa를 증설했다. 이어 올해는 평택에 대규모 3D 낸드 양

산설비를 구축할 전망이다. 우리는 삼성전자의 3D 낸드 생산능력이 2014년 말에 월 2

만 5천 장에 불과했으나 2016년 말에 월 13만 장, 2017 말에는 월 24만 장에 달할 것

으로 추정한다.

SK하이닉스도

최대 월 6만 장 규모의

증설 예상

SK하이닉스도 지난해 48단 3D 낸드 양산에 성공하면서 업계 2위로 올라설 가능성이

높아졌다. 북미 고객사로부터 제품인증을 받는 데 성공할 경우 올해 최대 월 6만 장 규

모의 설비증설이 이루어질 것으로 예상한다.

디스플레이도

공정부품 업종의

주요 전방산업

디스플레이도 반도체와 함께 공정부품 업종의 주요한 전방산업이다. 디스플레이 산업에

서는 단연 OLED가 화두다. 애플이 올해 하반기 아이폰 신제품부터 플렉시블 OLED를

메인 디스플레이로 채택함에 따라 대규모의 설비증설이 진행되고 있다. 지금은 삼성디

스플레이가 독주하고 있지만, 올해부터는 LG디스플레이, 샤프, 재팬디스플레이, BOE,

CSOT, Tianma 등 후발주자들의 추격이 시작될 전망이다. 이에 따라 OLED 산업은

Capex 메가 사이클에 진입했다고 판단한다.

OLED 생산능력,

올해 75% 급증할 전망

전세계 OLED 패널 생산능력은 2016년 7125km2에서 2017년 1만 2449km2로 75%

증가할 것으로 예상된다. 이어 2018년에는 63% 늘어난 2만 245km2에 이를 전망이다.

이 같은 생산능력 급증 추세는 6세대급 이하 중소형 플렉시블 OLED가 이끌고 있다.

전체 OLED 패널 생산능력에서 중소형 플렉시블 OLED가 차지하는 비중은 2015년에

18%에 불과했지만, 2018년에는 52%까지 확대될 것으로 추정한다.

장비, 소재업종에 이어

공정부품 업종의

기업가치가 상승할 전망

2015년 하반기를 기점으로 반도체/디스플레이 산업의 Capex가 상승 사이클에 진입하

면서 장비와 소재 업체들의 기업가치가 크게 상승했다. 이에 비해 공정부품 업체들의

주가는 상대적으로 상승폭이 크지 않았다. 공정부품은 장비업종과 비교하면 실적이 후

행하는 특징을 가지고 있기 때문이다. 하지만 지금부터는 공정부품 업종의 가치가 부각

될 시기라고 판단한다. 공정부품은 생산설비의 셋업 단계에서 1차 수요가 일어나고, 이

후 부품 교체주기가 도래하게 되면 2차 수요가 발생한다. 공장이 가동을 지속하는 한

교체수요가 꾸준히 나타나기 때문에 생산에 활용되는 장비의 대수가 늘어나게 되면 안

정적인 실적성장이 가능한 구조다.

업종 Top Picks –

아이원스, 원익QnC,

SKC 솔믹스, 티씨케이,

뉴파워프라즈마

2015~16년이 장비 투자의 시기였다면 올해부터는 설비의 양산가동이 시작되기 때문에

공정부품 업체들의 기업가치가 본격적으로 상승할 것으로 판단한다. 당사 리서치센터는

반도체/디스플레이 공정부품 업종에서 아이원스, 원익QnC, SKC솔믹스, 티씨케이, 뉴파

워프라즈마 등에 대한 관심이 유효할 것으로 판단한다.

Page 5: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

반도체 공정부품 특집 [한화리서치]

5

II. 주요 도표

[그림1] 반도체/디스플레이 공정부품 산업 공급망

반도체/디스플레이

공정부품 업종은

장비업체의 신규 수요와

소자업체의 교체 수요에

연동하여 성장

자료: 한화투자증권 리서치센터

[그림2] CVD 장비의 내부구조 및 주요 소모성 부품

반도체/디스플레이 공정은

고온, 고압 환경에서 진행.

장비 내부의 공정 부품은

열과 부식에 강하고

화학적 특성이

뛰어나야 함

자료: 한화투자증권 리서치센터

공정 부품신제품 공급

장비신제품 공급

세정/코팅재생품 공급

교체용신제품 공급

유지/보수서비스

공정부품업체 장비업체

반도체/디스플레이

업체

챔버하우징 상부전극 샤워헤드 CVD 히터 Upper Ring

Manifold Mixing Unit ESC Bottom Shield ESC Shield Ring

Page 6: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

반도체 공정부품 특집 [한화리서치]

6

[그림3] 공정부품 업종 vs 장비 업종 영업이익률 추이

공정부품 업종은

장비 업종에 비해

수익성이 높고

실적 변동성이

낮은 것이 특징

주: 공정부품 4사 = SKC솔믹스, 원익QnC, 티씨케이, 아이원스. 장비 4사 = 원익IPS, 테스, 주성엔지니어, 유진테크

자료: 각 사, 한화투자증권 리서치센터

[그림4] 삼성전자 3D 낸드 생산능력 전망

3D 낸드 빅사이클 진입:

삼성전자 3D 낸드 생산능력

14년말 월 2만5000장에서

17년말 월 12만장으로

연평균 113% 증가할 전망

자료: 디램익스체인지, 한화투자증권 리서치센터

[그림5] 전세계 OLED 생산능력 전망

OLED 설비투자 메가사이클:

6세대 이하 중소형

플렉시블 OLED를 중심으로

급격한 생산능력 확대 추세

자료: 디램익스체인지, 한화투자증권 리서치센터

-5%

0%

5%

10%

15%

20%

-20

0

20

40

60

80

100

120

140

160

2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015

(십억원)공정부품 4사 영업이익 합계 장비 4사 영업이익 합계

공정부품 4사 평균 영업이익률 (우) 장비 4사 평균 영업이익률 (우)

0

50

100

150

200

250

300

13년말 14년말 15년말 16년말 17년말

(천장/월)

시안 17라인 평택

0

5,000

10,000

15,000

20,000

25,000

2009 2010 2011 2012 2013 2014 2015 2016 2017E 2018E

(km2)

OLED TV Capacity

중소형 Flexible OLED Capacity

중소형 Rigid OLED Capacity

Page 7: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

반도체 공정부품 특집 [한화리서치]

7

[표1] 주요 공정부품 업체별 실적 및 밸류에이션 요약

종목

(코드)

시가총액

(억 원)

현 주가

(원)

목표 주가

(원)

연도

매출액

(억 원)

영업이익

(억 원)

순이익

(억 원)

영업이익률

(%)

ROE

(%)

PER

(배)

PBR

(배)

아이원스

(114810) 1,963 18,700 28,000

2014 691 57 57 8.2 12.1 23.0 2.6

2015 694 71 129 10.2 19.6 21.6 3.5

2016E 846 69 36 8.2 4.3 56.1 2.4

2017E 1,469 202 179 13.8 19.0 11.0 2.0

원익QnC

(074600) 2,418 18,400 25,000

2014 1,208 151 81 12.5 9.6 18.6 1.7

2015 1,402 203 153 14.4 16.0 14.8 2.2

2016E 1,386 175 427 12.6 34.0 5.6 1.6

2017E 1,682 262 205 15.6 13.0 11.8 1.4

SKC 솔믹스

(057500) 2,906 4,725 Not Rated

2014 1,152 33 -126 2.9 -20.7 -5.7 1.3

2015 1,121 35 -411 3.1 -109.9 -1.9 4.1

2016E 1,096 126 -337 11.5 -146.7 -6.5 11.5

2017E 1,122 217 187 19.3 52.8 15.6 6.7

티씨케이

(064760) 3,497 29,950 Not Rated

2014 452 71 47 15.6 5.9 20.8 1.2

2015 619 161 132 26.0 14.9 23.6 3.7

2016E 900 282 238 31.3 22.6 14.8 3.0

2017E 1,226 392 333 32.0 25.2 10.5 2.4

뉴파워

프라즈마

(144960)

1,958 24,150 Not Rated

2014 358 60 55 16.8 12.2 n/a n/a

2015 505 80 83 15.8 16.9 n/a n/a

2016E 672 126 121 18.8 20.2 12.3 2.3

2017E 974 193 179 19.8 23.8 8.3 1.8

주: 2017년 1월 6일 기준

자료: 한화투자증권 리서치센터 추정

Page 8: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

반도체 공정부품 특집 [한화리서치]

8

III. 반도체/디스플레이 공정부품 산업

1. 산업의 개요

반도체/디스플레이는

전형적인 장치산업

반도체 및 디스플레이 산업은 전형적인 장치산업으로 대규모 설비투자가 상시적으로 진

행된다. 설비투자의 대부분은 반도체 제조공정용 장비에 투입되며, 고가의 공정장비들

은 지속적인 유지보수 및 관리가 필요하다. 특히 CVD, ALD, 스퍼터, Dry Etch 등 건식

장비는 고온, 고압의 환경에서 공정이 진행되기 때문에 체임버 내부를 구성하는 부품들

이 견딜 수 있는 물리적인 한계가 존재한다.

공정장비 내 부품소재는

사용수명에 한계가 존재

통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학작용에 대한 내성이 매우 뛰

어난 금속이나 세라믹 소재로 만들어진다. 하지만 이들 특수 소재도 짧게는 1개월, 길어

도 6개월 정도면 교체주기가 돌아온다. 표면에서 떨어져 나온 파티클 등이 웨이퍼나 글

래스 기판의 표면을 오염시켜 불량을 야기하기 때문이다.

장비업체의 신규수요,

소재업체의 교체수요,

유지보수 수요가

지속적으로 발생

이에 따라 반도체 및 디스플레이 공정용 소모성 부품 업종은 IT 산업의 중요한 후방산업

중 하나로, 국내에도 경쟁력 있는 산업 생태계가 조성돼있다. 이런 공정부품 분야에서 ▶

장비업체의 신규수요에 대응하고 ▶ 반도체/디스플레이 제조업체의 교체수요에 대응하며

▶ 세정/코팅을 통해 재사용이 가능하게끔 관리하는 비즈니스가 발달하고 있다.

[그림6] 반도체/디스플레이 공정부품 산업 서플라이 체인

주: (*)는 비상장기업

자료: 한화투자증권

영역 공정부품(신제품) 제조 공정부품(교체품) 제조 공정부품 재생(세정/코팅)

성격 Before Market After Market Maintanence Market

원익QnC SKC솔믹스 아이원스

티씨케이 아이원스 원익QnC

미코 원익QnC 코미코(*)

하나머티리얼(*) 티씨케이 제니스월드(*)

… 위지트 …

어플라이드머트리얼 삼성전자 삼성전자

도쿄일렉트론 SK하이닉스 SK하이닉스

램리서치 삼성디스플레이 삼성디스플레이

원익IPS LG디스플레이 LG디스플레이

테스 … …

피에스케이

유진테크

공급업체

수요업체

Page 9: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

반도체 공정부품 특집 [한화리서치]

9

2. 반도체/디스플레이 장비와 소모성 부품

CVD는 반도체 공정의

대표적 증착장비

[그림7]은 대표적인 반도체 증착장비인 CVD(화학증착장비: Chemical Vapor

Deposition)의 반응 체임버 내부를 도식화한 것이다. CVD 장비는 증착원인 가스를 체

임버에 흐르게 하면서 열적 또는 전기적으로 화학결합 반응을 일으키고 이에 따른 생성

물을 반도체 웨이퍼나 디스플레이 글래스 기판 위에 퇴적시킴으로써 박막을 형성하는

공정이다.

반도체/디스플레이

제조공정은 포토리소그래피

공정의

반복으로 구성

예를 들어 반도체 제조공정에서 반사방지막(ARC)으로 기능하는 SiON 박막은 CVD

장비를 사용해 모노실란(SiH4), 암모니아(NH3), 이산화질소(N2O) 등 세 가지 반응가

스를 화학적으로 결합하여 증착한다. [그림8]은 SiON 박막의 화학적 결합과 공정에서

의 역할을 표시한 것이다. 반도체/디스플레이 제조공정은 이와 같은 박막 증착과 노광,

식각, 박리 등이 포함된 포토리소그래피 공정의 반복으로 구성돼있다.

[그림7] 반도체 CVD 장비의 부품구성

자료: 한화투자증권 리서치센터

[그림8] SiON 박막 증착과정과 역할

자료: 한화투자증권 리서치센터

Page 10: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

반도체 공정부품 특집 [한화리서치]

10

장비 내부의 부품들은

물리적/화학적 특성이

우수해야 함

반도체/디스플레이 제조 과정에서 증착, 식각 등의 공정은 주로 고온, 고압의 플라즈마

상태에서 진행된다. 이 때문에 장비 내부의 부품들은 열과 부식에 강해야 하고, 화학적

특성도 뛰어나야 한다.

Al2O3, Si, SiO2, SiC 등의

소재가 주로 사용됨

그런 대표적인 소재가 산화피막 처리된 알루미늄, 즉 알루미나(Al2O3)다. Al2O3는 내열

성, 내마모성이 뛰어나고 전기 절연체로서의 특성도 우수하다. 이 때문에 체임버 전체를

보호하는 하우징 소재로 채택되고 있으며, 체임버 내부에서도 상부전극(디퓨저), 하부전

극(서셉터), 포토척 등과 같은 핵심 부품의 기본 소재로 사용된다.

아울러 실리콘(Si) 및 쿼츠(SiO2)는 내구성과 신뢰성이 높고 가공이 용이해 체임버 내부

에서 링 부품의 소재로 많이 쓰인다. 최근에는 실리콘이나 쿼츠 소재보다 고온안정성과

내식성이 뛰어나고 강도, 내열성, 내마모성이 우수한 실리콘카바이드(SiC)가 증착 및 식

각 공정에 적용되는 추세다.

[그림9] 식각 장비에서 파인세라믹 부품의 사용 예

자료: SKC솔믹스 IR Book

[그림10] 주요 반도체 장비용 부품

자료: 인터넷, 한화투자증권 리서치센터

챔버하우징 상부전극 샤워헤드 CVD 히터 Upper Ring

Manifold Mixing Unit ESC Bottom Shield ESC Shield Ring

Page 11: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

반도체 공정부품 특집 [한화리서치]

11

3. 반도체/디스플레이 공정부품 산업의 특성

반도체/디스플레이 공정용 부품 산업은 다음과 같은 특성을 갖고 있다.

업황 사이클에 따른

실적의 진폭이 크지 않은

안정적인 비즈니스

첫째, 지속적인 교체수요가 발생하기 때문에 전방산업의 업황에 따른 실적 변동성이 크

지 않다. 일반적으로 장비산업의 경우에는 고객사의 설비투자 사이클에 따라 큰 폭의

실적 진폭이 나타난다. 그러나 장비 내부에 들어가는 소모성 부품은 신규장비 발주가

없더라도 수명주기에 따라 꾸준한 교체수요가 일어난다. 이 때문에 장비업체에 비해 실

적의 안정성이 높은 편이다. [그림11]은 반도체 공정부품 업종과 장비 업종의 매출액 추

이를 비교한 것이다. 장비 업체들은 반도체 업황 사이클에 따라 매출액의 진폭이 큰 데

반해 공정부품 업체들은 상대적으로 매출액이 안정적이라는 점을 확인할 수 있다.

[그림11] 반도체 공정부품 업종 vs 반도체 장비 업종 매출액 추이

주: 공정부품 4사 = SKC솔믹스, 원익QnC, 티씨케이, 아이원스. 장비 4사 = 원익IPS, 테스, 주성엔지니어, 유진테크

자료: 각 사, 한화투자증권 리서치센터

[그림12] 반도체 공정부품 업종 vs 반도체 장비 업종 영업이익률 추이

주: 공정부품 4사 = SKC솔믹스, 원익QnC, 티씨케이, 아이원스. 장비 4사 = 원익IPS, 테스, 주성엔지니어, 유진테크

자료: 각 사, 한화투자증권 리서치센터

-40%

-20%

0%

20%

40%

60%

80%

100%

120%

140%

0

200

400

600

800

1,000

1,200

2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015

(십억원)

공정부품 4사 매출액 합계 장비 4사 매출액 합계

공정부품 4사 매출액 YoY (우) 장비 4사 합계 YoY (우)

-5%

0%

5%

10%

15%

20%

-20

0

20

40

60

80

100

120

140

160

2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015

(십억원)공정부품 4사 영업이익 합계 장비 4사 영업이익 합계

공정부품 4사 평균 영업이익률 (우) 장비 4사 평균 영업이익률 (우)

Page 12: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

반도체 공정부품 특집 [한화리서치]

12

우수한 마진 구조 둘째, 수익성이 우수하다. 공정부품은 화학적, 물리적 특성이 뛰어난 특수 소재를 사용

하기 때문에 단순 가공 중심의 여타 부품사업에 비해 진입장벽이 높다. 특히 파우더 단

위에서부터 소재를 가공하는 기술력이 확보된 업체들은 두 자릿수 이상의 영업이익률을

꾸준히 유지하고 있다. [그림12]에서 볼 수 있듯이 과거 11년간 평균 영업이익률이 공정

부품 4사는 12.5%인 데 비해 장비 4사는 9.2% 수준이다.

사업의 확장성 셋째, 세정/코팅 등 부품 재생과 관련된 사업으로의 확장이 용이하다. 공정부품은 원소

재의 소결, 가공, 검사 등 까다로운 제조과정을 거쳐 생산되는 만큼 단위가격이 높다.

이 때문에 원가절감을 위해 세정/코팅 등의 재생과정을 거쳐 2~3회씩 반복 사용된다.

부품의 세정/코팅은 반도체 제조업체가 직접 수행하는 경우도 많았으나, 최근에는 외주

화되는 추세다. 부품 제조업체들이 이 과정에서 수혜를 볼 가능성이 크다. 외주화하면

생산부터 재생까지 일원화함으로써 품질안정성을 확보할 수 있기 때문이다.

[그림13] 반도체 공정부품의 세정/코팅 전후 비교

자료: 아이원스, 한화투자증권 리서치센터

[그림14] 쿼츠 정밀세정 공정의 흐름도

자료: 원익QnC, 한화투자증권 리서치센터

세정전 세정후

Page 13: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

반도체 공정부품 특집 [한화리서치]

13

IV. 전방산업 동향

1. 반도체: 3D 낸드 빅사이클 도래

공정부품 업종 실적의

최대 변수는 전방산업의

설비증설과 가동률

반도체/디스플레이 공정부품 업종 실적의 최대 변수는 전방산업의 설비증설과 가동률이

다. 산업 현장에 깔린 장비의 대수가 많아질수록 소모성 부품의 수요가 커지기 때문이

다. 아울러 부품의 수명이 1~6개월이라는 점을 감안하면 가동률이 높을수록 교체주기

가 빨리 돌아온다.

3D 낸드 설비투자 붐은

중대한 성장기반

이러한 관점에서 볼 때 최근 진행되고 있는 3D 낸드 관련 설비투자 붐은 공정부품 업

종에 중대한 성장기반이 될 것이다. 전세계 낸드 제조업체들의 설비투자 금액은 지난해

122억 달러로 사상 최대 규모를 기록한 데 이어 올해에는 151억 달러로 24% 증가하며

2년 연속 사상 최대 기록을 경신할 전망이다.

SSD 수요

폭발적 증가 예상

그 배경에는 3D 낸드 양산기술이 성숙함에 따라 비트당 원가를 크게 떨어뜨릴 수 있는

기술적 돌파구가 마련됐다는 점이 자리하고 있다. 플래시 스토리지는 아직까지는 경쟁

재인 하드디스크와 가격 차이가 상당하지만, 유지관리 비용을 포함한 TCO(Total Cost

of Ownership) 관점에서 볼 때 이미 경쟁력 있는 가격대에 진입했다. 현재 48단 수준인

3D 낸드의 적층기술이 64단, 96단 등으로 고도화할수록 SSD의 수요는 폭발적으로 증

가할 가능성이 크다.

애플이 3D 낸드 수요의

블랙홀이 될 가능성

한편 컨슈머 시장에도 3D 낸드가 올해부터 본격 침투할 것으로 보인다. 애플이 올해부

터 아이폰에 3D 낸드 기반의 대용량 eMMC를 장착할 전망이기 때문이다. 애플이 모

바일 시장에서 저장용량을 대폭 늘린 아이폰을 선보이게 되면 다른 스마트폰 제조사들

도 사양 경쟁에서 뒤지지 않기 위해 이 흐름에 동참할 것이다. 애플의 주도로 하이엔드

스마트폰이 전세계 3D 낸드 수요의 ‘블랙홀’이 될 가능성이 높아지고 있다.

[그림15] 전세계 낸드 Capex 추이 및 전망

자료: DRAMExchange

-20%

0%

20%

40%

60%

80%

100%

120%

0

2,000

4,000

6,000

8,000

10,000

12,000

14,000

16,000

2010 2011 2012 2013 2014 2015 2016E 2017E

(백만달러) 삼성전자 SK하이닉스 도시바/샌디스크

마이크론/인텔 증가율(우)

Page 14: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

반도체 공정부품 특집 [한화리서치]

14

삼성전자,

경쟁사들을 압도하는

3D 낸드 설비 증설에

나설 것

이와 같은 시장환경에 가장 적극적으로 대응하고 있는 낸드 업체는 삼성전자다. 삼성전

자는 지난해까지 글로벌 3D 낸드의 사실상 유일한 공급업체였다. 올해부터는 SK하이닉

스, 도시바/샌디스크, 마이크론/인텔 등 후발주자들의 시장진입이 가시화할 전망이다.

삼성전자는 이를 견제하고 시장주도권을 지키기 위해 경쟁사들을 압도하는 설비투자에

나설 것으로 판단된다.

내년 평택 공장

증설규모는

월 4만~8만 장 추정

삼성전자는 그동안 중국 시안 공장에서만 3D 낸드를 생산했으나, 지난해 하반기에 국

내의 16, 17라인에 3D 낸드 Capa를 증설했다. 이어 올해는 평택에 대규모 3D 낸드 양

산설비를 구축할 전망이다. 평택 단지의 증설 규모는 64단 3D 낸드의 생산성이 얼마나

빠르게 향상되느냐에 따라 유동적이다. 우리는 기업용 SSD와 하이엔드 스마트폰용

eMMC의 시장 동향을 감안할 때 평택 공장에서 최소 월 3만 장, 최대 월 8만 장 규모

의 3D 낸드 Capa 증설이 진행될 것으로 판단한다.

SK하이닉스도

최대 월 6만 장 규모의

증설 예상

이에 따라 삼성전자의 3D 낸드 생산능력은 2014년 말에 월 2만 5천 장에 불과했으나

지난해 말에 월 13만 장, 올해 말에는 월 24만 장에 달할 것으로 추정된다. SK하이닉스

도 지난해 48단 3D 낸드 양산에 성공하면서 업계 2위로 올라설 가능성이 높아졌다. 북

미 고객사에서 제품인증을 받는 데 성공할 경우 올해 최대 월 6만 장 규모의 설비 증설

이 이루어질 것으로 예상한다.

[그림16] 삼성전자 3D 낸드 Capa 전망 [그림17] 기술별 낸드 출하량 비중 전망

자료: DRAMExchange, 한화투자증권 리서치센터 추정 자료: DRAMExchange

[그림18] 삼성전자 평택 반도체 단지 조감도 [그림19] SK 하이닉스 이천공장 전경

자료: 언론보도 자료: 언론보도

0

50

100

150

200

250

300

13년말 14년말 15년말 16년말 17년말

(천장/월)

시안 17라인 평택

0%

10%

20%

30%

40%

50%

60%

70%

80%

90%

100%

2013 2014 2015 2016E 2017E

4Xnm 3Xnm 2xnm 20nm-class ≤1xnm 3D-NAND

Page 15: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

반도체 공정부품 특집 [한화리서치]

15

2. 디스플레이: OLED Capex메가사이클 진입

디스플레이도

공정부품 업종의

주요 전방산업

디스플레이도 반도체와 함께 공정부품 업종의 주요한 전방산업이다. LCD와 OLED 패

널의 개별 화소를 구동하는 TFT(Thin Film Transistor)가 반도체와 같은 포토리소그래

피 공정을 통해 만들어지기 때문이다. TFT는 디램이나 낸드에 비해 제조공정이 훨씬 단

순한 반면에 기판의 사이즈가 크다.

OLED Capex

메가사이클 진입

디스플레이 산업에서는 OLED가 화두다. 애플이 올해 아이폰 신제품부터 플렉시블

OLED를 메인 디스플레이로 채택함에 따라 대규모의 설비 증설이 진행되고 있다. 지금

은 삼성디스플레이가 독주하고 있지만, 올해부터는 LG디스플레이, 샤프, 재팬디스플레

이, BOE, CSOT, Tianma 등 후발주자들의 추격이 시작될 전망이다. 이에 따라 OLED

산업은 Capex 메가 사이클에 진입했다고 판단한다.

OLED 생산능력,

올해 75% 급증할 전망

전세계 OLED 패널 생산능력은 2016년 7125km2에서 2017년 1만 2449km2로 75%

증가할 것으로 예상된다. 이어 2018년에는 63% 늘어난 2만 245km2에 이를 전망이다.

이 같은 생산능력 급증 추세는 6세대급 이하 중소형 플렉시블 OLED가 이끌고 있다.

전체 OLED 패널 생산능력에서 중소형 플렉시블 OLED가 차지하는 비중은 2015년에

18%에 불과했지만, 2018년에는 52%까지 확대될 것으로 추정된다.

[그림20] 전세계 OLED 패널 생산능력 전망

자료: IHS, 한화투자증권 리서치센터 추정

[그림21] 기술별 OLED 패널 생산능력 비중

자료: IHS, 한화투자증권 리서치센터 추정

0

5,000

10,000

15,000

20,000

25,000

2009 2010 2011 2012 2013 2014 2015 2016 2017E 2018E

(km2)OLED TV Capacity 중소형 Flexible OLED Capacity 중소형 Rigid OLED Capacity

0%

20%

40%

60%

80%

100%

2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017E 2018E

OLED TV Capacity 중소형 Flexible OLED Capacity 중소형 Rigid OLED Capacity

Page 16: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

반도체 공정부품 특집 [한화리서치]

16

LCD에 비해 OLED는 포토

공정 반복 횟수가 3배

공정부품 업종에 호재

주목할 부분은 OLED가 LCD에 비해 포토 공정의 반복 횟수가 3배 가량 많다는 점이

다. TFT의 반도체 소재로 비정질실리콘(a-Si)을 사용하는 LCD의 경우 4~5Mask 공정

이 표준으로 자리잡고 있다. 반면에 OLED는 전자의 이동 속도가 a-Si에 비해 100배

이상 빠른 폴리실리콘(p-Si)을 TFT의 반도체 소재로 적용하는데, 이 경우 Mask 공정

의 횟수가 8~14회로 늘어난다. 이는 증착-노광-현상-식각-박리로 이어지는 포토리소

그래피 공정이 그만큼 많이 필요하다는 의미다. 따라서 동일한 Capa를 가진 설비라면

OLED가 LCD에 비해 소모성 공정부품 수요가 클 수밖에 없다.

전세계 디스플레이 산업의 설비투자 방향이 플렉시블 OLED와 10세대 이상급 대형

LCD로 집중되고 있다는 점은 공정부품 업종에 호재로 작용할 것이다. 2017년에는 삼

성디스플레이의 플렉시블 OLED 증설투자가 지속되는 가운데 후발주자들의 추격전이

전개될 전망이다. 아울러 BOE와 COST 등 중국 패널 업체들은 10세대급 LCD fab 투

자에 나서면서 LCD 산업에서 주도권 장악을 시도할 것으로 보인다.

공정부품 산업은 생산설비의 셋업 단계에서 1차 수요가 일어나고, 이후 부품교체 주기

가 도래하면 2차 수요가 발생한다. 공장이 가동을 지속하는 한 꾸준한 교체수요가 나타

나기 때문에 생산에 활용되는 장비의 대수가 늘어나게 되면 안정적인 실적성장이 가능

한 구조다.

[그림22] a-Si TFT 4 Mask 공정 개략도 (LCD)

자료: 한화투자증권 리서치센터

[그림23] LTPS TFT 8 Mask 공정 개략도 (OLED)

자료: 한화투자증권 리서치센터

Page 17: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

반도체 공정부품 특집 [한화리서치]

17

V. 투자전략

장비와 소재, 다음은 공정부품이다

장비와 소재 업체들에 이어

공정부품 업체들의

기업가치가 상승할 전망

2015년 하반기를 기점으로 반도체/디스플레이 산업의 Capex가 상승 사이클에 진입하

면서 장비와 소재 업체들의 기업가치가 크게 상승했다. 이에 비해 공정부품 업체들은

상대적으로 주가 상승폭이 크지 않았다. 공정부품은 장비 업종과 비교하면 실적이 후행

하는 특징을 가지고 있기 때문이다. 하지만 지금부터는 공정부품 업종의 가치가 부각될

시기라고 판단한다.

[그림24] 반도체/디스플레이 공정부품, 장비, 소재 업종 시가총액 추이

자료: DataGuide, 한화투자증권 리서치센터

0

100

200

300

400

500

15/01 15/04 15/07 15/10 16/01 16/04 16/07 16/10 17/01

(2015.01.01=100)

SKC 솔믹스 원익QnC 아이원스

0

100

200

300

400

500

15/01 15/04 15/07 15/10 16/01 16/04 16/07 16/10 17/01

(2015.01.01=100)

원익IPS 테스 주성엔지니어 AP시스템

0

100

200

300

400

500

15/01 15/04 15/07 15/10 16/01 16/04 16/07 16/10 17/01

(2015.01.01=100)

SK머티리얼즈 한솔케미칼 솔브레인 이엔에프테크놀로지

Page 18: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

반도체 공정부품 특집 [한화리서치]

18

공정부품 업종의 실적은

장비와 소재 업종에

후행하는 특성

2015~16년이 장비에 투자하는 시기였다면 2017년부터는 생산에 돌입하는 시기가 될

것이다. 공정부품은 장비가 공급될 때와 가동을 시작한 이후에 수요가 발생한다. 특히

외산 장비의 경우 품질보증 기간이 끝난 뒤에 소모성 장비부품에 대한 국산화 수요가

나타난다. 이에 따라 공정부품 업종의 실적은 장비와 소재 업종에 후행하는 경향이 있

다. 이 점이 공정부품 업종의 주가 상승폭이 장비와 소재 업종에 비해 상대적으로 작았

던 이유라고 볼 수 있다.

업종 Top Picks는 –

아이원스, 원익QnC,

SKC 솔믹스, 티씨케이,

뉴파워프라즈마

하지만 올해부터는 설비의 양산가동이 시작되기 때문에 공정부품 업체들의 기업가치가

본격적으로 상승할 것으로 판단한다. 당사 리서치센터는 반도체/디스플레이 공정부품

업종에서 아이원스, 원익QnC, SKC솔믹스, 티씨케이, 뉴파워프라즈마 등에 대한 관심이

유효할 것으로 판단한다. 업체별 투자포인트를 요약하면 다음과 같다.

아이원스:

전방산업 증설과

신규사업 모멘텀에 힘입어

올해부터 가파른

성장기 진입

▶ 아이원스는 반도체 및 디스플레이 공정부품 정밀가공 및 세정 전문업체다. 공정장비

내부에 들어가는 각종 금속부품을 제조하거나 세정/코팅을 통해 재활용하게 해주는 사

업이 주력이다. 아이원스의 실적은 전방산업의 설비증설과 가동률에 연동하는데, 3D 낸

드와 OLED 산업은 사상 최대 규모의 설비증설 사이클이 진행되고 있다. 이에 따라 동

사는 지난 3년간의 실적 정체기를 뒤로 하고 올해부터 가파른 성장기에 진입할 전망이

다. 아울러 신규사업의 실적 모멘텀도 예상되고 있어 현 시점에서 적극적인 비중확대

전략이 유효할 것으로 판단한다.

원익QnC:

2017년부터

2차 성장기 진입

▶ 원익QnC는 반도체 공정에서 웨이퍼를 불순물로부터 보호하는 용기로 쓰이는 쿼츠

웨어 부문의 세계 1위 업체다. 삼성전자의 평택 반도체 공장이 올해 상반기 중 양산에

돌입할 전망인데, 이에 따라 1분기부터 강한 실적 모멘텀이 예상된다. 동사는 2009~11

년의 1차 성장기 이후 2012~16년의 정체기를 겪었지만, 올해부터 2차 성장기에 진입

할 것으로 판단한다.

SKC솔믹스:

올해도 좋아지지만,

내년이 더 기대되는 기업

▶ SKC솔믹스는 한국을 대표하는 파인세라믹 소재 업체다. 주로 반도체 공정용 소모성

부품을 공급하며, 국내 최다 제품 라인업을 갖추고 있다는 것이 강점이다. 지난해 8월

태양광 소재 사업에서 완전히 철수했고, 이후 분산됐던 역량을 세라믹 부문에 집중하면

서 새로운 성장의 시대를 맞이할 것으로 판단한다. 현재 주력 아이템들이 견고한 성장

세를 이어가는 가운데 신규 사업의 실적이 내년부터 순차적으로 가세할 전망이다. 올해

도 실적이 크게 개선되겠지만, 내년이 더 기대되는 기업이다.

티씨케이:

증설에 따른 외형 성장 및

수익성 개선 기대

▶ 티씨케이는 주력 제품인 SiC Ring 은 반도체 Etch(식각) 공정의 수율을 높여주는 역

할을 한다. 글로벌 시장에서 동사의 독점체제가 유지되고 있는 가운데 Si 및 Quartz를

CVD SiC로 대체하려는 움직임은 동사의 중대한 성장기반이 될 것이다.

뉴파워프라즈마:

성장성과 안정성을 두루

갖춘 기업

▶ 뉴파워프라즈마는 반도체/디스플레이 증착 및 식각 장비에 필요한 플라즈마 세정장

치와 전원장치 전문 업체이다. 주요 전방 산업인 3D낸드와 OLED에서 활발한 설비투

자가 진행되고 있어 이에 연동한 성장이 예상된다. 국내에 경쟁업체가 없고 진입장벽이

높아 20% 안팎의 영업이익률이 유지되고 있다. 성장성과 안정성을 두루 갖춘 기업이라

고 판단한다.

Page 19: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

반도체 공정부품 특집 [한화리서치]

19

[표2] 주요 공정부품 업체별 실적 및 밸류에이션 요약

종목

(코드)

시가총액

(억 원)

현 주가

(원)

목표 주가

(원)

연도

매출액

(억 원)

영업이익

(억 원)

순이익

(억 원)

영업이익률

(%)

ROE

(%)

PER

(배)

PBR

(배)

아이원스

(114810) 1,963 18,700 28,000

2014 691 57 57 8.2 12.1 23.0 2.6

2015 694 71 129 10.2 19.6 21.6 3.5

2016E 846 69 36 8.2 4.3 56.1 2.4

2017E 1,469 202 179 13.8 19.0 11.0 2.0

원익QnC

(074600) 2,418 18,400 25,000

2014 1,208 151 81 12.5 9.6 18.6 1.7

2015 1,402 203 153 14.4 16.0 14.8 2.2

2016E 1,386 175 427 12.6 34.0 5.6 1.6

2017E 1,682 262 205 15.6 13.0 11.8 1.4

SKC 솔믹스

(057500) 2,906 4,725 Not Rated

2014 1,152 33 -126 2.9 -20.7 -5.7 1.3

2015 1,121 35 -411 3.1 -109.9 -1.9 4.1

2016E 1,096 126 -337 11.5 -146.7 -6.5 11.5

2017E 1,122 217 187 19.3 52.8 15.6 6.7

티씨케이

(064760) 3,497 29,950 Not Rated

2014 452 71 47 15.6 5.9 20.8 1.2

2015 619 161 132 26.0 14.9 23.6 3.7

2016E 900 282 238 31.3 22.6 14.8 3.0

2017E 1,226 392 333 32.0 25.2 10.5 2.4

뉴파워

프라즈마

(144960)

1,958 24,150 Not Rated

2014 358 60 55 16.8 12.2 n/a n/a

2015 505 80 83 15.8 16.9 n/a n/a

2016E 672 126 121 18.8 20.2 12.3 2.3

2017E 974 193 179 19.8 23.8 8.3 1.8

주: 2017년 1월 6일 기준

자료: 한화투자증권 리서치센터 추정

Page 20: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

반도체 공정부품 특집 [한화리서치]

20

VI. 분석대상 종목

아이원스(114810)

원익QnC(074600)

SKC솔믹스(057500)

티씨케이(064760)

뉴파워프라즈마(144960)

Page 21: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

2017년 1월 9일

기업분석

아이원스 (114810) 3D 낸드와 플렉시블 OLED 두 날개로 고공비행

▶Analyst 김병기 [email protected] 3772-7479 / RA 유창우 [email protected]

Buy (신규)

목표주가(신규): 28,000원

현재 주가(1/6) 18,700원

상승여력 ▲ 49.7%

시가총액 1,963억원

발행주식수 10,496천주

52 주 최고가 / 최저가 26,900 / 13,500원

90 일 일평균 거래대금 15.77억원

외국인 지분율 1.5%

주주 구성

이문기 외 15인 38.9%

주가수익률 1개월 3개월 6개월 12개월

절대수익률 11.0 -5.6 -8.8 -28.9 상대수익률(KOSPI) 8.0 -4.8 -13.7 -35.3

(단위: 십억 원, 원, %, 배)

재무정보 2014 2015 2016E 2017E

매출액 69 69 85 147 영업이익 6 7 7 20 EBITDA 12 13 17 32 지배주주순이익 6 13 4 18 EPS 596 1,273 343 1,704 순차입금 38 64 94 83 PER 23.0 21.6 54.6 11.0 PBR 2.6 3.5 2.3 1.9 EV/EBITDA 14.6 26.5 17.4 8.8 배당수익률 0.4 n/a 0.0 0.0 ROE 12.1 19.6 4.3 19.0 주가 추이

아이원스의 실적은 반도체/디스플레이 산업의 설비증설과 가동률에 연

동하여 성장합니다. 3D 낸드와 OLED는 역사상 최대 규모의 설비증설

사이클이 진행되고 있습니다. 이에 따라 동사는 지난 3년간의 실적 정

체기를 뒤로 하고 올해부터 가파른 성장기에 진입할 것입니다. 아울러

신규사업의 실적 모멘텀도 예상되고 있어 현 시점에서 적극적인 비중

확대 전략이 유효할 것으로 판단합니다.

반도체/디스플레이 공정부품 및 세정 전문업체

아이원스는 반도체 및 디스플레이 공정부품 정밀가공 및 세정 전문업

체다. 공정장비 내부에 들어가는 각종 금속부품을 제조하거나 세정/코

팅을 통해 재활용하게 해주는 사업이 주력이다. 국내 반도체 및 디스플

레이 산업은 사상 최대 규모의 설비증설 사이클이 전개되고 있다. 이에

힘입어 동사는 최근 3년간의 실적 정체기를 뒤로 하고 올해부터 가파

른 성장세를 보여줄 것으로 예상한다.

2017년에 사상 최대 영업이익을 달성할 전망

2017년 매출액과 영업이익은 각각 전년 대비 74%, 191% 성장한

1469억 원, 202억 원을 기록할 것으로 추정한다. 기존 정밀부품과 세

정 사업이 전방산업 설비증설의 효과로 견고한 성장세를 보이는 가운

데 신규사업이 가세할 전망이다. 동사는 세계 최대 장비업체인 어플라

이드머리리얼즈의 반도체/디스플레이 장비에 체임버 부품을 공급하는

사업을 지난해 4분기부터 시작했다. 독보적인 코팅 기술과 정밀가공

기술을 기반으로 어플라이드 머티어리얼즈의 장비부품 제조 파트너로

자리잡을 전망이다.

신규사업이 성장을 견인할 것. 목표주가 2만 8000원 제시

한편 장비 부문에서도 뚜렷한 실적개선이 기대된다. 아이원스는 플렉

시블 OLED의 보호필름 합착장비를 개발해 주요 고객사에 공급하기

시작했다. 전방산업에서 올해도 대폭적인 설비증설이 진행될 전망이어

서 장비 사업부의 실적 모멘텀이 극대화할 것으로 예상한다.

우리는 목표주가 2만 8000원을 제시하며 아이원스를 당사의 분석대상

종목군에 편입한다. 목표주가는 2017년 예상 EPS에 동사의 상장 이후

평균 PER 16.5배를 적용한 것이다.

0

50

100

150

0

10,000

20,000

30,000

16/01 16/06 16/11

(pt)(원) 아이원스

KOSPI지수대비

Page 22: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

아이원스 (114810) [한화리서치]

22

투자의견 Buy와 목표주가 2만 8000원 제시

목표주가 2만 8000원 제시 반도체/디스플레이 공정부품 가공 및 세정 전문업체 아이원스에 대해 목표주가 2만

8000원, 투자의견 Buy를 제시하며 분석을 개시한다. 목표주가는 2017년 예상 EPS

1704원에 동사의 상장 이후 평균 PER 16.5배를 적용한 것이다.

2017년부터 가파른

실적성장 진행될 것

동사의 연간 매출액은 상장 직후인 2013년부터 2015년까지 600억 원대에 머물렀으나

지난해에 865억 원을 기록하며 상승세에 접어들었다. 이어 올해에는 1469억 원을 기록

해 사상 처음으로 1000억 원대에 올라설 것으로 예상된다. 이는 1) 전방산업인 반도체

와 디스플레이 분야에서 대규모 설비증설이 진행되고 있고, 2) 신규사업이 본격적인 성

장기에 진입하고 있는 데 따른 것이다.

[그림25] 아이원스 12 개월 Trailing / Forward P/E 추이

자료: 한화투자증권 리서치센터

[그림26] 아이원스 매출액/영업이익 추이 및 전망

자료: 한화투자증권 리서치센터 추정

0

10

20

30

40

50

60

70

80

90

12/12 13/12 14/12 15/12 16/12 17/12 18/12 19/12 20/12

(배)

12M Trailing PER 12M Forward PER

22.5 23.3

48.4 43.6

47.7

60.3 69.1 69.4

84.6

146.9

3.5 1.3 4.6 6.9 7.3 9.3 5.7 7.1 6.9

20.2

0%

2%

4%

6%

8%

10%

12%

14%

16%

18%

0

20

40

60

80

100

120

140

160

2008 2009 2010 2011 2012 2013 2014 2015 2016E 2017E

(십억원)

매출액(좌) 영업이익(좌) 영업이익률(우)

Page 23: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

아이원스 (114810) [한화리서치]

23

사업부문별 현황과 전망 - 세정/코팅

공정부품 재사용을 위한

세정/코팅 서비스

아이원스의 주력 사업은 반도체/디스플레이 공정부품 제조 및 세정/코팅이다. 반도체나

디스플레이 공정장비 안에 장착된 금속부품의 교체주기가 도래하면 교체품을 공급하거

나 세정/코팅을 통해 재사용할 수 있게 해주는 서비스를 제공한다. 동사의 기술적 근간

은 초정밀 가공 기술과 세정/코팅 케미컬 기술이다.

불량 야기 요인

사전 예방

반도체 웨이퍼의 생산수율을 좌우하는 요소 중 하나는 파티클이다. 고온, 고압의 환경에서

진행되는 공정에서 파티클을 제어하기 위해서는 체임버 내의 부품들을 정밀코팅하는 작업

이 반드시 필요하다. 공정상 불량을 일으킬 수 있는 요인들을 사전에 제거하기 위해서다.

세정/코팅 시장은

1990년대 후반부터 형성

반도체 부품 세정/코팅 시장은 1990년대 후반부터 형성됐다. 그 전에는 반도체 공정장

비에 들어가는 고가의 소모성 부품들은 수명이 다하면 신제품으로 교체하여 사용했다.

그러나 원가절감을 위해 부품을 교체하는 대신 재사용하는 방법을 찾게 됐다. 반도체

제조사가 공정부품을 직접 세정하여 재사용하기 시작한 것은 1997년부터다.

2000년대 중반 이후

외주 세정업체 본격 성장

2000년대 중반부터는 반도체 제조사가 자체 세정하던 물량을 외주로 전환하기 시작했다.

Fab 내의 클린룸 공간을 생산 Capa 증대에 활용하고, 비핵심 공정인 세정을 외부의 전문

업체에 맡기게 된 것이다. 이 시기부터 외주 세정업체들이 본격적으로 성장하기 시작했다.

설비증설, 가동률 상승,

국산화 등에 따라 수혜

세정/코팅 사업은 1) 고객사의 설비증설이 이루어지거나, 2) 고객사의 가동률이 높아지

거나, 3) 외국산 부품이 국산화되는 경우 활기를 띤다. 동사는 2007년부터 삼성전자 메

모리 사업부에 세정/코팅 서비스를 제공하기 시작했고, 2009년에 삼성디스플레이, 2014

년에는 SK하이닉스와 LG디스플레이 등으로 고객사를 확대했다.

글로벌 장비 업체들도

고객사로 확보

한편 장비 업체들에 대한 영업력도 강화해왔다. 2012년에 세계 진공펌프 업계의 1위 업

체인 에드워드에 협력업체로 등록됐고, 같은 해 세계적인 장비 업체인 어플라이드 머티

어리얼즈와 거래를 시작했다. 이후 피에스케이, AP시스템, 세메스 등 국내 장비 업체들

과도 부품 공급 및 세정/코팅 사업을 전개하고 있다.

[그림27] 아이원스 사업 아이템별 매출 비중(2016 년 기준)

자료: 한화투자증권 리서치센터

54%

22%

15%

9%소모성 부품

세정/코팅

장비

기타

Page 24: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

아이원스 (114810) [한화리서치]

24

장비 - 플렉시블 OLED 시장에 진입

플렉시블 OLED용

보호필름 합착 장비 상용화

아이원스는 장비부품 제조 및 세정/코팅 외에 옵티컬 본딩 장비를 직접 제조하는 사업

도 영위하고 있다. 주로 디스플레이 패널과 커버 윈도우(강화유리)를 합착하는 장비를

중국 OEM 업체에 공급하고 있다. 여기에 지난해 하반기부터 플렉시블 OLED용 보호

필름 합착 장비가 라인업에 추가되면서 새로운 성장동력을 장착했다.

올해 장비사업 매출액

374억 원 예상

장비사업 부문의 연평균 매출액은 2013~16년에 150억 원대에 머물렀지만 올해는 374

억 원으로 대폭 증가할 것으로 예상한다. 그동안 디스플레이 공정에서 합착

(Lamination)은 딱딱한 기판에 유연한 필름을 붙이는 과정이 주였다. 하지만 플렉시블

OLED의 경우에는 유연한 기판에 유연한 필름을 붙이는 공정이 필요한데, 이 점에서

동사의 기술력이 검증돼 시장진입 기회를 잡을 것으로 파악된다.

세계 최고 수준

기술력 검증

기존 제품인 옵티컬 본딩 장비는 주로 Truly 등 중화권 외주생산(EMS) 업체가 고객사

였다. 하지만 신규로 론칭한 제품인 플렉시블 OLED용 합착 장비는 디스플레이 패널

제조사로 공급되고 있다. 이는 기술적 난이도와 신뢰성 측면에서 동사의 장비가 업계

최고 수준에 올랐다는 점을 방증한다.

고객사 확장 가능성도

열려 있어

동사의 플렉시블 OLED용 보호필름 부착 장비는 고객사 확장 가능성도 크다. BOE,

CSOT 등 중화권 디스플레이 업체들은 올해부터 플렉시블 OLED 설비투자를 본격화

할 전망이다. 이들 후발주자는 시행착오를 최소화하기 위해 이미 검증된 장비를 사용하

려는 경향이 강하다.

중국 시장에서

성장 모멘텀

확보가 가능할 전망

보호필름 부착은 패널의 품질에 큰 영향을 미치는 핵심 공정이라고 보기는 어렵다. 이

는 역으로 해외 진출 여건이 핵심 장비에 비해 상대적으로 나음을 시사한다. 핵심 장비

의 경우 기술유출 등의 우려로 해외 경쟁사로의 판매가 제약되는 경우가 많기 때문이

다. 우리는 동사의 장비 매출이 내년 이후 다수의 중국 고객사로 확장될 가능성이 크다

고 판단한다.

[그림28] 아이원스 장비사업 매출액 추이 및 전망

자료: 한화투자증권 리서치센터 추정

0.5

4.3

18.2 18.5

11.7 13.2

37.4

0

5

10

15

20

25

30

35

40

2011 2012 2013 2014 2015 2016E 2017E

(십억원)

Page 25: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

아이원스 (114810) [한화리서치]

25

정밀가공 - 세계 최대 장비 업체의 제조 파트너로 도약

어플라이드 머티어리얼즈,

세계 최대 반도체 장비 업체

어플라이드 머티어리얼즈는 매출액 기준으로 세계 최대, 시가총액 기준으로는 세계 2위

의 반도체/디스플레이 장비 업체다. 노광 장비를 제외하고 증착 장비, 식각 장비 등 반

도체/디스플레이 장비군 전체에서 지배적 시장점유율을 갖고 있다. 이 회사는 2016회계

연도(2015년 11월~2016년 10월)에 매출액 108억 달러로 사상 최대 실적을 달성했다.

같은 기간 매출총이익률 42%, 영업이익률 21%, EBITDA 가준 이익률 25%를 기록해

수익성 측면에서도 글로벌 선두권 장비 업체의 면모를 보여주고 있다.

전방산업 호황으로

2017년에도 사상 최대 실적

달성할 전망

올해 전망도 밝다. 어플라이드 머티어리얼즈는 2016회계연도에 신규수주액은 123억

3500만 달러, 수주잔고는 45억 8000만 달러를 기록했다. 두 수치 다 창사 이래 최다 기

록이다. 2017년에는 한국, 중국에서 3D 낸드와 OLED 및 LCD 증설투자가 한층 확대

될 것으로 예상된다. 블룸버그 컨센서스 기준으로 이 회사의 2017회계연도 매출액은

125억 2800만 달러로 16% 증가하고, 영업이익은 30억 1000만 달러로 44% 증가할 것

으로 추정된다.

아이원스에

전략적 지분투자

어플라이드 머티어리얼즈는 2015년 5월 아이원스의 제3자 배정 유상증자에 100억원을

투자했고, 현재 아이원스의 지분 4.2%를 보유하고 있다. 당시 공시에 기술된 투자배경

은 ‘비즈니스 확대’였다. 아이원스는 어플라이드 머티어리얼즈가 제조하는 반도체/디스

플레이 장비에 대한 부품 코팅 서비스를 제공하고 있었다. 어플라이드 머티어리얼즈와

지분투자를 비롯한 전략적 제휴관계가 발전하면서 아이원스는 체임버 등 장비의 핵심

부품을 공급하는 사업도 전개하고 있다.

양산용 장비의

핵심 부품을 공급할 전망

증자 등을 통해 조달한 자금으로 아이원스는 신공장을 세웠다. 총 500억 원을 투자했

다. 이 같은 대규모 투자에는 충분한 이유가 있었다. 어플라이드 머티어리얼즈가 양산용

장비의 핵심 부품을 미국 밖에서 조달하는 사례는 아이원스에서 공급받기로 한 것이 최

초다. 어플라이드 머티어리얼즈는 한국에 디스플레이 장비 생산시설을 두고 있긴 하지

만, 이 공장은 미국에서 들여온 부분품을 조립하는 역할에 그친다.

[그림29] 어플라이드 머티어리얼즈 매출액 및 영업이익 추이와 전망

자료: 블룸버그, 한화투자증권 리서치센터

9,167 9,735

8,129

5,014

9,549 10,517

8,719

7,509

9,072 9,659

10,825

12,528

2,233 2,398

1,374

-394

1,384 2,398

411 432

1,520 1,693 2,152

3,088

-10%

-5%

0%

5%

10%

15%

20%

25%

30%

-2,000

0

2,000

4,000

6,000

8,000

10,000

12,000

14,000

FY2006 FY2007 FY2008 FY2009 FY2010 FY2011 FY2012 FY2013 FY2014 FY2015 FY2016 FY2017E

(백만달러)

매출액 영업이익 영업이익률(우)

Page 26: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

아이원스 (114810) [한화리서치]

26

독보적인 정밀코팅 및

가공기술이 뒷받침된 결과

동사가 만드는 부품은 전세계에 판매되는 어플라이드 머티어리얼즈의 반도체 및 디스플

레이 장비에 탑재될 전망이다. 이는 동사의 독보적인 정밀코팅 및 정밀가공 기술이 뒷

받침된 결과다. 장비 부품을 만드는 업체는 많지만, 정밀코팅 서비스를 동시에 제공할

수 있는 벤더는 많지 않다. 아울러 한국은 세계 최대 메모리 반도체 업체와 디스플레이

업체가 있는 전략적 요지이기도 하다. 급성장하는 중국 시장에 대응하기도 용이하고, 기

술력 및 인프라 측면에서는 중국보다 경쟁우위에 있는 나라다. 이러한 이유로 어플라이

드 머티어리얼즈는 한국의 아이원스를 장비 제조 파트너로 선택한 것으로 판단된다.

준비기간 거쳐

지난해 4분기부터

매출 가시화

2015~16년은 어플라이드 머티어리얼즈로 부품을 공급하기 위한 준비기간이었다. 신공

장을 지었고, 제품인증 과정도 거쳤다. 지난해 4분기부터는 매출이 발생하기 시작했다.

2016회계연도 기준으로 어플라이드 머티어리얼즈의 매출원가는 62억 3300만 달러다.

매출원가에서 부품 등 원재료 비용이 차지하는 비중을 50%라고 가정한다면 이 회사는

부품 구매에 연간 30억 달러 이상을 쓰고 있는 셈이다. 아이원스의 2016년 예상 매출액

이 865억 원임을 감안하면 거대한 Captive Market이 확보된 것으로 판단할 수 있다.

[그림30] FY2015 지역별 신규수주액 비중 [그림31] FY2016 지역별 신규수주액 비중

자료: 어플라이드머트리얼즈, 한화투자증권 리서치센터 자료: 어플라이드머트리얼즈, 한화투자증권 리서치센터

[그림32] 어플라이드 머티어리얼즈 사업부문별 신규수주 및 기말 수주잔고 추이

자료: 어플라이드머트리얼즈, 한화투자증권 리서치센터

28%

15%

17%

13%

18%

4%6%

대만

중국

한국

미국

일본

동남아

유럽

27%

23%18%

10%

8%

7%

6%대만

중국

한국

미국

일본

동남아

유럽

4,096 10,249 10,142 8,037 8,466 9,648 10,110 12,348

0

1,000

2,000

3,000

4,000

5,000

0

2,000

4,000

6,000

8,000

10,000

12,000

14,000

FY2009 FY2010 FY2011 FY2012 FY2013 FY2014 FY2015 FY2016

(백만달러)(백만달러)

반도체 디스플레이 서비스 에너지 및 기타 기말 수주잔고(우)

Page 27: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

아이원스 (114810) [한화리서치]

27

실적 전망

2016년 수익성 하락은

과도기 현상

2016년 매출액은 22% 증가한 846억 원, 영업이익은 2% 감소한 69억 원을 기록할 전

망이다. 외형 성장에도 불구하고 수익성 개선 속도가 더딘 것은 과도기 현상이다. 신공

장으로의 생산설비 통합 이전과 증설 등에 들어간 비용이 많았고 인력 충원과 감가상각

비 증가로 인해 고정비도 상승했지만, 매출액은 지난해 하반기부터 증가하기 시작했다.

하지만 올해부터는 고정비 상승분을 상쇄할 수 있을 정도로 충분히 매출액이 증가할 전

망이기 때문에 수익성도 개선될 수 있을 것으로 예상한다.

2017년 영업이익

191% 성장할 전망

2017년 매출액과 영업이익은 각각 74%, 191% 증가한 1469억 원, 202억 원을 달성할

것으로 추정한다. 성장의 두 축은 글로벌 장비업체 향 장비부품 사업과 디스플레이 합

착장비 부문이 될 전망이다. 장비부품 산업의 최대 매력은 교체수요가 꾸준히 발생한다

는 점이다. 아이원스가 상장한 2013년 이후에도 메모리 반도체와 디스플레이 업황의 다

운사이클이 있었지만, 동사의 매출액이 역성장한 적은 없었다. 올해는 3D 낸드와

OLED 산업에서 대규모로 증설한 설비들이 순차적으로 가동에 돌입하기 때문에 영업

환경이 과거 어느 때보다 우호적이다. 이에 따라 올해부터 본격화할 실적 성장세는

2018년 이후에도 지속될 수 있을 것으로 판단한다.

[표3] 분기별 실적 전망 (단위: 십억 원)

1Q16 2Q16 3Q16 4Q16E 1Q17E 2Q17E 3Q17E 4Q17E 2014 2015 2016E 2017E

매출액 15.1 18.2 22.8 28.5 31.5 36.0 39.6 39.7 69.1 69.4 84.6 146.9

YoY 31% 12% 56% 5% 109% 98% 74% 40% 15% 0% 22% 74%

QoQ -44% 21% 25% 25% 11% 14% 10% 0%

정밀부품 7.6 9.4 12.0 16.6 15.7 17.9 22.0 25.4 29.9 34.8 45.6 81.0

정밀세정 4.5 4.7 5.0 4.3 4.7 4.9 5.0 5.4 16.3 18.6 18.5 20.0

장비 0.8 1.5 4.2 6.0 8.7 10.7 10.7 7.4 18.5 11.7 12.5 37.4

기타 2.2 2.6 1.6 1.5 2.4 2.6 1.9 1.6 4.5 4.3 8.0 8.5

매출총이익 5.4 5.6 6.8 8.9 10.9 13.3 15.0 14.4 27.2 26.5 26.7 53.5

영업이익 2.5 0.3 1.6 2.5 3.6 4.9 6.2 5.5 5.7 7.1 6.9 20.2

YoY 135% -79% 7% -22% 42% 1815% 280% 118% -39% 25% -2% 191%

QoQ -23% -90% 541% 55% 41% 37% 27% -11%

세전이익 2.1 -0.8 -0.1 1.9 3.4 4.6 6.0 6.0 6.5 15.8 3.2 20.0

당기순이익 1.9 -0.1 -0.1 1.9 3.2 4.1 5.3 5.3 5.7 12.9 3.6 17.9

수익성

매출총이익률 36% 31% 30% 31% 34% 37% 38% 36% 39% 38% 32% 36%

영업이익률 17% 1% 7% 9% 11% 14% 16% 14% 8% 10% 8% 14%

세전이익률 14% -4% 0% 7% 11% 13% 15% 15% 9% 23% 4% 14%

순이익률 12% -1% 0% 7% 10% 11% 13% 13% 8% 19% 4% 12%

제품별 매출비중

정밀부품 50% 51% 53% 58% 50% 50% 56% 64% 43% 50% 54% 55%

정밀세정 30% 26% 22% 15% 15% 14% 13% 14% 24% 27% 22% 14%

장비 5% 8% 18% 21% 28% 30% 27% 19% 27% 17% 15% 25%

기타 14% 15% 7% 5% 8% 7% 5% 4% 6% 6% 9% 6%

자료: 한화투자증권 리서치센터 추정

Page 28: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

아이원스 (114810) [한화리서치]

28

[ 재무제표 ]

손익계산서 (단위: 십억 원) 대차대조표 (단위: 십억 원)

12 월 결산 2014 2015 2016E 2017E 2018E 12 월 결산 2014 2015 2016E 2017E 2018E

매출액 69 69 85 147 216 유동자산 21 30 37 55 78 매출총이익 27 26 27 53 79 현금성자산 3 2 4 7 9 영업이익 6 7 7 20 30 매출채권 11 14 15 21 30 EBITDA 12 13 17 32 42 재고자산 7 11 15 24 36 순이자수익 -1 -1 -1 -1 -1 비유동자산 83 139 172 179 186 외화관련손익 0 0 0 0 0 투자자산 5 5 3 5 7 지분법손익 0 0 0 0 0 유형자산 76 132 168 173 178 세전계속사업손익 7 16 3 20 29 무형자산 1 2 2 2 1 당기순이익 6 13 4 18 25 자산총계 104 169 209 234 264

지배주주순이익 6 13 4 18 25 유동부채 27 70 69 76 81 증가율(%) 매입채무 10 14 16 28 41

매출액 14.7 0.3 21.9 73.8 47.1 유동성이자부채 16 52 49 44 36 영업이익 -38.9 25.1 -1.9 191.4 47.3 비유동부채 27 17 55 55 55 EBITDA -20.4 15.3 25.5 91.3 31.0 비유동이자부채 25 15 49 47 45 순이익률 -16.3 126.3 -72.1 397.5 39.7 부채총계 54 87 124 131 136

이익률(%) 자본금 5 5 5 5 5 매출총이익률 39.3 38.2 31.6 36.4 36.4 자본잉여금 16 35 35 35 35 영업이익률 8.2 10.2 8.2 13.8 13.8 이익잉여금 29 41 45 62 87 EBITDA마진 16.7 19.2 19.7 21.7 19.4 자본조정 0 0 0 0 0 세전이익률 9.4 22.8 3.8 13.6 13.5 자기주식 0 0 0 0 0 순이익률 8.2 18.6 4.3 12.2 11.6 자본총계 50 82 85 103 128

현금흐름표 (단위: 십억 원) 주요지표 (단위: 원, 배)

12 월 결산 2014 2015 2016E 2017E 2018E 12 월 결산 2014 2015 2016E 2017E 2018E

영업현금흐름 11 11 50 25 27 주당지표

당기순이익 6 13 4 18 25 EPS 596 1,273 343 1,704 2,381 자산상각비 6 6 10 12 12 BPS 5,194 7,829 8,126 9,830 12,211 운전자본증감 -1 -10 -3 -3 -7 DPS 50 n/a n/a n/a n/a

매출채권감소(증가) 0 -3 -1 -6 -9 CFPS 1,570 2,363 5,105 2,669 3,272 재고자산감소(증가) 1 -4 -4 -9 -11 ROA(%) 5.5 9.5 1.9 8.1 10.0 매입채무증가(감소) 0 1 2 12 13 ROE(%) 12.1 19.6 4.3 19.0 21.6

투자현금흐름 -17 -57 -45 -17 -17 ROIC(%) 6.1 5.0 3.1 9.9 13.2

유형자산처분(취득) -17 -57 -45 -17 -17 Multiples(x, %)

무형자산 감소(증가) 0 -1 0 0 0 PER 23.0 21.6 54.6 11.0 7.9 투자자산 감소(증가) 2 0 0 0 0 PBR 2.6 3.5 2.3 1.9 1.5 재무현금흐름 1 45 -3 -5 -8 PSR 1.9 4.0 2.3 1.3 0.9 차입금증가 2 25 -3 -5 -8 PCR 8.7 11.6 3.7 7.0 5.7 자본증가 0 20 0 0 0 EV/ EBITDA 14.6 26.5 17.4 8.8 6.4 배당금지급 0 0 0 0 0 배당수익율 0.4 n/a n/a n/a n/a

총현금흐름 15 24 54 28 34 안정성(%)

(-) 운전자본증가(감소) 4 3 3 3 7 부채비율 108.6 105.3 145.1 127.0 105.9 (-) 설비투자 17 57 45 17 17 Net debt/Equity 76.0 78.4 110.1 80.7 55.7 (+) 자산매각 0 0 0 0 0 Net debt/EBITDA 327.4 484.4 562.3 260.7 170.6 Free Cash Flow -6 -37 5 9 10 유동비율 77.6 43.3 53.7 72.9 96.0 (-) 기타투자 -2 6 0 0 0 이자보상배율 4.1 5.9 5.5 23.0 33.2 잉여현금 -4 -44 5 9 10 자산구조(%)

NOPLAT 5 6 5 18 25 투하자본 91.7 95.6 96.6 94.0 92.6

(+) Dep 6 6 10 12 12 현금+투자자산 8.3 4.4 3.4 6.0 7.4

(-) 운전자본투자 4 3 3 3 7 자본구조(%)

(-) Capex 17 57 45 17 17 차입금 44.9 44.7 53.3 46.7 38.6

OpFCF -10 -49 -34 11 13 자본금 55.1 55.3 46.7 53.3 61.4

주: IFRS 별도 기준

Page 29: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

2017년 1월 9일

기업분석

원익QnC (074600) 전방산업 설비증설에 따라 2차 성장기 진입

▶Analyst 김병기 [email protected] 3772-7479 / RA 유창우 [email protected]

Buy (신규)

목표주가(신규): 25,000원

현재 주가(1/6) 18,400원

상승여력 ▲ 35.9%

시가총액 2,418억원

발행주식수 13,144천주

52 주 최고가 / 최저가 19,550 / 13,500원

90 일 일평균 거래대금 5.4억원

외국인 지분율 4.6%

주주 구성

이용한 40.4%

주가수익률 1개월 3개월 6개월 12개월

절대수익률 26.5 1.9 7.0 4.0 상대수익률(KOSPI) 23.5 2.7 2.1 -2.5

(단위: 십억 원, 원, %, 배)

재무정보 2014 2015 2016E 2017E

매출액 121 140 139 168 영업이익 15 20 17 26 EBITDA 21 26 24 33 지배주주순이익 8 15 43 21 EPS 628 1,169 3,253 1,564 순차입금 37 27 29 21 PER 18.6 14.8 5.7 11.8 PBR 1.7 2.2 1.6 1.4 EV/EBITDA 9.1 9.6 11.4 8.0 배당수익률 n/a n/a 0.0 0.0 ROE 9.6 16.0 34.0 13.0 주가 추이

원익QnC는 반도체 공정에서 웨이퍼를 불순물로부터 보호하는 용기로

쓰이는 쿼츠웨어 부문의 세계1위 업체입니다. 삼성전자의 평택 반도체

공장이 올해 상반기 중에 양산에 돌입할 전망인데, 이에 따라 1 분기부

터 강한 실적 모멘텀이 나타날 것으로 예상됩니다. 동사는 2009~11년의

1차 성장기에 이어 2012~16년의 정체기를 겪었지만, 올해부터 2차 성

장기에 진입할 것으로 판단합니다.

목표주가 2만 5000원, 투자의견 Buy 제시

원익QnC에 대해 목표주가 2만5000 원과 투자의견 Buy를 제시하며

분석을 개시한다. 목표주가는 2017년 예상 EPS 1525 원에 동사의 최

근 4년간 평균 PER 16.4배를 적용했다. 지난해 실적은 단가 인하, 신

제품 개발 지연 등으로 인해 역성장했지만, 올해는 본업을 중심으로 빠

른 회복세가 예상된다. 삼성전자가 평택 공장에서 3D 낸드 설비증설을

공격적으로 진행하면서 쿼츠 부문이 크게 성장할 전망이다. 아울러 세

정 사업도 고객사 설비증설 및 가동률 상승의 효과에 힘입어 성장성을

회복할 것으로 예상한다.

2017년에 사상 최대 실적을 달성할 전망

2017년에 매출액 및 영업이익은 각각 전년 대비 21%, 50% 성장한

1682억 원, 262억 원으로 사상 최대 실적을 달성할 것으로 추정한다.

쿼츠와 세라믹스, 세정 등 3대 사업군이 고른 성장세를 보여줄 전망이

다. 세라믹스 사업은 국내 OLED 증설 및 중국 진출의 효과가 본격적

으로 나타날 것이다. 국내 고객사는 역사상 최대 규모의 OLED 증설

투자를 진행 중이다. 아울러 10세대급 장비에 적용되는 공정부품을 경

쟁사보다 먼저 개발함으로써 BOE의 신규라인 가동에 따른 수혜도 예

상된다.

원익그룹 지배구조 재편에서도 수혜 예상

원익QnC는 원익그룹 지배구조의 최상단에 위치한 기업이고, 그룹 계

열사 중 오너 지분율이 가장 높은 회사이기도 하다. 원익IPS의 인적분

할 이후에도 원익그룹은 여전히 옥상옥 형태의 복잡한 지배구조를 갖

고 있다. 이를 단순화하기 위한 구조재편 작업이 추가로 진행될 것으로

보이는데, 그 과정에서 원익QnC의 기업가치가 부각될 가능성이 크다

고 판단한다.

0

50

100

150

0

10,000

20,000

30,000

16/01 16/06 16/11

(pt)(원)

원익QnC

KOSPI지수대비

Page 30: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

원익QnC (074600) [한화리서치]

30

투자의견 Buy와 목표주가 2만 5000원 제시

목표주가 2만 5000원 제시 원익QnC에 대해 목표주가 2만 5000원과 투자의견 Buy를 제시하며 동사를 당사의 분

석대상 종목군에 편입한다. 목표주가는 2017년 예상 EPS 1525 원에 동사의 최근 4년간

평균 PER 16.4배를 적용했다.

전방산업 설비증설의 효과로

전 사업부문 호조세 예상

원익QnC는 반도체 및 디스플레이 제조공정용 쿼츠, 세정, 세라믹 부품 등이 주력사업

이다. 쿼츠와 세라믹은 반도체가 주요 전방산업인데, 고객사가 3D 낸드 캐파를 공격적

으로 증설하고 있어 이에 따른 수혜가 예상된다. 세라믹 부품 사업부는 LCD 및 OLED

공정에 사용되는 소모성 부품을 생산하고 있다. 전략적 고객사의 OLED 라인 증설의

효과가 본격화하고, 중국 패널업체 향으로도 세라믹 부품 매출이 확대되고 있는 점이

긍정적이다.

[그림33] 원익 QnC 사업부문별 매출 비중(2016 년 기준)

자료: 원익QnC, 한화투자증권 리서치센터

[그림34] 원익 QnC 매출액/영업이익 추이 및 전망

자료: 한화투자증권 리서치센터 추정

77%

11%

12%

1%

쿼츠

세라믹스

세정

기타

94

73

112

150

120 123 121

140 139

168

4

-4

9

24 13 12 15

20 17 26

-10%

-5%

0%

5%

10%

15%

20%

-20

0

20

40

60

80

100

120

140

160

180

2008 2009 2010 2011 2012 2013 2014 2015 2016E 2017E

(십억원)

매출액 영업이익 영업이익률

Page 31: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

원익QnC (074600) [한화리서치]

31

실적 전망

2017년의

전방산업 환경

우호적

원익QnC의 실적은 반도체 및 디스플레이 생산시설에서 1) 신규 장비가 셋업될 때와

2) 양산가동 후 장비부품의 교체주기가 돌아올 때 좋아진다. 지난해에는 새로 가동을

시작한 신규라인이 많지 않았다. 상반기까지 메모리 반도체 업황이 부진했고, 3D 낸드

는 중국 공장 중심으로 양산이 이뤄졌다. 하지만 올해는 상황이 크게 다르다. 3D 낸드,

플렉시블 OLED를 두 축으로 반도체와 디스플레이 신규 설비가 사상 최대 규모로 증설

되고 있다.

영업이익

50% 성장한

262억 원 예상

이에 따라 동사의 실적도 지난해의 부진을 딛고 큰 폭의 상승세를 보일 전망이다. 2017

년에 매출액은 21% 늘어난 1682억 원, 영업이익은 50% 늘어난 262억 원으로 창사 이

래 최대 실적을 기록할 것으로 추정한다. 실적 모멘텀은 1분기부터 본격화할 것이다. 1

분기는 계절적 비수기이지만, 삼성전자 평택 공장 증설의 효과 등에 힘입어 강한 실적

반등이 예상된다. 1분기 매출액은 전분기보다 10% 증가한 392억 원, 영업이익은 50%

증가한 55억 원을 기록할 전망이다.

[표4] 분기별 실적 전망 (단위: 십억 원)

1Q16 2Q16 3Q16 4Q16E 1Q17E 2Q17E 3Q17E 4Q17E 2014 2015 2016E 2017E

매출액 34.3 34.6 34.2 35.5 39.2 42.7 44.1 42.2 120.8 140.2 138.6 168.2

YoY -1% 1% -9% 5% 14% 23% 29% 19% -2% 16% -1% 21%

QoQ 1% 1% -1% 4% 10% 9% 3% -4%

쿼츠 26.0 26.5 26.4 27.6 29.6 32.5 33.6 31.4 91.4 107.0 106.4 127.1

세라믹스 4.0 3.9 3.5 3.7 4.8 4.9 5.1 5.3 12.0 14.3 15.2 20.1

세정 4.1 3.9 4.0 3.9 4.5 4.9 5.1 5.2 16.4 18.3 16.0 19.7

램프 및 기타 0.2 0.2 0.3 0.3 0.3 0.3 0.3 0.3 1.0 0.6 1.1 1.3

매출총이익 10.4 10.4 9.3 9.4 11.4 12.9 13.7 12.9 35.7 42.3 39.4 50.9

영업이익 5.0 5.0 3.8 3.7 5.5 6.6 7.3 6.8 15.1 20.3 17.5 26.2

YoY -12% -21% -42% 118% 9% 33% 91% 85% 23% 34% -14% 50%

QoQ 199% -2% -22% -5% 50% 20% 11% -7%

세전이익 5.4 5.3 44.7 3.9 5.2 6.9 7.6 7.3 11.6 20.8 59.3 27.0

당기순이익 4.3 4.2 31.3 3.1 4.1 5.5 6.1 5.8 8.9 15.9 43.0 21.6

수익성

매출총이익률 30% 30% 27% 26% 29% 30% 31% 31% 30% 30% 28% 30%

영업이익률 15% 14% 11% 10% 14% 15% 17% 16% 12% 14% 13% 16%

세전이익률 16% 15% 131% 11% 13% 16% 17% 17% 10% 15% 43% 16%

순이익률 13% 12% 92% 9% 11% 13% 14% 14% 7% 11% 31% 13%

제품별 매출비중

쿼츠 76% 77% 77% 78% 75% 76% 76% 74% 76% 76% 77% 76%

세라믹스 12% 11% 10% 10% 12% 12% 12% 12% 10% 10% 11% 12%

세정 12% 11% 12% 11% 11% 11% 12% 12% 14% 13% 12% 12%

램프 및 기타 1% 1% 1% 1% 1% 1% 1% 1% 1% 0% 1% 1%

자료: 한화투자증권 리서치센터 추정

Page 32: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

원익QnC (074600) [한화리서치]

32

사업부문별 현황과 전망 - 쿼츠

쿼츠 부문 국내 1위 업체 쿼츠는 증착, 산화, 확산, 에칭, 세정 등의 공정에서 웨이퍼나 글래스 기판을 보호하고

이송하는 용기로 쓰인다. 원익QnC의 쿼츠 사업은 1983년부터 영위해온 주력 사업이

다. 한국을 비롯해 대만, 독일에 현지 생산시설을 갖추고 있으며 반도체, 디스플레이, 태

양광, LED의 제조공정에 사용되는 쿼츠웨어를 개발하고 판매한다. 쿼츠 사업은 장비의

프로세스와 사이즈, 유형 등에 따라 다품종 소량의 주문제작 방식으로 운영되고 있다.

이에 따라 고객사와의 긴밀한 협력관계, 최적화된 납기대응 능력, 사후관리 역량 등이

뒷받침돼야 한다.

소모품의 특성상

수요가 꾸준히 발생

쿼츠웨어는 제조공정별로 수명주기가 1~ 12개월인 소모품이다. 이 때문에 쿼츠 부품의

수요는 전방산업의 설비증설과 가동률에 연동한다. 또한 공장이 계속 가동되는 한 교체

수요가 꾸준히 발생하는 특성이 있다. 최근 5년간의 트렌드를 보면, 2012~14년에는 국

내에서 증설이 제한적이어서 동사의 쿼츠 부문 매출액이 연간 900억 원 초중반에서 정

체됐다. 그러나 2015년에 삼성전자의 17라인 DRAM과 SK하이닉스의 M14 등이 증설

되면서 이 사업부의 매출액은 1070억 원으로 점프했다.

2017년 3D 낸드

대규모 증설의 수혜

2016년에는 신규로 가동된 반도체 설비가 거의 없었으나, 올해부터는 3D 낸드 중심의

대규모 증설이 진행될 전망이다. 삼성전자가 중국 시안 공장 중심으로 양산하던 3D 낸

드를 국내에서도 생산하기 위한 준비에 나서고 있다. 16~17라인을 3D 낸드 양산용으

로 개조하는 투자가 이미 진행되고 있고, 평택 단지에도 대규모 증설이 이루어질 전망

이다. 또한 SK하이닉스도 48단 3D 낸드 개발을 완료하고 양산시대에 접어들었다. 올해

에는 주요 고객사의 수요에 대응하기 위해 최대 월 6만 장 규모의 증설을 추진할 것으

로 예상된다.

전방산업의 이 같은 증설 트렌드에 힘입어 원익QnC의 쿼츠 사업 매출액은 올해 1271

억 원으로 전년 대비 19% 증가할 것으로 추정된다.

[그림35] 반도체 공정용 쿼츠 제품 [그림36] 쿼츠 사업부 매출액 추이 및 전망

자료: 원익QnC 자료: 한화투자증권 리서치센터 추정

946 946 914

1,070 1,064

1,271

0

200

400

600

800

1,000

1,200

1,400

2012 2013 2014 2015 2016E 2017E

(억원)

Page 33: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

원익QnC (074600) [한화리서치]

33

사업부문별 현황과 전망 - 세정 / 세라믹

공정용 소모품의

미세오염 제거

세정 사업은 반도체 공정부품 또는 반도체 장비의 오염을 제거하고 해당 부품/장비를

재생하는 공정으로, 동사는 주로 쿼츠웨어 등의 소모품에서 발생하는 미세오염을 제거

하는 사업을 영위하고 있다. 반도체 공정이 미세화할수록 공정부품 세정의 중요도가 높

아진다. 장비 내의 파티클을 제어하지 못할 경우 수율 저하가 초래되어 막대한 Capex

가 요구되는 공정 미세화 투자가 무의미해질 수도 있기 때문이다.

세정 아이템

확대 추세

원익QnC의 세정 부문은 2011년부터 본격적인 사업화를 시작했다. 당시 고객사가 직접

진행하던 쿼츠 세정 공정을 외주화하면서 동사가 사업기회를 얻었다. 5년여간의 운영

결과 고객사 입장에서 원가절감 효과가 크다는 사실이 입증됐다. 그 결과 세정 아이템

이 쿼츠 중심에서 SiC 링, CMP 패드 등으로 확대되고 있다.

2017년에 세정 사업은

23% 성장할 전망

세정 사업은 여타 사업부문에 비해 상대적으로 마진 구조가 우수하기 때문에 세정의 매

출 비중 확대는 수익성 개선으로 이어진다. 동사 세정사업 매출액은 지난해 160억 원에

서 올해 197억 원으로 23% 증가할 것으로 예상된다.

디스플레이 공정용

대형 구조 세라믹

사업 영위

세라믹 사업부는 LCD/OLED 공정에서 소모품으로 사용되는 대형 구조 세라믹이 주력

이다. 주력 고객사의 가동률이 2015년 하반기 이후 크게 개선되면서 호조세가 이어지고

있다. 아울러 OLED 설비가 대규모로 증설되고 있어 올해에도 견고한 매출 증가세가

이어질 전망이다. 아울러 BOE, Tianma 등 중국 패널 업체들을 고객사로 확보했다는

점도 긍정적이다.

특수 세라믹 시장

진출 추진

동사는 중장기적으로 대형 구조 세라믹에서 특수 세라믹으로 사업구조 고도화를 추진하

고 있다. 세라믹 초정밀 가공과 SiC 링 등 비산화계 세라믹의 사업화를 목표로 하고 있

는 것으로 파악된다. 이 영역은 아직까지 수입의존도가 높아 국산화에 성공할 경우 고

수익성을 확보할 수 있을 것으로 예상된다.

[그림37] 세정 사업부 매출액 추이 및 전망 [그림38] 세라믹 사업부 매출액 추이 및 전망

자료: 원익QnC 자료: 한화투자증권 리서치센터 추정

125 123

164

183

160

197

0

50

100

150

200

250

2012 2013 2014 2015 2016E 2017E

(억원)

123

150

120

143 152

201

0

50

100

150

200

250

2012 2013 2014 2015 2016E 2017E

(억원)

Page 34: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

원익QnC (074600) [한화리서치]

34

원익 그룹 지배구조 변화와 원익QnC

원익QnC는

그룹 지배구조의

정점에 위치

원익QnC는 원익그룹 지배구조의 정점에 있다는 점에 주목할 필요가 있다. 원익그룹에

는 원익QnC, 원익, 원익홀딩스, 원익IPS, 원익머트리얼즈, 테라세미콘, 원익큐브 등 7개

상장사와 다수의 비상장사가 있다. 종전에는 주요 계열사의 지분을 원익QnC와 원익이

공동지배하는 구조였다. 지난해 원익IPS가 원익홀딩스와 원익IPS로 인적분할하면서 원

익홀딩스가 원익IPS, 원익머트리얼즈, 테라세미콘 등 IT 관련 기업들을 자회사로 거느리

는 중간지주회사 형태로 전환했다.

원익그룹

지배구조 재편 과정은

아직 진행형

원익그룹의 지배구조 재편 작업은 아직 진행형이다. 원익홀딩스를 원익, 원익QnC, 이

용한 회장 등 3인이 지배하는 옥상옥 구조여서 추가적인 개편이 진행될 가능성이 높다.

그룹 오너의 지분이 가장 많은 기업은 원익QnC(40.4%)와 원익(38.8%)인데, 시가총액

은 원익QnC가 2418억 원, 원익이 753억 원이다. 즉, 오너 입장에서는 원익보다 원익

QnC의 지분가치가 훨씬 크다. 이에 따라 향후 추가적인 지배구조 재편 과정에서 원익

QnC의 지분가치가 활용될 가능성이 높아 보인다.

원익QnC의 기업가치가

부각될 가능성 높아

현재로서 예상할 수 있는 시나리오 중 하나는 원익홀딩스와 원익QnC를 합병하는 것이

다. 이 경우 얻을 수 있는 효과는 다음과 같다.

첫째, 오너는 원익홀딩스에 대한 지분율을 현재의 13%에서 20% 이상으로 끌어올릴 수

있다. 원익QnC의 기업가치가 오를수록 합병시 원익홀딩스에 대한 오너의 지분율은 높

아질 것이다. 둘째, 원익QnC가 보유한 관계사 지분을 원익홀딩스가 흡수할 수 있다. 이

렇게 되면 원익홀딩스는 IT 관련 계열사만 거느리는 중간지주회사에서 그룹 전체를 지

배하는 지주회사로 격상된다.

이는 물론 예상가능한 여러 시나리오 중 하나에 불과하다. 하지만 어떤 구조로 가든 원

익QnC의 기업가치가 부각될 가능성이 높다고 판단한다.

[그림39] 원익그룹 지배구조

자료: 전자공시, 한화투자증권 리서치센터 추정

2016.09.30 현재 이용한 회장

원익QnC 원익 호라이즌캐피탈

원익인사이트 위닉스 원익홀딩스 원익엘앤디 원익큐브 씨엠에스랩

원익투자파트너스 원익IPS 원익머트리얼 테라세미콘 원익로보틱스

노바켐

100%40.4% 38.8%

13.0% 40.0% 6.4% 93.5%

23.9%

20.0% 5.1%

78.4% 32.9% 46.5%

50.7%

20.1%

16.1%

40.0%

7.6%

11.5% 95.7%

33.3% 33.3% 41.8% 44.8%

6.8%

Page 35: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

원익QnC (074600) [한화리서치]

35

[ 재무제표 ]

손익계산서 (단위: 십억 원) 대차대조표 (단위: 십억 원)

12 월 결산 2014 2015 2016E 2017E 2018E 12 월 결산 2014 2015 2016E 2017E 2018E

매출액 121 140 139 168 190 유동자산 52 56 54 67 81

매출총이익 36 42 39 51 56 현금성자산 10 11 7 10 23

영업이익 15 20 17 26 29 매출채권 15 15 18 22 21

EBITDA 21 26 24 33 35 재고자산 26 29 28 34 37

순이자수익 -2 -1 -1 -1 -1 비유동자산 110 117 166 173 177

외화관련손익 0 0 0 0 0 투자자산 38 47 92 93 94

지분법손익 -3 1 1 1 1 유형자산 67 65 69 74 76

세전계속사업손익 12 21 59 27 30 무형자산 5 5 6 6 7

당기순이익 9 16 43 22 24 자산총계 163 173 220 240 259

지배주주순이익 8 15 43 21 23 유동부채 58 44 40 38 34

증가율(%) 매입채무 10 10 15 18 18

매출액 -1.5 16.1 -1.1 21.3 12.9 유동성이자부채 44 28 20 14 10

영업이익 23.2 34.4 -13.6 49.7 10.2 비유동부채 8 17 23 25 23

EBITDA 17.8 26.9 -9.5 37.5 7.8 비유동이자부채 3 10 16 18 16

순이익률 22.2 77.8 170.2 -49.7 10.1 부채총계 66 60 64 62 57

이익률(%) 자본금 7 7 7 7 7

매출총이익률 29.6 30.2 28.4 30.2 29.7 자본잉여금 19 19 19 19 19

영업이익률 12.5 14.4 12.6 15.6 15.2 이익잉여금 64 78 121 143 166

EBITDA마진 17.2 18.8 17.2 19.5 18.6 자본조정 -1 0 1 1 1

세전이익률 9.6 14.8 42.8 16.0 15.6 자기주식 0 0 0 0 0

순이익률 7.4 11.3 31.0 12.8 12.5 자본총계 97 112 156 178 202

현금흐름표 (단위: 십억 원) 주요지표 (단위: 원, 배)

12 월 결산 2014 2015 2016E 2017E 2018E 12 월 결산 2014 2015 2016E 2017E 2018E

영업현금흐름 21 20 58 21 26 주당지표

당기순이익 12 21 43 22 24 EPS 628 1,169 3,253 1,564 1,722

자산상각비 6 6 6 7 7 BPS 6,779 7,890 11,237 12,884 14,696

운전자본증감 4 -4 2 -7 -1 DPS n/a n/a n/a n/a n/a

매출채권감소(증가) 5 0 -3 -4 2 CFPS 1,703 2,141 4,240 2,115 2,030

재고자산감소(증가) 0 -3 1 -6 -3 ROA(%) 5.0 9.1 21.7 8.9 9.0

매입채무증가(감소) 0 0 5 3 1 ROE(%) 9.6 16.0 34.0 13.0 12.5

투자현금흐름 -6 -11 -54 -11 -9 ROIC(%) 11.9 16.3 13.4 20.5 20.9

유형자산처분(취득) -6 -3 -10 -11 -9 Multiples(x, %)

무형자산 감소(증가) 0 -1 -1 -1 -1 PER 18.6 14.8 5.7 11.8 10.7

투자자산 감소(증가) 0 0 0 0 0 PBR 1.7 2.2 1.6 1.4 1.3

재무현금흐름 -13 -9 -8 -6 -4 PSR 1.3 1.6 1.7 1.4 1.3

차입금증가 -12 -10 -8 -6 -4 PCR 6.8 8.1 4.3 8.7 9.1

자본증가 -2 0 0 0 0 EV/ EBITDA 9.1 9.6 11.4 8.0 6.9

배당금지급 2 0 0 0 0 배당수익율 n/a n/a n/a n/a n/a

총현금흐름 22 28 56 28 27 안정성(%)

(-) 운전자본증가(감소) -3 1 -2 7 1 부채비율 68.5 53.6 40.9 35.1 28.3

(-) 설비투자 7 3 10 11 9 Net debt/Equity 37.8 23.7 18.8 11.9 1.4

(+) 자산매각 1 -1 -1 -1 -1 Net debt/EBITDA 175.8 101.0 123.2 64.5 7.7

Free Cash Flow 19 23 47 9 16 유동비율 90.4 127.5 133.3 179.2 238.1

(-) 기타투자 -1 9 43 -1 -1 이자보상배율 9.5 20.9 19.9 31.0 37.1

잉여현금 20 14 4 10 16 자산구조(%)

NOPLAT 12 16 13 21 23 투하자본 66.4 61.8 49.3 51.2 49.1

(+) Dep 6 6 6 7 7 현금+투자자산 33.6 38.2 50.7 48.8 50.9

(-) 운전자본투자 -3 1 -2 7 1 자본구조(%)

(-) Capex 7 3 10 11 9 차입금 32.7 25.1 18.8 15.1 11.3

OpFCF 13 17 12 10 20 자본금 67.3 74.9 81.2 84.9 88.7

주: IFRS 연결 기준

Page 36: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

2017년 1월 9일

기업분석

SKC솔믹스 (057500) 국가대표 파인세라믹 소재 업체

▶Analyst 김병기 [email protected] 3772-7479 / RA 유창우 [email protected]

Not Rated

현재 주가(1/6) 4,725원

상승여력 -

시가총액 2,906억원

발행주식수 61,494천주

52 주 최고가 / 최저가 4,990 / 1,655원

90 일 일평균 거래대금 30.12억원

외국인 지분율 2.9%

주주 구성

에스케이씨 외 1인 43.9%

주가수익률 1개월 3개월 6개월 12개월

절대수익률 58.8 54.4 166.9 155.4 상대수익률(KOSPI) 55.8 55.2 162.0 149.0

(단위: 십억 원, 원, %, 배)

재무정보 2014 2015 2016E 2017E

매출액 115 112 110 112 영업이익 3 3 13 22 EBITDA 22 22 27 28 지배주주순이익 -13 -41 -34 19 EPS -326 -976 -746 304 순차입금 184 162 144 122 PER -5.7 -1.9 -6.3 15.6 PBR 1.3 4.1 11.2 6.5 EV/EBITDA 11.4 11.0 16.0 14.8 배당수익률 n/a n/a 0.0 0.0 ROE -20.7 -109.9 -146.7 52.8 주가 추이

SKC솔믹스는 반도체 공정용 파인세라믹 부품 분야에서 한국을 대표하

는 기업입니다. 2010년에 태양광 소재 사업에 진출한 이후 실적부진에

서 벗어나지 못했습니다. 하지만 지난해 8 월 태양광 사업에서 완전히

철수했고, 태양광 부문의 적자에 가려져 있던 세라믹 사업의 실적이 드

러나면서 기업가치 재평가가 진행되고 있습니다.

태양광 사업에서 철수한 뒤로 가파른 주가 상승세

SKC솔믹스는 국내에서 가장 오래된 업력을 가진 세라믹 소재 업체다.

주로 반도체 공정용 소모성 부품을 공급하고 있는데 실리콘(Si), 알루

미나(Al2O3), 실리콘카바이드(SiC), 쿼츠(SiO2) 등 국내 최다 제품 라인

업을 갖추고 있다는 것이 강점이다. 2010년에 태양광 잉곳/웨이퍼 사

업에 진출했는데, 적자구조를 벗어나지 못하자 지난해 8월 철수했다.

이후 태양광 사업에 가려져 있던 세라믹 사업부의 실적이 드러나면서

주가가 가파른 상승세를 보이고 있다.

세라믹 사업 수익성 고공행진 지속

세라믹 사업은 최근 3년간 평균 영업이익률 17%의 고수익 기조를 유

지하고 있다. 올해는 SK하이닉스, 삼성전자 등 동사의 주요 고객사들

의 반도체 설비증설이 잇따를 전망이어서 외형 성장세가 가속화할 것

으로 보인다. 세라믹 사업부의 올해 매출액은 21% 성장한 1122억 원,

영업이익은 25% 증가한 217억 원을 기록할 것으로 추정한다.

올해보다 내년에 더 좋아질 기업

동사는 태양광 소재 사업에 분산됐던 역량을 세라믹 부문에 집중하면

서 새로운 성장시대를 맞이할 것으로 판단한다. 3D 낸드의 적층수가

올라가는 추세는 공정부품 수요 증가로 이어진다. 아울러 3D 낸드 신

규라인 증설이 빠른 속도로 진행되고 있어 영업환경은 과거 어느 때보

다 우호적이다. 반도체 공정용 세라믹 시장은 국산화되지 못한 아이템

이 아직 많다. SK 그룹 내에서 반도체 관련 기업들 간의 시너지 효과가

현실화할 가능성이 높고, 이 과정에서 동사는 새로운 사업기회를 찾을

수 있을 것으로 판단한다. 현재 주력 아이템들이 견고한 성장세를 이어

가는 가운데 신규 사업의 실적이 내년부터 순차적으로 가세할 전망이

다. 올해보다 내년이 더 기대되는 기업이다.

0

100

200

300

0

2,000

4,000

6,000

16/01 16/06 16/11

(pt)(원)

SKC 솔믹스

KOSPI지수대비

Page 37: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

SKC솔믹스 (057500) [한화리서치]

37

반도체/디스플레이용

구조 세라믹 전문업체

SKC솔믹스는 대한민국을 대표하는 구조 세라믹 전문업체다. 구조 세라믹은 금속 소재

가 감당하기 어려운 초고온, 초고강도 영역에서 사용되며 내마모, 내식, 내열, 내산화성

의 특성을 부가할 수 있어서 자동차, 항공우주, 발전, 기계부품 등 다양한 분야에 적용

된다. 동사는 이러한 구조 세라믹 응용분야 가운데 반도체/디스플레이 공정용 소모성

부품 시장에서 활약하고 있다.

국내 구조 세라믹 시장은

6580억 원 규모

구조 세라믹은 주로 알루미나(Al2O3), 탄화규소(SiC), 지르코니아(ZrO2) 등의 소재를 고

순도로 정제하여 원하는 모양으로 성형하거나 고온으로 가열해 밀도를 높임으로써 소재

고유의 특성을 발현시키는 것을 기반기술로 한다. 반도체나 디스플레이 공정장비에 적

용되는 구조 세라믹은 플라즈마에 대한 저항성, 오염입자 저감, 내마모성 등의 특성을

극대화시킨 것이다. 2016년 기준 구조 세라믹 산업의 세계시장 규모는 180억 달러, 한

국시장은 6580억 원으로 추산된다.

[그림40] 한국 구조 세라믹 시장 규모

자료: 중소기업청, <중소기업 기술로드맵>

[표5] 구조 세라믹 산업 공급망

구분 주요내용 주요제품/기술 해외기업 국내기업

원료 Al2O3 원료 정제 스미토모(일) 대한세라믹스

SiC 원료 합성 교세라(일) 아세아세라텍

ZrO2

생고뱅(프) 나노랩

유니텍(영)

토소(일)

이토츠(일)

소재 내구성 소재 성형 스미토모(일) 씨맥스

코팅 소재 소결 교세라(일) 다이섹

가공 생고뱅(프) 이노세라

제품 정전척 초대형 소결 스미토모(일) SKC 솔믹스

히터 초대형 가공 교세라(일) 티씨케이

챔버용 부품

쿠어스텍(미) 원익QnC

생고뱅(프) 미코

수요 장비 CVD 어플라이드머트리얼(미) 원익 IPS

Etcher 도쿄일렉트론(일) 주성엔지니어링

Sputter 램리서치(미) 피에스케이

테스

소자 반도체 마이크론(미) 삼성전자

디스플레이 TSMC(대) SK하이닉스

도시바(일) LG 디스플레이

BOE(중) 동부하이텍

자료: 중소기업청, <중소기업 기술로드맵>

0

100

200

300

400

500

600

700

2012 2013 2014 2015 2016

(십억원)

Page 38: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

SKC솔믹스 (057500) [한화리서치]

38

고수익 아이템

매출비중 상승세

SKC솔믹스는 알루미나(Al2O3), 실리콘(Si), 실리콘카바이드(SiC), 쿼츠(SiO2), 이트리아

(Y2O3), 질화붕소(BN), 지르코니아(ZrO2)등 국내 최다 품목의 세라믹 소재를 공급하고

있다. 2016년 기준 주요 소재별 매출 비중은 알루미나 18%, 실리콘 35%, 실리콘카바이

드 13%, 쿼츠 13% 등이다. 최근 3년간의 트렌드를 보면 수익성이 상대적으로 우수한

실리콘카바이드와 쿼츠의 매출 비중이 뚜렷하게 증가하고 있다.

다변화된 고객기반 고객사별로는 SK하이닉스 42%, 삼성전자 32%, 국내 장비업체 11%, 해외 수출 10%

등으로 다변화된 구조를 갖췄다. 이 가운데 주목할 부분은 SK하이닉스 향 매출과 수출

의 비중이 확대되고 있다는 점이다. 동사는 2012년에 최대주주가 SKC로 바뀌면서 SK

그룹에 계열사로 편입됐다. SK 향 매출액은 인수 전인 2011년에는 80억 원 수준이었으

나 2016년에는 380억 원까지 증가한 것으로 파악된다. 반도체 공정장비용 부품 가운데

아직 국산화하지 못한 품목들이 다수 존재한다. 동사와 캡티브 고객사 사이의 유기적

협력체계가 구체화된다면 사업 아이템 다변화를 통한 성장이 이루어질 것이다.

수출비중 확대 추세 한편 해외시장 공략을 통한 외형 확대도 주요 전략 중 하나다. SKC솔믹스는 SK하이닉

스, 삼성전자 등 세계 메모리 반도체 산업의 리더와 오랜 거래관계를 가져왔다. 국내에

서 검증된 기술력과 품질을 기반으로 일본, 대만 등 해외 시장에서도 꾸준히 매출액을

늘려가고 있다. 동사의 세라믹 사업부 수출액은 2015년 90억 원에서 2016년 118억 원

으로 31% 증가한 것으로 추정된다. 향후 중국 시장 등을 집중 공략해 중장기적으로 수

출 비중을 30%대로 끌어올릴 것으로 예상된다.

[그림41] SKC 솔믹스 제품별 매출 비중 (2016 년 기준) [그림42] SKC 솔믹스 고객사별 매출 비중 (2016 년 기준)

자료: SKC솔믹스, 한화투자증권 리서치센터 추정 자료: 한화투자증권 리서치센터 추정

18%

35%

13%

18%

15%Al2O3

Si

SiC

기타

태양광(중단사업)

42%

32%

10%

16%SK하이닉스

삼성전자

해외

기타

Page 39: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

SKC솔믹스 (057500) [한화리서치]

39

태양광 소재 사업

완전히 철수

SKC솔믹스는 지난해 8월 태양광 사업에서 철수하기로 결정하고, 태양광 잉곳 그로워

등 설비 일체를 웅진에너지에 매각했다. 이로써 2010년 이 사업에 진출한 뒤로 단 한

번도 흑자를 내지 못하고 누적 영업손실 1015억 원을 기록한 태양광 잉곳/웨이퍼 사업

을 완전히 정리한 것이다. 6년여간의 기회비용을 따지자면 뼈아픈 사업실패다. 하지만

본업인 세라믹 사업부가 건재하다는 사실은 희망적이다.

세라믹 사업부는 건재 [그림43]에서 볼 수 있는 것처럼 동사의 세라믹 사업부는 2005년 이후 줄곧 흑자 기조

를 이어오고 있다. 심지어 금융위기로 수요 쇼크가 있었던 2009년에도 손익분기점 이상

의 수익성을 지켰다. 하지만 평균 15% 선을 유지하던 영업이익률이 2011~13년에는 평

균 6%대로 하락했다. 2010년 이전 시기는 세라믹 부품 국산화의 초기 단계였다고 볼

수 있다. 당시에는 중저가 부품 중심으로 운영해도 높은 마진을 확보할 수 있었다. 하지

만 2011년 이후에는 국내에서 경쟁이 치열해지고 판가 인하 속도가 빨라지면서 수익성

이 하락하기 시작했다. 더구나 이 시기에 태양광 소재 사업에 뛰어들면서 기업 역량이

분산된 부분도 실적 저하의 한 요인이 됐다.

외형과 수익성이

동반 개선되는

구조적 성장기에 진입

하지만 2014년부터 다시 10% 이상의 수익성을 회복하는데, 이는 고가형 제품 개발에

성공하고 양산 수율이 개선되는 등의 체질개선이 이루어졌기 때문이다. 영업이익률은

2014년에 안정화됐고, 2015~16년에는 과거 전성기 수준 이상인 18%대를 유지했다. 또

한 2011~14년에 800억 원대 초중반에서 정체했던 매출액도 2015년에는 868억 원으로

증가했고, 2016년에는 929억 원을 기록한 것으로 추정된다. 즉, 외형과 수익성이 동반

개선되는 구조적 성장기에 진입했다고 판단된다.

전방산업 환경 우호적 SKC솔믹스의 성장은 올해부터 가속화할 것이다. 캡티브 고객사인 SK하이닉스가 3D

낸드 시장에서 확고한 2위 업체로 자리잡기 위해 공격적인 증설에 나설 전망이기 때문

이다. SK하이닉스는 이천 M14 공장의 빈 공간에 3D 낸드 설비를 증설할 것으로 예상

되고, 이와 별도로 청주 공장도 3D 낸드 양산에 활용하기 위해 인프라 투자에도 나섰

다. 이는 2018년 이후에도 3D 낸드 관련 증설투자가 지속될 수 있다는 점을 시사한다.

[그림43] SKC 솔믹스 세라믹 부문 매출액/영업이익 추이와 전망

자료: 한화투자증권 리서치센터 추정

272

369 442

599

451

620

754

836 800 788

868 929

1,122

40 66 64 71 5

67 67 44 40 105

160 173 217

0%

5%

10%

15%

20%

25%

0

200

400

600

800

1,000

1,200

2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016E 2017E

(억원)

세라믹 부문 매출액 세라믹 부문 영업이익 영업이익률(우)

Page 40: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

SKC솔믹스 (057500) [한화리서치]

40

2017년 영업이익

71% 성장할 전망

2017년 매출액은 2% 성장한 1122억 원, 영업이익은 71% 증가한 217억 원을 기록할

것으로 추정한다. 중단한 태양광 사업 부문을 제거한 파인세라믹 사업부만의 실적으로

는 창사 이래 최대 규모다. 동사는 1) 캡티브 고객사 내에서의 사업 아이템 다각화, 2)

해외수출 비중 확대 등을 통해 내년에도 성장세를 더욱 가속화할 것으로 판단한다.

올해보다 내년에

더 기대되는 기업

동사는 점유율이 아직은 미미한 쿼츠 부문의 매출 확대를 위해 증설을 준비하고 있는

것으로 파악된다. 또한 증착, 확산, 식각 등의 공정에 머물고 있는 세라믹 공정부품 적

용 범위를 노광 공정에까지 확대할 가능성도 열려 있다. 아울러 지금까지 서비스 측면

에서만 진행해온 세정 사업의 규모를 키워 가공부터 세정, 재생까지 아우르는 토탈 솔

루션 제공 업체로 변모할 가능성도 크다. SK솔믹스는 올해도 실적이 크게 개선되겠지

만, 내년에 더 좋아질 기업이라고 판단한다.

[표6] 분기별 실적 전망 (단위: 십억 원)

1Q16 2Q16 3Q16 4Q16E 1Q17E 2Q17E 3Q17E 4Q17E 2014 2015 2016E 2017E

매출액 31.6 28.7 24.4 24.8 24.7 26.1 30.1 31.4 115.2 112.1 109.6 112.2

YoY 11% 3% -11% -12% -22% -9% 23% 27% 2% -3% -2% 2%

QoQ 13% -9% -15% 1% 0% 6% 15% 4%

파인세라믹 21.9 21.8 24.4 24.8 24.7 26.1 30.1 31.4 78.2 86.8 92.9 112.2

Al2O3 4.6 4.8 5.3 5.2 4.9 5.3 5.9 6.0 19.3 20.2 19.9 22.1

Si 9.6 9.9 9.6 9.8 9.7 10.3 11.2 11.5 42.3 39.3 38.8 42.7

SiC 2.8 2.8 4.1 4.4 4.2 4.6 6.1 6.7 5.8 11.7 14.1 21.7

기타 5.0 4.3 5.5 5.4 5.8 5.9 6.9 7.2 10.9 15.7 20.1 25.8

태양광(중단사업) 9.7 6.9

36.4 25.3 16.6

매출총이익 3.9 4.5 6.4 5.8 6.3 6.8 7.6 7.8 12.1 11.9 20.7 28.5

영업이익 1.9 1.7 4.8 4.3 4.8 5.2 5.7 5.9 3.3 3.5 12.6 21.7

YoY -5% -9% 25% -204% 156% 214% 21% 36% -117% 4% 266% 71%

QoQ -145% -12% 187% -9% 11% 9% 10% 2%

파인세라믹 4.1 4.1 4.8 4.3 4.8 5.2 5.7 5.9 10.5 16.0 17.3 21.7

태양광(중단사업) -2.3 -2.4 -7.2 -12.5 -4.7

세전이익 0.1 0.4 3.9 3.8 4.3 4.6 5.3 5.2 -12.4 -40.9 8.2 19.5

당기순이익 0.1 0.4 -37.9 3.8 4.2 4.5 5.2 5.1 -12.6 -41.1 -33.6 19.1

수익성

매출총이익률 12% 16% 26% 24% 26% 26% 25% 25% 10% 11% 19% 25%

영업이익률 6% 6% 19% 17% 19% 20% 19% 19% 3% 3% 12% 19%

파인세라믹 19% 19% 19% 17% 19% 20% 19% 19% 13% 18% 19% 19%

태양광(중단사업) -23% -35% -20% -49% -28%

세전이익률 0% 1% 16% 15% 17% 18% 18% 16% -11% -37% 7% 17%

순이익률 0% 1% -155% 15% 17% 17% 17% 16% -11% -37% -31% 17%

제품별 매출비중

Al2O3 14% 17% 22% 21% 20% 20% 20% 19% 17% 18% 18% 20%

Si 30% 34% 39% 40% 40% 39% 37% 37% 37% 35% 35% 38%

SiC 9% 10% 17% 18% 17% 18% 20% 21% 5% 10% 13% 19%

기타 16% 15% 22% 22% 23% 23% 23% 23% 9% 14% 18% 23%

태양광(중단사업) 31% 24% 32% 23% 15%

자료: 한화투자증권 리서치센터 추정

Page 41: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

SKC솔믹스 (057500) [한화리서치]

41

[ 재무제표 ]

손익계산서 (단위: 십억 원) 대차대조표 (단위: 십억 원)

12 월 결산 2014 2015 2016E 2017E 2018E 12 월 결산 2014 2015 2016E 2017E 2018E

매출액 115 112 110 112 138 유동자산 73 60 64 71 89

매출총이익 12 12 21 28 35 현금성자산 3 2 2 6 8

영업이익 3 3 13 22 26 매출채권 32 27 29 30 37

EBITDA 22 22 27 28 33 재고자산 37 31 33 34 43

순이자수익 -10 -8 -6 -5 -4 비유동자산 204 149 134 133 136

외화관련손익 -1 0 0 0 0 투자자산 24 19 16 17 19

지분법손익 0 0 0 0 0 유형자산 177 129 118 115 117

세전계속사업손익 -12 -41 8 19 24 무형자산 2 1 0 0 0

당기순이익 -13 -41 -34 19 23 자산총계 277 209 199 204 225

지배주주순이익 -13 -41 -34 19 23 유동부채 116 118 102 91 94

증가율(%) 매입채무 15 12 14 17 21

매출액 2.5 -2.7 -2.3 2.4 23.1 유동성이자부채 98 102 85 70 70

영업이익 흑전 3.6 265.8 71.3 20.4 비유동부채 105 72 70 68 63

EBITDA 15,330.0 -1.2 22.5 3.2 16.7 비유동이자부채 90 62 60 58 53

순이익률 적지 적지 적지 흑전 24.6 부채총계 222 190 173 159 157

이익률(%) 자본금 19 22 30 30 30

매출총이익률 10.5 10.6 18.9 25.4 25.5 자본잉여금 81 86 118 118 118

영업이익률 2.9 3.1 11.5 19.3 18.9 이익잉여금 -46 -87 -121 -102 -79

EBITDA마진 19.4 19.7 24.7 24.9 23.6 자본조정 0 0 0 0 0

세전이익률 -10.8 -36.5 7.5 17.0 17.2 자기주식 0 0 0 0 0

순이익률 -11.0 -36.7 -30.7 16.6 16.8 자본총계 55 20 26 45 68

현금흐름표 (단위: 십억 원) 주요지표 (단위: 원, 배)

12 월 결산 2014 2015 2016E 2017E 2018E 12 월 결산 2014 2015 2016E 2017E 2018E

영업현금흐름 -5 27 -20 23 11 주당지표

당기순이익 -12 -41 -34 19 23 EPS -326 -976 -746 304 378

자산상각비 19 19 14 6 7 BPS 1,412 458 424 727 1,106

운전자본증감 -18 14 -3 1 -12 DPS n/a n/a n/a n/a n/a

매출채권감소(증가) -10 8 -2 -1 -7 CFPS 551 522 -390 353 376

재고자산감소(증가) -3 7 -3 -1 -9 ROA(%) -4.4 -16.9 -16.5 9.3 10.9

매입채무증가(감소) -6 -2 2 3 4 ROE(%) -20.7 -109.9 -146.7 52.8 41.3

투자현금흐름 -3 -3 -3 -4 -8 ROIC(%) 1.0 1.2 7.1 12.6 14.6

유형자산처분(취득) -5 -3 -2 -4 -8 Multiples(x, %)

무형자산 감소(증가) 0 0 0 0 0 PER -5.7 -1.9 -6.3 15.6 12.5

투자자산 감소(증가) 4 0 0 0 0 PBR 1.3 4.1 11.2 6.5 4.3

재무현금흐름 2 -25 23 -15 -1 PSR 0.6 0.7 1.9 2.6 2.1

차입금증가 -12 -23 -17 -15 -1 PCR 3.3 3.6 n/a 13.4 12.6

자본증가 1 6 40 0 0 EV/ EBITDA 11.4 11.0 16.0 14.8 12.4

배당금지급 0 0 0 0 0 배당수익율 n/a n/a n/a n/a n/a

총현금흐름 21 22 -18 22 23 안정성(%)

(-) 운전자본증가(감소) 12 -9 3 -1 12 부채비율 403.2 955.7 663.6 355.1 230.9

(-) 설비투자 5 3 2 4 8 Net debt/Equity 335.3 816.4 551.6 273.1 168.2

(+) 자산매각 0 0 0 0 0 Net debt/EBITDA 822.6 731.9 530.4 436.7 350.1

Free Cash Flow 4 28 -23 19 3 유동비율 62.8 51.0 62.9 77.8 94.4

(-) 기타투자 8 -4 0 0 0 이자보상배율 0.3 0.4 2.2 4.2 6.0

잉여현금 -4 32 -23 19 3 자산구조(%)

NOPLAT 2 3 12 21 25 투하자본 90.0 89.4 90.1 87.6 86.9

(+) Dep 19 19 14 6 7 현금+투자자산 10.0 10.6 9.9 12.4 13.1

(-) 운전자본투자 12 -9 3 -1 12 자본구조(%)

(-) Capex 5 3 2 4 8 차입금 77.3 89.2 84.8 74.2 64.3

OpFCF 5 27 22 25 12 자본금 22.7 10.8 15.2 25.8 35.7

주: IFRS 연결 기준

Page 42: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

2017년 1월 9일

기업분석

티씨케이 (064760) 증설에 따른 외형 성장 및 수익성 개선 기대

▶Analyst 이승욱 [email protected] 3772-7684

Not Rated

현재 주가(1/6) 29,950원

상승여력 -

시가총액 3,497억원

발행주식수 11,675천주

52 주 최고가 / 최저가 44,400 / 26,100원

90 일 일평균 거래대금 11.99억원

외국인 지분율 37.0%

주주 구성

TOKAI CARBON CO.,LTD 35.4%

(주)케이씨텍 28.3%

주가수익률(%) 1개월 3개월 6개월 12개월

절대수익률 2.2 -10.9 -21.8 6.2

상대수익률(KOSPI) -0.8 -10.1 -26.7 -0.2

(단위: 십억 원, 원, %, 배)

재무정보 2014 2015 2016E 2017E

매출액 45 62 90 123

영업이익 7 16 28 39

EBITDA 12 22 36 49

지배주주순이익 5 13 24 33

EPS 407 1,128 2,038 2,850

순차입금 -22 -39 -43 -53

PER 20.8 23.6 14.7 10.5

PBR 1.2 3.3 3.0 2.4

EV/EBITDA 6.3 12.6 8.5 6.1

배당수익률 1.4 0.9 0.7 1.0

ROE 5.9 14.9 22.6 25.2 주가 추이

동사의 주력 제품인 SiC Ring 은 반도체 Etch(식각) 공정의 수율을 높

여주는 역할을 합니다. 글로벌 시장에서 동사의 독점체제가 유지되고

있는 가운데 Si 및 Quartz를 CVD SiC로 대체하려는 움직임은 동사의

중대한 성장기반이 될 것입니다.

반도체 장비용 고순도 흑연 제품 생산 업체

티씨케이는 전량 수입에 의존하던 반도체용 고순도 흑연 제품의 국내

생산을 위해 1996년에 설립됐다. 인조흑연 및 기타 탄소제품 제조 사

업이 주력이다. 반도체 및 태양전지용 실리콘 잉곳을 생산하는 장비에

쓰이는 흑연 부품을 국내 최초로 양산했다. 또한 반도체 공정 장비용

SiC 제품군과 SiC Coating 사업 등을 영위하고 있다.

실리콘 시장을 대체하는 실리콘카바이드

동사의 주력 제품인 SiC Ring 은 반도체 Etch(식각) 공정의 생산수율

을 높여주는 역할을 하는 부품이다. 과거에는 주로 실리콘(Si) 소재가

사용됐으나, 플라즈마 이온에 의한 침식 문제를 해결하기 위해 실리콘

카바이드(SiC)로 대체되는 과정에 있다. 동사가 개발한 SiC Ring은 Si

Ring에 비해 플라즈마에 대해 높은 내화학성과 내마모성을 보이며 수

명이 길다. 이 때문에 Si 대비 고가임에도 불구하고 삼성전자, LAM

Research, AMAT 등 글로벌 반도체 소자업체 및 장비업체가 채택률을

높여가고 있다. 지난해 SiC Ring 관련 경쟁사 출현 우려로 주가 조정

양상이 나타났다. 하지만 양산 적용을 위한 테스트 과정에 상당 기간이

필요할 것으로 보여 단기간 동사에 미치는 영향은 제한적일 것으로 판

단한다.

증설에 따른 외형 성장 및 수익성 개선 기대

2017년 매출액과 영업이익은 각각 1226억 원(전년 대비 +36.1%),

392억 원(전년 대비 +39.3%)으로 추정한다. 증가하는 SiC Ring 수요

에 대응하기 위해 지난해 3월 225억 원 규모의 증설투자를 단행했다.

이에 따라 2017년 SiC Ring 생산능력은 60% 증가할 것으로 예상되며,

올해부터 증설 효과가 반영되면서 외형 성장 및 수익성 개선이 이루어

질 것으로 예상된다.

0

50

100

150

200

0

20,000

40,000

60,000

16/01 16/06 16/11

(pt)(원)

티씨케이

KOSPI지수대비

Page 43: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

티씨케이 (064760) [한화리서치]

43

기업 개요

고순도 흑연 반도체 장비용

제품 생산

티씨케이는 과거 100% 수입에 의존하던 반도체용 고순도 흑연 제품을 국내에서 생산

하기 위해 1996년 케이씨텍, TOKAI CARBON CO. LTD, 슝크카본테크놀로지의 합작

계약에 의거하여 설립됐다. 동사는 반도체, 태양전지 및 LED용 부품을 전문적으로 제

조하고 판매한다. 반도체 및 태양전지용 실리콘 잉곳 그로워(Grower) 장비의 핵심부품

인 고순도 흑연을 국내 최초로 양산에 성공했다. 반도체 장비 업체에서 사용하는 Solid

SiC Wafer, SiC Ring 등 SiC 제품군도 생산한다. 아울러 반도체 ALD 장비에 사용되는

Susceptor와 LED Chip 생산용 Wafer Carrier도 판매하고 있다. 2016년 3분기 기준 제

품별 매출 비중은 Graphite 16%, Susceptor 8%, Solid SiC 75%, 기타 1%로 구성되어

있다.

[표7] 주요 제품 소개

제품 사용 용도

반도체 및 태양전지용

고순도흑연(Graphite)

제품

반도체용 실리콘 Wafer 및 태양전지용 Wafer를 생산하기 위한 Grower 장비의 부품으로

Graphite(인조흑연)를 원재료로 하여 생산되는 제품이다. 고온에 강한 내열성, 온도와 강도의

비례성, 높은 열전도율, 금속보다 낮은 열팽창률, 높은 내화학성을 가진다. 이런 특징으로 인하여

Grower 장비의 Heater, Crucible, Gr Shield/Ring 류, Reflector 등에 사용되고 있다.

LED MOCVD 장비용

고순도 SiC 제품

LED 용 Chip 생산장비의 핵심부품으로 사용되고 있으며, 정밀 가공기술 및 고순도흑연

일괄생산기술과 CVD SiC Coating 기술을 접목시킨 장비의 부품으로 Graphite(인조흑연)를

원재료로 하여 생산되는 제품이다. 초고순도, 내화학성으로 LED 용 LD(Laser Diode)용

Susceptor에 사용되고 있다.

반도체 장비용 SiC

Ring 제품

Plasma Etcher 장비 Chamber내의 상부에 장착되는 electrode는 Etching 공정 GAS

의 균일한 분사 및 Cathode 의 역할을 하는 부품으로, CVD solid SiC ring은 Si ring 대비 2배

이상의 Life time으로 장비 PM 주기가 증가 한다.

자료: 한화투자증권 리서치센터

[그림44] 티씨케이 실적 추이 [그림45] 티씨케이 매출 구성 비율(2016 년 3 분기 기준)

자료: 티씨케이, 한화투자증권 리서치센터 자료: 티씨케이, 한화투자증권 리서치센터

351

452

619

900

1226

35 71

161

282

3929.9

15.6

26.0

31.3 32.0

0

5

10

15

20

25

30

35

0

200

400

600

800

1,000

1,200

1,400

2013 2014 2015 2016E 2017E

(%)(억원)매출액(좌)

영업이익(좌)

OPM(우)8.3%

5.9%

7.2%

77.3%

1.3%반도체용 Graphite

태양광용 Graphite

LED Graphite

Solid SIC

기타

Page 44: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

티씨케이 (064760) [한화리서치]

44

SiC Ring 증설에 따른 외형 성장 및 수익성 개선 기대

SiC 링

글로벌 독점체제 유지

동사의 주력 제품인 SiC Ring 은 반도체 Etch(식각) 공정의 생산 수율을 높여주는 역할

을 하는 부품이다. 주로 실리콘(Si) 소재가 적용되고 있었으나, 플라즈마 이온 등에 의한

침식이 빠르게 진행되는 단점이 있었다. 동사가 2014 년에 최초로 개발한 SiC Ring은

플라즈마에 대한 내화학성과 내마모성이 뛰어나고 수명이 길다. 이에 따라 기존 Si 대비

고가임에도 불구하고 삼성전자, LAM Research, AMAT 등 글로벌 반도체 소자업체 및

장비업체가 적용을 확대하고 있다. 이에 따라 SiC Ring에 대한 수요는 빠르게 증가하고

있다. 글로벌 시장에서 동사의 독점체제가 유지되고 있는 가운데 Si 및 Quartz를 CVD

SiC로 대체하려는 움직임이 확대되고 있다는 점은 매력적인 투자포인트다.

경쟁사 진입에는

상당기간이 필요할 전망

지난해 SiC Ring 관련 경쟁사 출현 우려로 주가 조정 양상이 나타났다. SiC 제품은 제

조공법이 반응소결공법, 상압소결공법, CVD공법, ACM공업 등으로 다양한데, 이 가운

데 동사는 CVD(Chemical Vapor Deposition) 공법으로 SiC를 제조한다. CVD SiC는

고순도 제품용으로 가장 적합한 것으로 알려져 있으며, 경쟁사는 동사와 다른 공법을

적용하여 테스트를 진행 중이다. 제품 인증에 상당한 시간이 필요할 것으로 예상됨에

따라 단기간 동사에 미치는 영향은 제한적일 것으로 판단된다. 아울러 경쟁업체가 등장

한다고 하더라도 전방산업의 증설에 따라 시장의 전체 파이가 커지는 속도가 더 빠를

것으로 보여 SiC 링 사업의 외형은 고속 성장세가 유지될 것으로 예상한다.

[그림46] 티씨케이 제품 공정도

자료: 티씨케이, 한화투자증권 리서치센터

Page 45: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

티씨케이 (064760) [한화리서치]

45

2017년 영업이익

39% 성장한

392억원 예상

2017년 매출액과 영업이익은 각각 1226억 원(전년 대비 +36.1%), 392억 원(전년 대비

+39.3%)으로 추정한다. 동사는 증가하는 SiC Ring 수요에 대응하기 위해 따라 지난해

3월 225억 원 규모의 신규투자를 단행했다. 이에 따라 2017년 SiC Ring 생산능력은

60% 증가할 것으로 예상되며, 증설 효과가 본격적으로 반영되면서 외형 및 수익성 개

선이 이루어질 전망이다. 경쟁사 출현 우려가 있으나, SiC Ring 시장의 독점적인 지위는

향후 2~3년간 지속될 것으로 판단한다.

[표8] 티씨케이 실적 추이 및 전망 (단위: 억 원, %)

구분 1Q16 2Q16 3Q16 4Q16E 2015 2016E 2017E

Sales 212.0 229.0 235.6 224.0 619.3 900.5 1226.0

YoY(%) 67.7 50.9 40.0 29.6 36.9 45.4 36.1

반도체용 Graphite 22.5 20.5 19.5 19.0 78.6 81.5 83.0

태양광용 Graphite 14.5 19.1 14.0 13.0 52.5 60.6 62.0

LED Graphite 21.5 16.5 16.9 16.0 85.3 70.9 73.0

Solid SIC 151.8 170.8 182.2 175.0 394.7 679.8 1000.0

기타 1.6 2.1 3.1 1.0 8.2 7.7 8.0

OP 64.3 74.7 75.5 67.2 160.9 281.7 392.3

YoY(%) 127.1 113.6 48.9 43.3 126.8 75.1 39.3

OPM(%) 30.3 32.6 32.1 30.0 26.0 31.3 32.0

자료: 한화투자증권 리서치센터

Page 46: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

티씨케이 (064760) [한화리서치]

46

[ 재무제표 ]

손익계산서 (단위: 십억 원) 대차대조표 (단위: 십억 원)

월 결산 2013 2014 2015 2016E 2017E 월 결산 2013 2014 2015 2016E 2017E

매출액 35 45 62 90 123 유동자산 34 49 57 72 91

매출총이익 10 14 25 38 53 현금성자산 14 22 39 43 53

영업이익 3 7 16 28 39 매출채권 6 10 7 12 17

EBITDA 8 12 22 36 49 재고자산 14 11 9 15 20

순이자손익 0 1 1 1 1 비유동자산 50 42 46 56 69

외화관련손익 0 0 0 0 0 투자자산 2 2 1 1 1

지분법손익 0 0 0 0 0 유형자산 47 39 45 54 67

세전계속사업손익 3 6 15 28 39 무형자산 1 1 1 1 0

당기순이익 2 5 13 24 33 자산총계 84 91 103 128 160

지배주주순이익 2 5 13 24 33 유동부채 4 7 9 11 12

증가율(%) 매입채무 2 4 3 5 7

매출액 -28.2 29.0 36.9 45.4 36.1 유동성이자부채 0 0 0 0 0

영업이익 -56.5 102.7 128.2 75.1 39.1 비유동부채 2 2 1 1 1

EBITDA -32.0 42.7 78.1 67.6 35.7 비유동이자부채 0 0 0 0 0

순이익 -71.9 148.3 177.2 80.8 39.8 부채총계 6 9 9 11 13

이익률(%) 자본금 6 6 6 6 6

매출총이익률 28.8 31.2 39.6 42.4 43.1 자본잉여금 6 6 6 6 6

영업이익률 9.9 15.6 26.0 31.3 32.0 이익잉여금 66 70 82 104 135

EBITDA이익률 24.2 26.8 34.8 40.1 40.0 자본조정 0 0 0 0 0

세전이익률 8.0 13.1 24.7 30.8 31.6 자기주식 0 0 0 0 0

순이익률 5.5 10.5 21.3 26.4 27.2 자본총계 78 82 94 116 147

현금흐름표 (단위: 십억 원) 주요지표 (단위: 원, 배)

월 결산 2013 2014 2015 2016E 2017E 월 결산 2013 2014 2015 2016E 2017E

영업현금흐름 11 12 23 23 35 주당지표

당기순이익 2 5 13 24 33 EPS 164 407 1,128 2,038 2,850

자산상각비 5 5 5 8 10 BPS 6,676 7,048 8,056 9,974 12,604

운전자본증감 4 0 5 -9 -8 DPS 35 120 240 220 300

매출채권 감소(증가) 1 -4 2 -5 -4 CFPS 748 1,093 1,915 2,698 3,666

재고자산 감소(증가) 6 2 1 -6 -5 ROA(%) 2.2 5.4 13.5 20.6 23.1

매입채무 증가(감소) -2 1 0 2 2 ROE(%) 2.5 5.9 14.9 22.6 25.2

투자현금흐름 -13 -8 -21 -17 -23 ROIC(%) 3.5 9.1 24.0 37.9 40.3

유형자산처분(취득) -2 -5 -11 -17 -23 Multiples(x,%)

무형자산 감소(증가) 0 0 0 0 0 PER 46.2 20.8 26.7 14.8 10.6

투자자산 감소(증가) -11 -4 -14 0 0 PBR 1.1 1.2 3.7 3.0 2.4

재무현금흐름 0 0 0 -1 -3 PSR 2.5 2.2 5.7 3.9 2.9

차입금의 증가(감소) 0 0 0 0 0 PCR 10.1 7.7 15.7 11.2 8.2

자본의 증가(감소) 0 0 0 -1 -3 EV/EBITDA 8.7 6.3 14.5 8.5 6.1

배당금의 지급 0 0 0 1 3 배당수익률 0.5 1.4 0.8 0.7 1.0

총현금흐름 9 13 22 31 43 안정성(%)

(-)운전자본증가(감소) -4 4 -11 9 8 부채비율 7.9 10.7 9.9 9.6 8.9

(-)설비투자 2 5 12 17 23 Net debt/Equity -18.4 -26.8 -41.7 -37.3 -35.8

(+)자산매각 0 0 0 0 0 Net debt/EBITDA -168.8 -182.3 -181.7 -120.3 -107.5

Free Cash Flow 11 4 22 6 12 유동비율 903.3 698.4 656.0 686.3 736.0

(-)기타투자 0 -4 1 0 0 이자보상배율(배) n/a n/a n/a n/a n/a

잉여현금 11 8 21 6 12 자산구조(%)

NOPLAT 2 6 14 24 34 투하자본 79.5 71.8 58.0 62.2 63.7

(+) Dep 5 5 5 8 10 현금+투자자산 20.5 28.2 42.0 37.8 36.3

(-)운전자본투자 -4 4 -11 9 8 자본구조(%)

(-)Capex 2 5 12 17 23 차입금 0.1 0.0 0.0 0.0 0.0

OpFCF 10 2 19 6 13 자기자본 99.9 100.0 100.0 100.0 100.0

주: IFRS 연결 기준

Page 47: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

2017년 1월 9일

기업분석

뉴파워프라즈마 (144960) 성장성과 안정성을 겸비

▶Analyst 김병기 [email protected] 3772-7479 / RA 유창우 [email protected]

Not Rated

현재 주가(1/6) 24,150원

상승여력 -

시가총액 1,909억원

발행주식수 7,903천주

52 주 최고가 / 최저가 24,150 / 14,950원

90 일 일평균 거래대금 85.96억원

외국인 지분율 2.2%

주주 구성

최대규 33.0%

주가수익률(%) 1개월 3개월 6개월 12개월

절대수익률 54.3 n/a n/a n/a

상대수익률(KOSPI) 51.3 n/a n/a n/a

(단위: 십억 원, 원, %, 배)

재무정보 2014 2015 2016E 2017E

매출액 36 51 67 97

영업이익 6 8 13 19

EBITDA 7 9 14 21

지배주주순이익 5 8 12 18

EPS n/a n/a 1,967 2,909

순차입금 3 -11 -11 -13

PER n/a n/a 12.3 8.3

PBR n/a n/a 2.3 1.8

EV/EBITDA 0.4 -1.2 12.7 8.5

배당수익률 n/a n/a 0.0 0.0

ROE 12.2 16.9 20.2 23.9 주가 추이

뉴파워프라즈마는 반도체/디스플레이 증착 및 식각 장비에 필요한 플라

즈마 세정장치와 전원장치 전문 업체입니다. 주요 전방 산업인 3D낸드

와 OLED 에서 활발한 설비투자가 진행되고 있어 이에 연동한 성장이

예상됩니다. 국내에 경쟁업체가 없고 진입장벽이 높아 20% 안팎의 영

업이익률이 유지되고 있습니다. 성장성과 안정성을 두루 갖춘 기업이라

고 판단합니다.

우호적인 전방 산업 환경에 따라 실적 호조 이어질 전망

2017년 매출액과 영업이익은 전년대비 각각 44%, 53% 성장한 974억

원, 193억 원을 기록할 것으로 추정한다. 주요 고객사인 삼성전자와 삼

성디스플레이가 각각 3D낸드와 OLED 사업에서 대규모 설비 증설을

진행하고 있다. 동사의 주력제품인 플라즈마 세정장치와 전원장치는

증착, 식각 장비에 반드시 필요한 핵심부품이기 때문에 견고한 성장세

가 올해도 지속될 전망이다.

플라즈마 전원장치 적용 범위 확대

주요 제품 가운데 하나인 플라즈마 전원장치는 지금까지 디스플레이

공정 장비에만 적용됐다. 동사는 시장규모가 더 큰 반도체 장비용 전원

장치 시장에 진입하기 위해 개발력을 집중해왔다. 이르면 올해 하반기

부터 상용화에 성공할 것으로 예상되는데, 이 경우 내년부터 성장세에

탄력이 붙을 전망이다. 한편 플라즈마 전원장치는 디스플레이와 반도

체 이외에도 태양광, 의료기기 등 다양한 영역에 적용 가능하다. 플라

즈마 전원장치 매출비중은 현재 20%대 초반이나, 앞으로 비중이 확대

되면서 외형성장을 견인할 것으로 판단한다.

안정적 수입원에 높은 수익성까지 겸비

지난 10년간 동사의 평균 영업이익률은 17%로 꾸준히 높은 수억성

을 유지해왔다. 플라즈마 세정장치는 2년 주기로 교체가 필요한 소모

성 부품이다. 또한 플라즈마 전원장치는 정기적인 유지보수가 필요하

기 때문에 전방산업의 경기 변동에 대한 민감도가 상대적으로 낮다.

뉴파워프라즈마는 국내 유일의 플라즈마 세정장치, 전원장치 제조업

체로, 해외 경쟁사 대비 발빠른 AS 대응 능력을 갖추고 있다는 것도

강점이다.

0

50

100

150

0

10,000

20,000

30,000

16/1116/1216/1216/1216/1216/1216/1217/01

(pt)(원)

뉴파워프라즈마

KOSPI지수대비

Page 48: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

뉴파워프라즈마 (144960) [한화리서치]

48

기업 개요

반도체 및 디스플레이

플라즈마 핵심 부품 업체

반도체와 디스플레이 제조 과정에서 증착 및 식각 공정은 주로 고온, 고압의 플라즈마

상태에서 진행된다. 뉴파워프라즈마는 증착(CVD) 및 식각(Dry Etch) 공정 장비에 적용

되는 플라즈마 세정장치(RPG: Remote Plasma Cleaning Generator)와 플라즈마 전원장

치(RF Generator & Matcher)를 주력 아이템으로 하고 있다.

End User에 장비를

공급하는 Vendor들이

주요 고객

동사의 고객사는 반도체/디스플레이 장비업체다. End User는 삼성전자와 SK하이닉스,

삼성디스플레이, LG디스플레이 등이다. 원익IPS, 주성엔지니어링, ASM 등 반도체 장비

업체에 플라즈마 세정장치를 납품하고 있다. 원익IPS, ICD, 주성엔지니어링, AKT, 알박

코리아 등 디스플레이 장비업체로는 플라즈마 세정장치와 전원장치를 모두 공급한다.

우호적인 전방산업 환경 전방산업인 반도체와 디스플레이는 각각 3D 낸드와 OLED를 중심으로 대규모 설비 증

설이 진행되고 있다. 설비투자의 대부분은 공정용 장비에 투입되기 때문에 동사 제품에

대한 장비 업체들의 신규 수요가 크게 증가하고 있다.

꾸준히 발생되는 유지보수

매출을 통해 안정적 수익

확보 가능

공정 부품 산업은 교체수요가 꾸준히 발생한다는 점이 특징이다. 플라즈마 세정장치는

플라즈마에 직간접적으로 노출되기 때문에 2년 주기로 교체가 필요한 소모성 장비다.

플라즈마 전원장치 또한 역시 고전압을 관리하는 장비의 특성상 정기적인 유지보수가

필수다.

진입장벽이 높아 고수익성

유지 가능

전세계 플라즈마 세정장치 시장은 뉴파워프라즈마, MKS(미국), 어드밴스드에너지(미국)

등 3개 업체가 과점하고 있다. 한편 플라즈마 전원장치는 어드밴스드에너지, 교산(일

본), 다이헨(일본), 휴팅거(독일), 동사 등 5개사가 과점하고 있다. 이와 같은 과점적 경

쟁 구도는 높은 수익성의 기반이 된다. 지난 10년간 동사의 평균 영업이익률은 17% 수

준이다([그림48]).

[그림47] 뉴파워프라즈마 매출액 및 제품별 매출 비중 추이 [그림48] 뉴파워프라즈마 영업이익률 및 순이익률 추이

주: 막대그래프 안에 수치는 해당연도 제품별 매출비중을 말함

자료: 뉴파워프라즈마, 한화투자증권 리서치센터

자료: 뉴파워프라즈마, 한화투자증권 리서치센터

0

10

20

30

40

50

60

2013 2014 2015 1H16

(십억원)

RPG RF Generator&Matcher 유지보수

64.7

17.2

18.1

17.6

22.5

59.9

16.8

13.0

70.2

20.3

20.2

59.5

0

5

10

15

20

25

30

2007 2008 2009 2010 2011 2012 2013 2014 2015 1H16

(%)

영업이익률 순이익률

과거 10년 평균 영업이익률: 16.8%

과거 10년 평균 순이익률: 15.9%

Page 49: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

뉴파워프라즈마 (144960) [한화리서치]

49

사업부문별 현황과 전망 - RPG

공정 후 잔존하는 부산물을

프라즈마를 발생시켜

제거하는 장치

동사는 국내 최초, 전세계에서 두 번째로 플라즈마 세정장치(RPG) 개발에 성공했다.

RPG(Remote Plasma Cleaning Generator)는 증착(CVD) 공정 후 체임버 내에 남아있

는 부산물(Si, SiO2 등)을 플라즈마를 발생시켜 없애주는 역할을 한다. 부산물이 제거되

지 않으면 반도체 칩이나 디스플레이 패널의 수율이 악화될뿐만 아니라 장비 수명도 줄

기 때문에 Plama Cleanig은 중요한 공정 중 하나다.

제품 경쟁력을 기반으로

빠르게 글로벌 RPG 시장

점유율 확대해 나가는 중

동사 제품의 경쟁력은 1) 분리형 구조로 경쟁 제품보다 공정 개선 및 유지보수에 유리

하다는 점과, 2) 30리터 이상급 대용량 RPG 제품 라인업을 갖추고 있다는 점 등이다.

글로벌 RPG 시장은 2015년 기준 약 1000억 원 규모로 추산되며, 동사와 미국 MKS

및 어드밴스드에너지(AE) 3사가 과점하고 있다. 뉴파워프라즈마의 글로벌 RPG 시장

점유율은 33%로 추정된다.

반도체 공정이 미세화되며

RPG 역할 중요성 증가

반도체 공정 미세화는 RPG의 중요도를 높이는 요인이다. 반도체 공정이 미세화되면 공

정 후 남아있는 파티클을 제어하기 위한 플라즈마 세정장치의 역할이 더욱 더 커질수

밖에 없다. 글로벌 D램 시장은 10나노급 미세화 전쟁이 치열하게 전개되고 있다. 삼성

전자는 지난해 상반기 18나노 D램을 세계 최초로 양산하기 시작해 생산 비중을 빠르게

늘려가고 있다. SK하이닉스의 경우 21나노 공정의 안정화에 성공한 가운데 18나노 D램

개발에 속도를 내고 있는 것으로 파악된다.

디스플레이 LCD 패널

대형화에 따른 고용량 RPG

수요 증가

LCD 산업에서 10세대 이상급 초대형 라인으로 설비투자가 집중되고 있다는 점 역시

동사에 호재다. BOE, CSOT 등 중국 패널 업체들은 10세대급 LCD fab 투자에 본격적

으로 나서고 있다. 패널의 면적이 커지면 장비 역시 대형화되기 때문에 대용량 RPG 제

품 라인업을 보유한 동사의 경쟁력이 더욱 부각될 것으로 판단한다.

[그림49] 뉴파워프라즈마 RPG 매출액 추이 [그림50] 글로벌 RPG 시장 점유율(15 년 기준)

자료: 뉴파워프라즈마, 한화투자증권 리서치센터 자료: 뉴파워프라즈마, 한화투자증권 리서치센터

0

10

20

30

40

50

60

70

2013 2014 2015 2016E 2017E

(십억원)

64%

3%

33%

MKS

AE

뉴파워프라즈마

Page 50: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

뉴파워프라즈마 (144960) [한화리서치]

50

사업부문별 현황과 전망 - RF Generator & Matcher

가스를 주입시켜 플라즈마를

발생시키는 데 필요한

고출력 전원장치

RF Generator&Matcher(RFG)는 Pair로 구동하는 부품으로 증착(CVD) 및 식각(Dry

Etch) 장비에 적용된다. 체임버 내에 가스를 주입하여 플라즈마를 발생시키는 데 필요

한 고출력 전원 장치다. 동사는 삼성디스플레이, 원익IPS와 2011년 RFG 공동개발에 착

수했으며, 2013년 삼성디스플레이의 중국 쑤저우 LCD 라인에 제품을 공급하면서 시장

진입에 성공했다. 2016년에는 삼성디스플레이 중소형 OLED용 A3라인 투자를 기반으

로 출하량이 2배 이상 늘어난 것으로 추정된다.

적용공정 확대 및

공정난이도 증가에 따른 RF

전원장치 수요 증가

근래 들어 RFG는 다양한 공정에서 적용 범위가 확대되고 있다. 또한 공정의 난이도 상

승에 따라 복잡한 공정들을 소화하기 위해 한 체임버에 두 개 이상의 주파수를 복합하

여 사용하는 방식이 주류를 이루고 있다. 2015년 글로벌 RFG 시장 규모는 약 5000억

원 정도로 추산되며 2021년까지 연평균 7.2% 성장할 것으로 예상된다.

중국 패널 업체 양산라인

투자에 따른 동사 제품 수요

급증 전망

현재 동사의 RFG는 주로 디스플레이 산업에 적용되고 있다. 올해 글로벌 패널 업체들

은 10세대급 초대형 LCD와 중소형 플렉시블 OLED 라인을 대규모로 증설할 전망이

다. 동사는 글로벌 디스플레이용 CVD 시장의 절대 강자인 어플라이드 머트리얼즈의

협력업체로 설비투자 상승 사이클의 수혜를 충분히 누릴 것으로 예상된다.

반도체 RF 전원시장 진출은

또다른 기회 요인

한편 동사는 디스플레이보다 8배 이상 시장규모가 큰 반도체 공정용 RFG 시장에도 진

입을 시도하고 있다. 현재 기술 개발은 완료 단계로, 고객사 평가 등 상용화 준비 과정

을 진행 중인 것으로 파악된다. 반도체용 RFG 시장진입에 성공할 경우 대폭적인 실적

성장이 가능할 전망이다.

[그림51] 뉴파워프라즈마 RF Generator&Matcher 매출 전망 및 적용범위 확대

자료: 뉴파워프라즈마, 한화투자증권 리서치센터

0

50

100

150

200

250

300

350

400

2013 2014 2015 2016E 2017E 2018E

(억원)

디스플레이

반도체

연계 확장

사업군

우위시장

고성장에 따른

점유율 확대

디스플레이

신규투자 및

OLED 적용 확대에

따른 수혜

국내

디스플레이

높은 시장

점유율 확보

안정적

시장 확장

공정용

제품 개발을 통한

매출 증대

공정난이도

상승에 따른

장치 수요 증가

고부가가치

사업 진출로

수익성 확대

핵융합,

의료기기,

태양광,

터치패널 등

고부가가치사업

기반 구축

Page 51: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

뉴파워프라즈마 (144960) [한화리서치]

51

[ 재무제표 ]

손익계산서 (단위: 십억 원) 대차대조표 (단위: 십억 원)

월 결산 2013 2014 2015 2016E 2017E 월 결산 2013 2014 2015 2016E 2017E

매출액 32 36 51 67 97 유동자산 28 29 42 47 57

매출총이익 15 16 25 35 52 현금성자산 12 9 27 24 24

영업이익 5 6 8 13 19 매출채권 11 15 6 14 20

EBITDA 7 7 9 14 21 재고자산 4 5 8 9 13

순이자손익 0 -1 0 0 0 비유동자산 34 38 40 45 54

외화관련손익 0 0 0 0 0 투자자산 5 10 11 14 21

지분법손익 0 0 0 0 0 유형자산 29 27 28 30 32

세전계속사업손익 6 7 10 14 21 무형자산 0 1 1 1 1

당기순이익 6 5 8 12 18 자산총계 62 67 82 92 111

지배주주순이익 6 5 8 12 18 유동부채 17 19 19 19 21

증가율(%) 매입채무 6 5 4 6 9

매출액 32.1 11.5 41.1 33.0 45.0 유동성이자부채 11 12 10 9 8

영업이익 119.4 11.8 32.3 58.5 52.7 비유동부채 0 3 9 7 6

EBITDA 82.9 8.5 27.6 52.0 47.8 비유동이자부채 0 0 6 4 3

순이익 121.4 -1.0 48.2 49.0 47.9 부채총계 17 22 28 26 27

이익률(%) 자본금 3 3 3 3 3

매출총이익률 46.8 44.5 49.0 52.0 53.0 자본잉여금 8 4 4 4 4

영업이익률 16.8 16.8 15.8 18.8 19.8 이익잉여금 35 39 47 59 77

EBITDA이익률 20.9 20.4 18.4 21.1 21.5 자본조정 -1 -1 0 0 0

세전이익률 19.0 18.2 18.8 21.1 21.5 자기주식 0 0 0 0 0

순이익률 17.2 15.3 16.1 18.0 18.4 자본총계 45 45 54 66 84

현금흐름표 (단위: 십억 원) 주요지표 (단위: 원, 배)

월 결산 2013 2014 2015 2016E 2017E 월 결산 2013 2014 2015 2016E 2017E

영업현금흐름 3 4 14 2 5 주당지표

당기순이익 6 7 10 12 18 EPS n/a n/a n/a 1,967 2,909

자산상각비 1 1 1 2 2 BPS 6,902 7,327 8,762 10,728 13,637

운전자본증감 -6 -5 1 -7 -7 DPS n/a n/a n/a n/a n/a

매출채권 감소(증가) -8 -3 7 -8 -6 CFPS n/a n/a n/a 1,359 2,049

재고자산 감소(증가) 0 0 -4 0 -4 ROA(%) 9.2 8.5 11.2 13.9 17.6

매입채무 증가(감소) 3 -1 0 2 3 ROE(%) 13.1 12.2 16.9 20.2 23.9

투자현금흐름 -7 3 -12 0 -7 ROIC(%) 13.1 11.7 15.5 22.4 26.7

유형자산처분(취득) -1 -1 -2 -3 -4 Multiples(x,%)

무형자산 감소(증가) -5 0 0 0 0 PER n/a n/a n/a 12.3 8.3

투자자산 감소(증가) 0 2 -10 4 -2 PBR n/a n/a n/a 2.3 1.8

재무현금흐름 0 -7 6 -1 -1 PSR n/a n/a n/a 2.2 1.5

차입금의 증가(감소) -2 -7 5 -1 -1 PCR n/a n/a n/a 17.8 11.8

자본의 증가(감소) 0 0 0 0 0 EV/EBITDA -0.2 0.4 19.3 12.7 8.5

배당금의 지급 0 0 0 0 0 배당수익률 n/a n/a n/a n/a n/a

총현금흐름 10 9 14 8 13 안정성(%)

(-)운전자본증가(감소) 5 3 -7 7 7 부채비율 38.8 50.4 51.7 40.0 32.3

(-)설비투자 2 1 3 3 4 Net debt/Equity -3.4 6.3 -20.1 -16.4 -15.1

(+)자산매각 -5 0 1 0 0 Net debt/EBITDA -22.9 38.6 -116.0 -76.2 -60.4

Free Cash Flow -2 6 19 -2 1 유동비율 167.5 152.4 224.0 245.1 272.8

(-)기타투자 2 1 5 0 0 이자보상배율(배) 11.6 4.1 23.1 33.2 55.2

잉여현금 -3 5 14 -2 1 자산구조(%)

NOPLAT 5 5 7 11 16 투하자본 70.1 70.5 52.5 58.3 60.7

(+) Dep 1 1 1 2 2 현금+투자자산 29.9 29.5 47.5 41.7 39.3

(-)운전자본투자 5 3 -7 7 7 자본구조(%)

(-)Capex 2 1 3 3 4 차입금 19.2 21.1 23.7 17.2 12.2

OpFCF -1 3 12 2 7 자기자본 80.8 78.9 76.3 82.8 87.8

주: IFRS 연결 기준

Page 52: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

반도체 공정부품 특집 [한화리서치]

52

[ Compliance Notice ] (공표일: 2017년 1 월 6 일)

이 자료는 조사분석 담당자가 객관적 사실에 근거해 작성하였으며, 타인의 부당한 압력이나 간섭없이 본인의 의견을 정확하게

반영했습니다. (김병기, 이승욱, 유창우)

본인은 이 자료에서 다룬 종목과 관련해 공표일 현재 관련 법규상 알려야 할 재산적 이해관계가 없습니다.

저희 회사는 이 자료를 기관투자자 또는 제3 자에게 사전에 제공한 사실이 없습니다.

저희 회사는 공표일 현재 이 자료에서 다룬 종목의 발행주식을 1% 이상 보유하고 있지 않습니다.

이 자료는 투자자의 증권투자를 돕기 위해 당사 고객에 한하여 배포되는 자료로서 저작권이 당사에 있으며 불법 복제 및 배포를 금

합니다. 이 자료에 수록된 내용은 당사 리서치센터가 신뢰할 만한 자료나 정보출처로부터 얻은 것이지만, 당사는 그 정확성이나 완

전성을 보장할 수 없습니다. 따라서 이 자료는 어떠한 경우에도 고객의 증권투자 결과와 관련된 법적 책임소재에 대한 증빙으로 사

용될 수 없습니다.

[ 아이원스 주가 및 목표주가 추이 ]

[ 투자의견 변동 내역 ]

일 시 2016.08.12 2017.01.06 2017.01.06

투자의견 투자등급변경 담당자변경 Buy

목표가격 김병기 28,000

[ 원익QnC 주가 및 목표주가 추이 ]

[ 투자의견 변동 내역 ]

일 시 2016.08.12 2017.01.06 2017.01.06

투자의견 투자등급변경 담당자변경 Buy

목표가격 김병기 25,000

0

10,000

20,000

30,000

40,000

15/01 15/04 15/07 15/10 16/01 16/04 16/07 16/10 17/01

(원)

종가 목표주가

0

5,000

10,000

15,000

20,000

25,000

30,000

15/01 15/04 15/07 15/10 16/01 16/04 16/07 16/10 17/01

(원)

종가 목표주가

Page 53: 반도체 공정부품 - EQUITYequity.co.kr/upfile/issue/2017/01/06/hanwha170106-0012.pdf · 통상적으로 체임버 내부에 쓰이는 부품은 고온, 부식, 화학적 작용에

반도체 공정부품 특집 [한화리서치]

53

[ 종목 투자등급 ]

당사는 개별 종목에 대해 향후 1년간 +15% 이상의 절대수익률이 기대되는 종목에 대해 Buy(매수) 의견을 제시합니다. 또한 절대수

익률 -15~+15%가 예상되는 종목에 대해 Hold(보유) 의견을, -15% 이하가 예상되는 종목에 대해 Sell(매도) 의견을 제시합니다. 밸류

에이션 방법 등 절대수익률 산정은 개별 종목을 커버하는 애널리스트의 추정에 따르며, 목표주가 산정이나 투자의견 변경 주기는 종

목별로 다릅니다.

[ 산업 투자의견 ]

당사는 산업에 대해 향후 1년간 해당 업종의 수익률이 과거 수익률에 비해 양호한 흐름을 보일 것으로 예상되는 경우에 Positive(긍정

적) 의견을 제시하고 있습니다. 또한 향후 1년간 수익률이 과거 수익률과 유사한 흐름을 보일 것으로 예상되는 경우에 Neutral(중립적)

의견을, 과거 수익률보다 부진한 흐름을 보일 것으로 예상되는 경우에 Negative(부정적) 의견을 제시하고 있습니다. 산업별 수익률 전

망은 해당 산업 내 분석대상 종목들에 대한 담당 애널리스트의 분석과 판단에 따릅니다.

[ 당사 조사분석자료의 투자등급 부여 비중 ] (기준일: 2016년 12 월 31 일)

투자등급 매수 중립 매도 합계

금융투자상품의 비중 78.7% 21.3% 0.0% 100.0%