eea051 - digital logic combinational circuits – consist of · eea051 - digital logic 數位邏輯...

14
1 吳俊興 高雄大學 資訊工程學系 October 2004 EEA051 - Digital Logic 數位邏輯 2 4-1 Combinational Circuits 4-2 Analysis Procedure 4-3 Design Procedure 4-4 Binary Adder-Subtractor 4-5 Decimal Adder 4-6 Binary Multiplier 4-7 Magnitude Comparator 4-8 Decoders 4-9 Encoders 4-10 Multiplexers 4-11 HDL For Combinational Circuits 3 Combinational Circuits – Consist of logic gates whose outputs at any time are determined from the present combination of inputs input variables, logic gates, and output variables – The logic gates accept signals from the inputs and generate signals to the output Sequential Circuits – Consist of memory storage elements and logic gates Their outputs are a function of the inputs and the state of the storage elements The state of storage elements is a function of previous inputs – The outputs of a a sequential circuit also depend on the past inputs 4 Transform binary information from the given input data to a required output data n input variables •2 n possible binary input combinations • (2 n ) 2 =2 2n possible Boolean functions m output variables each output function is expressed in terms of the n input variables described by m Boolean functions Standard combinational circuits available in MSI, standard cells in complex VLSI circuits i.e. adders, subtractors, comparators, decoders, encoders, multiplexers

Upload: others

Post on 15-Mar-2020

3 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: EEA051 - Digital Logic Combinational Circuits – Consist of · EEA051 - Digital Logic 數位邏輯 2 4-1 Combinational Circuits 4-2 Analysis Procedure 4-3 Design Procedure 4-4 Binary

1

吳俊興高雄大學 資訊工程學系

October 2004

EEA051 - Digital Logic數位邏輯

2

4-1 Combinational Circuits4-2 Analysis Procedure4-3 Design Procedure4-4 Binary Adder-Subtractor4-5 Decimal Adder4-6 Binary Multiplier4-7 Magnitude Comparator4-8 Decoders4-9 Encoders4-10 Multiplexers4-11 HDL For Combinational Circuits

3

Combinational Circuits– Consist of logic gates whose outputs at any time are

determined from the present combination of inputs• input variables, logic gates, and output variables

– The logic gates accept signals from the inputs and generate signals to the output

Sequential Circuits– Consist of memory storage elements and logic gates

• Their outputs are a function of the inputs and the state of the storage elements

• The state of storage elements is a function of previous inputs– The outputs of a a sequential circuit also depend on the past

inputs

4

Transform binary information from the given input data to a required output data

– n input variables• 2n possible binary input combinations• (2n)2=22n possible Boolean functions

– m output variables• each output function is expressed in terms of the n input variables• described by m Boolean functions

Standard combinational circuits– available in MSI, standard cells in complex VLSI circuits– i.e. adders, subtractors, comparators, decoders, encoders,

multiplexers

Page 2: EEA051 - Digital Logic Combinational Circuits – Consist of · EEA051 - Digital Logic 數位邏輯 2 4-1 Combinational Circuits 4-2 Analysis Procedure 4-3 Design Procedure 4-4 Binary

5

Start with a given logic diagram and culminate with a set of Boolean functions, a truth table, or a possible explanation of the circuit operation

• Make sure the given circuit is combinational and not sequential

– no feedback paths or memory elements• A feedback path is a connection from the output of one gate to

the input of a second gate that forms part of the input to the first gate

• Obtain the output Boolean functions or the truth table

6

Obtaining Boolean

Functions

First, obtain functions of input variables

7

Obtaining Truth Table

A 3-bit full-adderF1: the sumF2: the carry

8

Obtain output Boolean functions from a logic diagram:

Obtain the truth table directly from a logic diagram:

Page 3: EEA051 - Digital Logic Combinational Circuits – Consist of · EEA051 - Digital Logic 數位邏輯 2 4-1 Combinational Circuits 4-2 Analysis Procedure 4-3 Design Procedure 4-4 Binary

9

10

Constraints in a practical design:– number of gates– number of inputs to a gate– propagation time of the signal through the gates– number of interconnections– limitations of the driving capability of each gate– etc.

The design of combinational circuits:• start from the specification of the problem and• culminates in a logic circuit diagram

or a set of Boolean functions

11

Code Conversion Example – BCD to Excess-3 Code

12

Code Conversion Example – BCD to Excess-3 Code (cont.)

Two-level implementation (Figure 4-3)

• 7 AND gates• 3 OR gates

Multiple-level two-input implementation (Figure 4-4)

• 4 AND gates• 4 OR gates

Page 4: EEA051 - Digital Logic Combinational Circuits – Consist of · EEA051 - Digital Logic 數位邏輯 2 4-1 Combinational Circuits 4-2 Analysis Procedure 4-3 Design Procedure 4-4 Binary

13

A majority circuit is a combinational circuit whose output is equal to 1 if the input variables have more 1’s than 0’s. The output is 0 otherwise. Design a 3-input majority circuit.

14

Addition of two binary digits– The most basic arithmetic operation– 4 possible elementary operations

• one-digit sum: 0 + 0 = 0, 0 + 1 = 1, 1 + 0 = 1, and• two-digit sum: 1 + 1 = 10 (augend, addend, carry)

• Half adder– A combinational circuit that performs the addition of two bits

• Full adder– A combinational circuit that performs the addition of three

bits (two significant bits and a previous carry)– can be implemented by two half adders– Connecting n full addres in cascade produces a binary

adder for two n-bit numbers• Binary adder-subtractor

– A combinational circuit that performs the arithmetic operations of addition and subtraction with binary numbers

15

Two inputs: x and yTwo outputs:

• Sum S = x’y + xy’• Carry C = xy

It can also be implemented with an exclusive-OR and an AND gate

16

C

Page 5: EEA051 - Digital Logic Combinational Circuits – Consist of · EEA051 - Digital Logic 數位邏輯 2 4-1 Combinational Circuits 4-2 Analysis Procedure 4-3 Design Procedure 4-4 Binary

17

Implemented with two half adders and one OR gate

Two-level AND-OR Implementation

18

Binary Adder – Sum of Two Binary Numbers• An n-bit adder requires n full adders with each output carry

connected to the input carry of the next higher-order full adder• 4-bit adder: Interconnection of four full adder (FA) circuits

Example: A=1011 and B=0011

19

• Total propagation time= propagation delay of a typical gate

* number of gate levels in the circuit• The longest propagation delay time in an adder is

the time it takes the carry to propagate through the full adders

– Inputs Ai and Bi are available as soon as input signals are applied to the adder

– The value of Si in any given stage will be in its steady state final value only after the input carry to that stage has been propagated

– C3 has to wait for C2, C2 has to wait for C1 and so on down to C0

• A limiting factor on the speed with which two numbers are added

20

• The signal from the input carry Ci to the output carry Ci+1 propagates through an AND gate and an OR gate, which constitute two gate levels

– For an n-bit adder, there are 2n gate levels for the carry to propagate from input to output

• 4-bit adder– Carry propagation: 2 * 4 = 8 gate levels from C0 to C4– Critical path: 9 gate levels (3 for C0)

Page 6: EEA051 - Digital Logic Combinational Circuits – Consist of · EEA051 - Digital Logic 數位邏輯 2 4-1 Combinational Circuits 4-2 Analysis Procedure 4-3 Design Procedure 4-4 Binary

21

1.Employ faster gates with reduced delays• Physical circuits have a limit to their capability

2.Complicated techniques for parallel adders• Principle of carry lookahead

Pi = Ai⊕BiGi = AiBisum Si = Pi⊕Cicarry Ci+1 = Gi + PiCi

Definitions of two new variables• carry generate Gi: produces a carry of 1 when both Ai and

Bi are 1, regardless of the input carry Ci• carry propagate Pi: the term associated with the

propagation of the carry from Ci to Ci+1

22

carry Ci+1 = Gi + PiCi

Pi, Gi, and Ci do not have to wait for carries from previous stages

Pi = Ai⊕BiGi = AiBi

Implemented by two-level AND-OR

23

Pi = Ai⊕BiGi = AiBiSi = Pi⊕Ci

(2 levels of gates) All output carries are

generated concurrently by the carry lookaheadgenerator after a delay through two levels of gates

24

Subtracting A – B= A + (1’s complement of B) + 1

= A + ((rn-1) – B)) – rn + 1= A + (2’s complement of B)

= A + (rn – B) – rn

V: to detect an overflow for signed numbers

Page 7: EEA051 - Digital Logic Combinational Circuits – Consist of · EEA051 - Digital Logic 數位邏輯 2 4-1 Combinational Circuits 4-2 Analysis Procedure 4-3 Design Procedure 4-4 Binary

25

M=0, the circuit is an adder (B⊕0 = B)M=1, the circuit is a subtractor (B⊕1 = B’, C0=1)

Binary numbers in the signed-complement system are added and subtracted by the same basic addition and subtraction rules as unsigned numbers

26

When two numbers of n digits each are added and the sum occupies n+1 digits, an overflow occurs

– Addition of two unsigned numbers: detected from the end carry of the most significant position

– Addition of two signed numbers: May occur if the two numbers are both positive or both negative

•Signed numbers– the leftmost bit always represents the sign and negative

numbers are in 2’s complement form– Addition: the sign bit is treated as part of the number and

the end carry does not indicate an overflow

27

Examples: two signed binary numbers, +70 and +80, stored in two 8-bit register (+127 to -128)

Detecting overflow condition by observing• the carry into the sign bit position• the carry out of the sign bit positionAn overflow has occurred if two carries are not equal(V bit)

28

•Overflow for unsigned numbersC bit detects a carry after addition or a borrow after subtraction

•Overflow for signed numbersV=0 after an addition or subtraction: indicate no overflowV=1: the result of the operation contains n+1 bits

• An overflow has occurred• The (n+1)th bit is the actual sign and has been shifted out of position

Overflow Detection

Page 8: EEA051 - Digital Logic Combinational Circuits – Consist of · EEA051 - Digital Logic 數位邏輯 2 4-1 Combinational Circuits 4-2 Analysis Procedure 4-3 Design Procedure 4-4 Binary

29

• Binary adder: (1000)2 + (1001)2 = (1 0001)2• BCD adder: (1000)BCD + (1001)BCD = (1 0111)BCD• Decimal adder: 8 + 9 = 17

10019010041000800113011170010201106000110101500000

Keypad

Display

5-bit binary-to-BCD

converter

4-bit binaryadder

BCD / DecimalAdder

30

Convert5 bits into

2 BCD digits

=+ 0C=0

⇒+0110C=1

C=K+Z8Z4+Z8Z2 Sum=KZ8Z4Z2Z1+00CC0

31

Figure 4-14 Block diagram of a BCD Adder

C=K+Z8Z4+Z8Z2

Sum=KZ8Z4Z2Z1+00CC0

32

Multiplication of binary numbers is performed in the same way as in decimal numbers

– partial product: the multiplicand is multiplied by each bit of the multiplier starting from the least significant bit

2 8* 1 3

6 + 2 42 83 6 4

1 1 0 6* 1 1 0 1 * 1 3

1 1 0 1 80 0 0 6

1 1 0 7 81 1 0

1 0 0 1 1 1 0

Page 9: EEA051 - Digital Logic Combinational Circuits – Consist of · EEA051 - Digital Logic 數位邏輯 2 4-1 Combinational Circuits 4-2 Analysis Procedure 4-3 Design Procedure 4-4 Binary

33

Multiplication of two bits = A * B (AND)0 * 0 = 0 0 * 1 = 0 1 * 0 = 0 1 * 1 = 1

2-bit by 2-bit binary multiplier

34

B3 B2 B1 B0

1 1 0 1 1 3* 1 1 0 * 6

0 0 0 0 A0 1 8+ 1 1 0 1 A1 6

1 1 0 1 0 7 8+ 1 1 0 1 A2

1 0 0 1 1 1 0

35

A combinational circuit that compares two numbers, A and B, and determines their relative magnitudes: A>B, A=B, or A<B

4-bit comparator: A=A3A2A1A0, B=B3B2B1B0

Using a binary subtractor:A-B>0, A-B=0, or A-B<0

(A=B): A3=B3, A2=B2, A1=B1, A0=B0

xi = AiBi + Ai’Bi’XOR-Invert = (AiBi’+Ai’Bi)’

= (Ai’+Bi)(Ai+Bi’)= Ai’Ai + Ai’Bi’ + AiBi + BiBi’= AiBi + Ai’Bi’

(A>B) = A3B3’ + x3A2B2’ + x3x2A1B1’+ x3x2x1A0B0’

(A<B) = A3’B3 + x3A2’B2 + x3x2A1’B1

+ x3x2x1A0’B0

36

A combinational circuit that converts binary information from n input lines to a maximum of2n unique output lines

n-to-m-line decoders: generate m (=2n or fewer) minterms of n input variables

Page 10: EEA051 - Digital Logic Combinational Circuits – Consist of · EEA051 - Digital Logic 數位邏輯 2 4-1 Combinational Circuits 4-2 Analysis Procedure 4-3 Design Procedure 4-4 Binary

37

Decoder with Enable Input using NAND• More economical to generate the decoder minterms in their

complemented form using NAND gates• Support one or more enable inputs to control the circuit operation

–e.g. disabled when E is equal to 1 (no outputs)–same as a Demultiplexer: a circuit that receives information from a single

line and directs it to one of 2n possible output lines• Selection of a specific output is controlled by bit combination of n selection lines

38

Connecting multiple decoders to form a larger one

w=0: the top decoder is enabled (0000 … 0111: D0 to D7)w=1: the bottom decoder is enabled (1000 … 1111:D8 to D15)

wxyz D0D1*****D7 D8******D150000 0111 1111 1111 11110001 1011 1111 1111 11110010 1101 1111 1111 11110011 1110 1111 1111 11110100 1111 0111 1111 11110101 1111 1011 1111 11110110 1111 1101 1111 11110111 1111 1110 1111 1111

1000 1111 1111 0111 11111001 1111 1111 1011 11111010 1111 1111 1101 11111011 1111 1111 1110 11111100 1111 1111 1111 01111101 1111 1111 1111 10111110 1111 1111 1111 11011111 1111 1111 1111 1110

• A decoder provides the 2n minterm of n input variable• Any combinational circuit with n inputs and m outputs can be

implemented with an n-to-2n-line decoder and m OR gates

39

Large input with NOR: if the number of minterms is greater than 2n/2, then F’ can be expressed with fewer minterms

40

Problem 4-25 Construct a 5-to-32-line decoder with four 3-to-8-line decoders with enable and a 2-to-4-line decoder. Use block diagrams for the components

Page 11: EEA051 - Digital Logic Combinational Circuits – Consist of · EEA051 - Digital Logic 數位邏輯 2 4-1 Combinational Circuits 4-2 Analysis Procedure 4-3 Design Procedure 4-4 Binary

41

An encoder has 2n (or fewer) input lines and n output lines, which generate the binary code corresponding to the input valueOctal-to-binary encoder: 8 inputs (one for each of

the octal digits) and three outputs generating the corresponding binary number

•multiple inputs: undefined => priority encoder•Input with all 0’s = D0 is equal to 1

42

An encoder circuit that includes the priority function: if two or more inputs are equal to 1 at the same time, the input having the highest priority will take precedence

v: valid bit indicator that is set to 1 when one or more inputs are equal to 1

X in inputs: condensed form, either 0 or 1

X in outputs: don’t-care

43

Problem 4-29 Design a 4-input priority encoder with inputs as in Table 4-8, but with inputs D0having the highest priority and input D3 the lowest priority

44

A combinational circuit that selects binary information from oneof many input lines and directs it to a single output line

– Normally, there are 2n input lines and n selection lines whose bit combinations determine which input is selected

– also called a data selector2-to-1-line multiplexer: two data input lines, one output line, and one selection line S

S=0: the upper AND is enabled and Y = I0

S=1: the lower AND is enabled and Y = I1

Page 12: EEA051 - Digital Logic Combinational Circuits – Consist of · EEA051 - Digital Logic 數位邏輯 2 4-1 Combinational Circuits 4-2 Analysis Procedure 4-3 Design Procedure 4-4 Binary

45

Four inputs: I0 through I3Selection lines S1 and S0

46

Quadruple 2-to-1-line multiplexer with enable input

• Select one of two 4-bit sets of data lines

• 4 multiplexers, each capable of selecting one of two input lines

• Selection line S• Enable line E

47

Problem 4-31 Construct a 16x1 multiplexer with two 8x1 and one 2x1 multiplexers. Use block diagrams

48

Implementing a Boolean function of n variables with a multiplexer that has n-1 selection lines (2n-1 inputs)

– the first n-1 variables are connected to the selection inputs– the remaining single variable is used for the data input: z, z’, 1, or 0

Example: F(x, y, z) = Σ(1, 2, 6, 7)– x, y: selection inputs S1 and S0

Implementing with a multiplexer of n selection lines

Page 13: EEA051 - Digital Logic Combinational Circuits – Consist of · EEA051 - Digital Logic 數位邏輯 2 4-1 Combinational Circuits 4-2 Analysis Procedure 4-3 Design Procedure 4-4 Binary

49

Implementing a 4-input function with a multiplexerF(A, B, C, D) = Σ(1, 3, 4, 11, 12, 13, 14, 15)

1. List the truth table of F2. Evaluate the output as a function of the last variable: 0, 1, the

variable, or the complement of the variable3. Apply the first n-1 variables to the selection inputs4. Connect 0, 1, the variable and the complement of the variable to the

data inputs according to the results of step 2

50

Problem 4-32 Implement the following Boolean function with a multiplexer: F(A, B, C, D) = Σ(0, 1, 3, 4, 8, 9, 15)

51

Decoder (Demultiplexer), Encoder and Multiplexer

•Enable input•Priority encoder•Construction of a large circuit with small ones•Boolean function implementation

52

Decoder (Demultiplexer), Encoder and Multiplexer

Page 14: EEA051 - Digital Logic Combinational Circuits – Consist of · EEA051 - Digital Logic 數位邏輯 2 4-1 Combinational Circuits 4-2 Analysis Procedure 4-3 Design Procedure 4-4 Binary

53

Three-State Gates• A digital circuit that exhibits three states

– Two of the states are signal equivalent to logic 1 and 0– The third state is a high-impedance state, which behaves like a

disconnected open circuit– May perform as AND, NAND, buffer, etc

• A multiplexer can be constructed with three-state gates

54

Chapter 4 Combinational Logic4-1 Combinational Circuits4-2 Analysis Procedure4-3 Design Procedure4-4 Binary Adder-Subtractor

– HA, FA, Binary Adder/subtractor– propagation, overflow

4-5 Decimal Adder4-6 Binary Multiplier4-7 Magnitude Comparator4-8 Decoders4-9 Encoders4-10 Multiplexers4-11 HDL For Combinational Circuits