ise design suite 13 - xilinxug631 (v 13.1) japan.xilinx.com ise design suite 13 : リリース...

42
ISE Design Suite 13 : リリース ノート ガイド UG631 (v 13.1) 2011 3 1

Upload: others

Post on 25-Feb-2021

3 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

ISE Design Suite 13 : リ リース ノ ー ト ガイ ド

UG631 (v 13.1) 2011 年 3 月 1 日

Page 2: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of designs to operate with Xilinx hardware devices.You may not reproduce, distribute, republish, download, display, post, or transmit the Documentation in any form or by any means including, but not limited to, electronic, mechanical, photocopying, recording, or otherwise, without the prior written consent of Xilinx.Xilinx expressly disclaims any liability arising out of your use of the Documentation.Xilinx reserves the right, at its sole discretion, to change the Documentation without notice at any time.Xilinx assumes no obligation to correct any errors contained in the Documentation, or to advise you of any corrections or updates.Xilinx expressly disclaims any liability in connection with technical support or assistance that may be provided to you in connection with the Information.

THE DOCUMENTATION IS DISCLOSED TO YOU “AS-IS” WITH NO WARRANTY OF ANY KIND.XILINX MAKES NO OTHER WARRANTIES, WHETHER EXPRESS, IMPLIED, OR STATUTORY, REGARDING THE DOCUMENTATION, INCLUDING ANY WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE, OR NONINFRINGEMENT OF THIRD-PARTY RIGHTS.IN NO EVENT WILL XILINX BE LIABLE FOR ANY CONSEQUENTIAL, INDIRECT, EXEMPLARY, SPECIAL, OR INCIDENTAL DAMAGES, INCLUDING ANY LOSS OF DATA OR LOST PROFITS, ARISING FROM YOUR USE OF THE DOCUMENTATION.

© Copyright 1995 - 2011.Xilinx, Inc. XILINX, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, and other designated brands included herein are trademarks of Xilinx in the United States and other countries.All other trademarks are the property of their respective owners.

本資料は英語版 (v 13.1) を翻訳し た もので、 内容に相違が生じ る場合には原文を優先し ます。

資料によ っては英語版の更新に対応し ていないものがあ り ます。

日本語版は参考用と し てご使用の上、 新情報につき ま し ては、 必ず 新英語版をご参照 く ださい。

ISE Design Suite 13 : リ リース ノ ー ト ガイ ド japan.xilinx.com UG631 (v 13.1)

Page 3: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

改定履歴次の表に、 こ の文書の改定履歴を示し ます。

日付 バージ ョ ン 改定内容

2011 年 3 月 1 日

13.1 13.1 リ リ ース用にア ッ プデー ト 。 「ダウ ン ロードおよびイ ン ス ト ール」 と 「ラ イセン スの取

得と管理」 の章は、 『ISE Design Suite 13 : イ ン ス ト ールおよびラ イセン ス ガ イ ド』 (iil.pdf) に移動し ま し た。

UG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リ リース ノ ー ト ガイ ド

Page 4: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

ISE Design Suite 13 : リ リース ノ ー ト ガイ ド japan.xilinx.com UG631 (v 13.1)

Page 5: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

このマニュアルについて

こ の マ ニ ュ ア ルでは、 ザ イ リ ン ク ス の ISE (Integrated Software Environment) ソ フ ト ウ ェ ア、

ChipScope Pro™ ソ フ ト ウ ェア、エンベデッ ド ツール (エンベデッ ド開発キ ッ ト (EDK) およびス タ

ン ド ア ロ ンのソ フ ト ウ ェア開発キ ッ ト (SDK)、 System Generator for DSP、 PlanAhead™ などを含

む ISE® Design Suite 13 の リ リ ース情報、 およびザイ リ ン ク ス®のオン ラ イ ン マニュ アルの使用方

法について説明し ます。

また、 ISE Design Suite 13 のテ ク ニカル サポー ト および既知の問題について も説明し ます。

ISE Design Suite 13 のダウ ン ロード、 イ ン ス ト ール、 ラ イセン ス取得方法については、『ISE Design Suite 13 : イ ン ス ト ールおよびラ イセン ス ガイ ド』 (iil.pdf) を参照し て く ださい。

マニュアルの内容 こ のマニュ アルには、 次の章が含まれます。

• 第 1 章 「13.1 リ リ ースの新機能」

• 第 2 章 「重要な リ リ ース情報」

• 第 3 章 「アーキテ クチャ サポー ト およびシステム要件」

• 第 4 章 「テ ク ニカル サポー ト 、 サービ ス、 関連文書」

• 第 5 章 「その他の リ ソース」

ISE Design Suite 13 : リ リース ノ ー ト ガイ ド japan.xilinx.com 5UG631 (v 13.1)

Page 6: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

このマニュアルについて

6 japan.xilinx.com ISE Design Suite 13 : リ リース ノ ー ト ガイ ドUG631 (v 13.1)

Page 7: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

目次

改定履歴. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3

このマニュアルについてマニュ アルの内容 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

第 1 章 : 13.1 リ リースの新機能オン ラ イ ンからの新機能情報入手. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10ザイ リ ン ク ス ISE Design Suite 13.1 の新機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10

ISE Design Suite のハイ ラ イ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10新規デバイ ス サポー ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10ロ ジ ッ ク デザイ ン ツールの新機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10

Project Navigator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10PlanAhead . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11FPGA Editor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13iMPACT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13ChipScope Pro . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13ISE Simulator. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13

エンベデッ ド ツールの新機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14EDK 全体 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14XPS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14SDK . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15Project Navigator と EDK の統合性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15MicroBlaze ソ フ ト プロセ ッ サ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16エンベデッ ド IP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16

DSP ツールの新機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16System Generator for DSP. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16

CORE Generator および IP の新機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17新規 IP コ ア . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17AXI4 イ ン ターフ ェ イ ス をサポー ト するその他の IP . . . . . . . . . . . . . . . . . . . . . . . . . . . .18CORE Generator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18PlanAhead IP デザイ ン フ ロー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18

第 2 章 : 重要な リ リース情報重要な 13.1 リ リース情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19既知の問題 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1913.1 ソ フ ト ウ ェ アおよび IP の変更点 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19

PlanAhead . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .19GUI を改善 : メ イ ン メ ニューの改善 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .19RTL デザイ ン機能を追加および修正 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .21ピン配置を変更 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22[Netlist] ビ ューへの追加と修正点 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22[Source] ビ ューの改善. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22デザイ ン ルール チェ ッ ク を向上および新規チェ ッ ク を追加. . . . . . . . . . . . . . . . . . . . . .23イ ンプ リ メ ンテーシ ョ ンおよび解析の改善 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .23

ISim . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24XPS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24SDK . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .25Project Navigator と EDK の統合 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .25エンベデッ ド IP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .25System Generator for DSP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .25

ブラ ッ ク ボ ッ ク スの VHDL ラ イブラ リ サポー ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .25

ISE Design Suite 13 : リ リース ノ ー ト ガイ ド japan.xilinx.com 7UG631 (v13.1) 2011 年 3 月 1 日

Page 8: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

パフ ォーマン スの向上 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .25MATLAB サポー ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26新規ブロ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26System Generator for DSP (前バージ ョ ン) の リ リ ース ノー ト . . . . . . . . . . . . . . . . . . . .26

第 3 章 : アーキテ クチャ サポー ト およびシステム要件オペレーテ ィ ング システム . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27

Microsoft Windows . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .27Linux サポー ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28

アーキテ クチャ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29互換性のあ るサード パーテ ィ ツール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31システム要件 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31

システム メ モ リ 要件 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .32メ モ リ 要件の表 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .32OS と使用可能な メ モ リ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .33

ケーブル イ ン ス ト ール要件 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34装置と ア ク セス権 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .36

ネ ッ ト ワーク時刻の同期化 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .36ChipScope Pro Analyzer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .36

ケーブル イ ン ス ト ール要件. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .36システム メ モ リ 要件 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .36

System Generator for DSP のシステム要件 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .37推奨ハード ウ ェ ア . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .37OS と ソ フ ト ウ ェ ア要件 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .37

第 4 章 : テ クニカル サポー ト 、 サービス、 関連文書テ ク ニカル サポー ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39ト レーニング サービ ス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39マニュ アル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40

オン ラ イ ン ヘルプ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .40ソ フ ト ウ ェア マニュ アル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .40ユーザー チュー ト リ アル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .40

ソ フ ト ウ ェア メ ッ セージ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40

第 5 章 : その他のリ ソースアンサー データベース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41その他のマニュ アル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41サード パーテ ィ のラ イセン ス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41

8 japan.xilinx.com ISE Design Suite 13 : リ リース ノ ー ト ガイ ド

UG631 (v13.1) 2011 年 3 月 1 日

Page 9: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

第 1 章

13.1 リ リースの新機能

こ の章では、ザイ リ ン ク ス ISE® Design Suite 13 ソ フ ト ウ ェ ア リ リ ースの新機能について説明し ま

す。 含まれるセ ク シ ョ ンは、 次の とお り です。

ISE Design Suite のハイ ラ イ ト

新規デバイ ス サポー ト

ロ ジ ッ ク デザイ ン ツールの新機能

Project Navigator

PlanAhead

FPGA Editor

iMPACT

ChipScope Pro

ISE Simulator

エンベデッ ド ツールの新機能

EDK 全体

XPS

SDK

Project Navigator と EDK の統合性

MicroBlaze ソ フ ト プロセ ッ サ

エンベデッ ド IP

DSP ツールの新機能

System Generator for DSP

CORE Generator および IP の新機能

新規 IP コ ア

AXI4 イ ン ターフ ェ イ ス をサポー ト するその他の IP

CORE Generator

PlanAhead IP デザイ ン フ ロー

ISE Design Suite 13 : リ リース ノ ー ト ガイ ド japan.xilinx.com 9UG631 (v 13.1)

Page 10: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

第 1 章 : 13.1 リ リースの新機能

オン ラ イ ンからの新機能情報入手

これ と同じ新機能の情報は、 次のオン ラ イ ン サイ ト から も入手でき ます。

http://japan.xilinx.com/support/documentation/sw_manuals/xilinx13_1/whatsnew.htm

本書およびその他のマニュ アルへの リ ン クは、Windows 環境で [ス ター ト ] → [すべてのプロ グ

ラ ム] → [Xilinx ISE Design Suite 13.1] → [マニュ アル] → [ISE Design Suite 情報セン タ] を ク

リ ッ クする と入手でき ます。

ザイ リ ン クス ISE Design Suite 13.1 の新機能

ISE Design Suite のハイ ラ イ ト

チーム設計

ISim のハード ウ ェ ア協調シ ミ ュ レーシ ョ ンによ り シ ミ ュ レーシ ョ ンを 100 倍高速化

AXI4 ツールおよび IP サポー ト を製品ステータ スに変更

プラ グ アン ド プレ イ IP イ ニシアチブ

CORE Generator™ 2.0 を導入

IEEE P1735 バージ ョ ン 1 暗号化によ る相互運用性

Windows 7 Professional をサポー ト

新規デバイス サポー ト

13.1 リ リ ースでは、 次のデバイ スが新たにサポー ト されています。

Kintex™-7

Virtex®-7 (7VX485T を含む)

ロジ ッ ク デザイ ン ツールの新機能

ISE 13.1 のロ ジ ッ ク デザイ ン ツールの新機能は次の とお り です。

Project Navigator

エンベデッ ド開発キ ッ ト (EDK) の統合性

複数の ELF フ ァ イルおよび EDK デザイ ンで参照される ELF フ ァ イルの自動検出をサ

ポー ト

ELF フ ァ イル と XMP フ ァ イルで定義された特定のプロセ ッサの関連性を制御可能

New Project Wizard、 [New Project] ダ イ ア ロ グ ボ ッ ク ス、 および [Design Properties] ダ

イ ア ロ グ ボ ッ ク スで評価用開発ボード を選択可能

イ ンプ リ メ ンテーシ ョ ンを実行する前にハード ウ ェア デザイ ンをエク スポー ト 可能

デザイ ンをエ ク スポー ト し た後にソ フ ト ウ ェア開発キ ッ ト (SDK) を自動的に起動

プロ ジェ ク ト の比較機能に新しいカテゴ リ を追加し、 レ イ ア ウ ト を向上

SmartXplorer で消費電力ス ト ラ テジおよびカス タ ム ス ト ラ テジをサポー ト

CORE Generator でコ アを 新バージ ョ ンにア ッ プデー ト し、 コ アのすべてのバージ ョ ンを

チェ ッ ク可能

10 japan.xilinx.com ISE Design Suite 13 : リ リース ノ ー ト ガイ ドUG631 (v 13.1)

Page 11: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

ザイ リ ン クス ISE Design Suite 13.1 の新機能

Project Navigator で新規 System Generator ソース を作成可能

Timing Analyzer で TWR レポー ト の表示をサポー ト

PlanAhead

ISim を統合

PlanAhead リ リ ース 13 からは、 ISim が統合され、デザイ ン フ ローに組み込まれる よ う にな り ま し

た。 これによ り 、 PlanAhead のユーザー イ ン ターフ ェ イ ス内でデザイ ンを開発および検証でき る よ

う にな り ま し た。 PlanAhead では、 新規プロ ジェ ク ト ウ ィ ザード か ソース を追加するダ イ ア ロ グ

ボ ッ ク ス か ら シ ミ ュ レーシ ョ ンのみの ソ ース をプ ロ ジ ェ ク ト に追加で き る よ う にな っ ています。

ISim は Flow Navigator から起動でき ます。

ISim は、 次の段階で起動でき ます。

RTL デザイ ンのビヘイ ビアー シ ミ ュ レーシ ョ ン

イ ンプ リ メ ンテーシ ョ ン後のタ イ ミ ング シ ミ ュ レーシ ョ ン

階層デザイ ン手法

PlanAhead 13 では、 次の階層デザイ ン機能がサポー ト されます。

RTL プロ ジェ ク ト のイ ン ク リ メ ン タル XST フ ロー

ISim で作成し たパーテ ィ シ ョ ンのあ る階層以外の階層にイ ンポー ト 可能

パーテ ィ シ ョ ン内にエ リ ア グループを設定可能

合成およびイ ンプ リ メ ンテーシ ョ ンでブラ ッ ク ボ ッ ク ス をサポー ト

パーテ ィ シ ョ ン ポー ト の定数、 未接続の入力および出力を境界で 適化

ネ ッ ト リ ス ト ベースのプロ ジェ ク ト でデザイ ンを保持するためパーテ ィ シ ョ ンを定義

チーム ベース デザイ ンのサポー ト

PlanAhead 13 では、 新しいチーム ベース デザイ ン手法のサポー ト が追加されています。 チーム

ベース デザイ ンでは、複数のエンジニアが 1 つのモジュール レベルでイ ンプ リ メ ン ト でき、同時に

開発を進める こ と ができ ます。フ ローでは、チーム リ ーダーがこれらのモジュール レベルの実行パ

ターンを集められる よ う になってお り 、 その際イ ンポー ト 中に維持される配置配線情報も制御でき

る よ う になっています。

詳細は、 『階層デザイ ン手法ガイ ド』 (UG748) および 『PlanAhead ユーザー ガイ ド』 の第 13 章 「階

層デザイ ン手法」 を参照し て く ださ い。

デザイ ン保持フ ローでの RTL サポー ト

PlanAhead 13 では、XST が使用されてパーテ ィ シ ョ ンの RTL 合成用にイ ン ク リ メ ン タル コ ンパイ

ル機能が追加される よ う にな り 、 デザイ ン保持フ ローがさ らに拡張されています。 デザイ ン保持フ

ローを使用する と、 次に実行を繰 り 返すと きに保持するデザイ ン部分をマーク でき るので、 イ ン ク

リ メ ン タル コ ンパイルが可能にな り ます。 前の リ リ ースでは、 デザイ ン保持は合成後にのみサポー

ト されていま し た。 今 リ リ ースでは RTL レベルの制御が追加されたので、 PlanAhead ユーザー イ

ン ターフ ェ イ ス内で合成から イ ンプ リ メ ンテーシ ョ ン までのデザイ ン フ ローでパーテ ィ シ ョ ンを

制御しやす く な り ま し た。

詳細は、 『階層デザイ ン手法ガイ ド』 (UG748) および 『PlanAhead ユーザー ガイ ド』 の第 13 章 「階

層デザイ ン手法」 を参照し て く ださ い。

パーシ ャル リ コ ンフ ィ ギ ュ レーシ ョ ン サポー ト

ISE Design Suite 13 : リ リース ノ ー ト ガイ ド japan.xilinx.com 11UG631 (v 13.1)

Page 12: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

第 1 章 : 13.1 リ リースの新機能

PlanAhead では、 該当ラ イセン ス を購入の方にのみパーシャル リ コ ンフ ィ ギュ レーシ ョ ンのイ ン

ターフ ェ イ スが含まれます。

詳細は、 『パーシ ャル リ コ ンフ ィ ギュ レーシ ョ ン ユーザー ガイ ド』 (UG702) を参照し て く ださい。

Project Navigator の XISE プロジ ェ ク ト フ ァ イルのサポー ト

New Project ウ ィ ザード で XISE プロ ジェ ク ト フ ァ イルを指定する こ と ができ る よ う にな り 、 すべ

てのプロ ジェ ク ト ソース を指定する必要がな く な り ま し た。PlanAhead で XISE プロ ジェ ク ト フ ァ

イルが解析され、 CORE Generator コ ア、 ブロ ッ ク メ モ リ マ ッ プ (BMM) フ ァ イルを含む RTL お

よびシ ミ ュ レーシ ョ ン ソースが追加されます。 また、 XISE プロ ジェ ク ト フ ァ イルの設定に基づい

て、 合成ツールおよびイ ンプ リ メ ンテーシ ョ ン ツールの実行オプシ ョ ン も検出され、 デフ ォル ト 実

行が設定されます。

プロジ ェ ク ト 管理機能

次のセ ク シ ョ ンでは、 PlanAhead 13 プロ ジェ ク ト の新機能および修正点について記述し ています。

PlanAhead 13 では、 次が実行でき ます。

Project Navigator から ソース を イ ンポー ト

XST で適切にコ ンパイルされる よ う ソース フ ァ イルの順序を自動または手動で指定

ト ッ プ モジュール名を自動的に検出

HDL 内の `include 文のサポー ト を向上

XST 合成の XCF 制約フ ァ イルをサポー ト

未使用のソース フ ァ イルを判別

Run デ ィ レ ク ト リ にソース を コ ピーせずに Run を起動可能

プロ ジェ ク ト をアーカ イブ可能

テキス ト エデ ィ ターのフ ォ ン ト をカ ス タマイ ズ可能

グラ フ ィ カル ユーザー イ ン ターフ ェ イス

新規ユーザーおよび上級ユーザーの両方が効率的に使用でき る よ う グ ラ フ ィ カル ユーザー イ ン

ターフ ェ イ ス (GUI) を向上

イ ン ターフ ェ イ スの左側の Flow Navigator を使用する こ と によ り 、プロ ジェ ク ト 管理、RTL デザイ

ン、 ネ ッ ト リ ス ト デザイ ン、 デザイ ンのイ ンプ リ メ ンテーシ ョ ン、 デバイ スのプロ グ ラ ムおよびデ

バッ グまでをボタ ンを ク リ ッ クするだけで実行可能。新たに ISim が統合され、ビヘイ ビアー シ ミ ュ

レーシ ョ ンおよびタ イ ミ ング シ ミ ュ レーシ ョ ンを Flow Navigator から実行可能。 情報ウ ィ ン ド ウ

を追加し、 また Tcl コ ン ソールおよび メ ッ セージ ウ ィ ン ド ウ を向上

その他の改善点

GUI を改善

RTL デザイ ン機能を追加および修正

ChipScope 機能の追加

ピン配置を変更

デザイ ン ルール チェ ッ ク を向上および新規チェ ッ ク を追加

イ ンプ リ メ ンテーシ ョ ンを改善

13.1 PlanAhead ビデオ チュー ト リ アル

12 japan.xilinx.com ISE Design Suite 13 : リ リース ノ ー ト ガイ ドUG631 (v 13.1)

Page 13: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

ザイ リ ン クス ISE Design Suite 13.1 の新機能

次の新機能の詳細については、 第 2 章 「重要な リ リ ース情報」 の 「PlanAhead」 セ ク シ ョ ンを参照し

て く ださ い。

FPGA Editor

新しい [Lock Layers] ツールバー ボタ ンで現在のレ イヤー表示設定をすべてのズーム レベル

で固定

iMPACT

SPI/BPI プロ グ ラ ム サポー ト

Numonyx P30 ト ッ プ ブー ト に加えボ ト ム ブー ト をサポー ト

Winbond W25Q を 128Mb までサポー ト

Winbond W25Q の CV リ ビジ ョ ンのサポー ト を追加

ChipScope Pro

PlanAhead と XST 合成フ ローを使用し た ChipScope™ Pro HDL (VHDL および Verilog) デ

バッ グ プローブ

HDL および XCF 制約フ ァ イルでデバッ グ ネ ッ ト をマーク可能

MARK_DEBUG 属性を使用する と、 次のよ う にな り ます。

- ネ ッ ト が保持される ( 適化で削除されない)

- PlanAhead の ChipScope ビ ューにネ ッ ト が表示され、 デバッ グ コ アに割 り 当て可能

PlanAhead と Synplify 合成フ ローを使用し た ChipScope Pro HDL デバッ グ プローブ

HDL (VHDL および Verilog) または SDC でデバッ グ ネ ッ ト をマーク可能

MARK_DEBUG 属性を使用する と、 次のよ う にな り ます。

- ネ ッ ト が保持される ( 適化で削除されない)

- PlanAhead の ChipScope ビ ューにネ ッ ト が表示され、 デバッ グ コ アに割 り 当て可能

Virtex-6 GTX および GTH 用の IBERT を PlanAhead と ChipScope フ ローで使用可能

Virtex-6 GTH の IBERT に低ラ イ ン レー ト および中ラ イ ン レー ト のサポー ト を追加

ス ター ト ア ッ プ ト リ ガー モード

Project Navigator、 Core Inserter、 および Analyzer ツールを使用

PlanAhead および Analyzer ツールを使用

IBERT ス イープ テス ト プロ ッ ト GUI

Virtex®-6 FPGA GTX/GTH FPGA ト ラ ンシーバーの IBERT ス イープ テス ト 結果をビル

ト イ ン ビ ューアーで表示

Virtex-6 FPGA GTX/GTH、Spartan®-6 FPGA GTP、Virtex-5 FPGA GTX ト ラ ンシーバー

の IBERT ス イープ テス ト 結果を ス タ ン ド ア ロ ン ビ ューアーで表示

チュー ト リ アル

PlanAhead チュー ト リ アル :ChipScope Pro を使用し たデバッ グ

ChipScope IBERT基本 IBERT デザイ ン フ ロー

ISE Simulator

AXI BFM のシ ミ ュ レーシ ョ ンをサポー ト

ISE Design Suite 13 : リ リース ノ ー ト ガイ ド japan.xilinx.com 13UG631 (v 13.1)

Page 14: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

第 1 章 : 13.1 リ リースの新機能

ISim GUI から シ ミ ュ レーシ ョ ンを再起動可能

エンベデ ッ ド ツールの新機能

エンベデッ ド ツールおよび IP の新機能は次の とお り です。

EDK 全体

Project Navigator、Xilinx Platform Studio (XPS)、および SDK でワーク スペース選択の動作を

統一

TDP デバイ ス ベースのラ イセン ス をサポー ト

XPSBase System Builder

Spartan-6、 Virtex-6、 7 シ リ ーズ デザイ ンで AXI システムをデフ ォル ト に設定。 Base System Builder では 7 シ リ ーズ デザイ ンでのみ AXI システムがサポー ト されています。

共有バス イ ン ターコネ ク ト を低周波数ペ リ フ ェ ラル バスに使用し、 デザイ ン サイ ズを削

共有バス イ ン ターコネ ク ト を低周波数ペ リ フ ェ ラル バスに使用し、 デザイ ン サイ ズを削

System Assembly View (SAV)

デザイ ン ルール チェ ッ ク (DRC) をどの時点でも実行可能

SAV に AXI IP を追加し た と きに、 バス、 ク ロ ッ ク、 リ セ ッ ト の接続およびア ド レ ス生成

を自動的に完了

AXI MicroBlaze プロセ ッサ イ ン ス タ ン ス を追加し た と きにイ ン ターコネ ク ト 、DRAM メ

モ リ と キ ャ ッ シ ュ、 デバッ グ接続、 ク ロ ッ ク、 および LMB BRAMの接続を自動的に完了

SAV で IP の順序を変更可能

複数プロセ ッ サ システムの場合、 SAV でプロセ ッサ システム イ ン ス タ ン ス をフ ィ ルター

可能

その他の XPS の変更点

XPS から ソ フ ト ウ ェ ア開発ツールを削除

XPS から ソ フ ト ウ ェ ア プロ ジェ ク ト を削除

メ イ ン ツールバーを整理し、 ボタ ンの数を削減

Create and Import Peripheral (CIP) Wizard で AXI4 および AXI4-Lite ス レーブ ペ リ フ ェ

ラルの作成をサポー ト

AXI BFM プロ ジェ ク ト の生成を CIP ウ ィ ザード に追加 メ モ :AXI BFM のラ イセン スは、

別途に購入する必要があ り ます。

イ ンプ リ メ ンテーシ ョ ン またはシ ミ ュ レーシ ョ ンに ELF フ ァ イルを指定可能と な り 、

Project Navigator と の同期化も保持

デバッ グ ウ ィ ザード で AXI ベース デザイ ンに AXI モニターおよびハード ウ ェ ア/ソ フ ト

ウ ェア協調デバッ グの追加をサポー ト

XPS デザイ ンが Project Navigator プロ ジェ ク ト のサブモジ ュールであ る場合、 シ ミ ュ

レーシ ョ ンは Project Navigator でのみ実行可能

14 japan.xilinx.com ISE Design Suite 13 : リ リース ノ ー ト ガイ ドUG631 (v 13.1)

Page 15: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

ザイ リ ン クス ISE Design Suite 13.1 の新機能

デザイ ンを SDK にエ ク スポー ト し た場合に、SDK ワーク スペースは自動的には設定され

ない

SDK

Eclipse 3.6 および CDT 7.0 Helios リ リ ースにア ッ プデー ト

ユーザー イ ン ターフ ェ イ ス を保持し ながら機能をア ッ プデー ト し、 安定性を向上

コ ン ソール ロ グをフ ァ イルに保存可能

Cygwin は不要 (ソ フ ト ウ ェアに含まれない)

Cygwin な しで MicroBlaze および PowerPC の GNU ツールチェーンを構築可能

一般的な UNIX/Linux 機能に対し て GnuWin32 ユーテ ィ リ テ ィ を提供

使いやすさ を向上

ELF のみのデバッ グ

起動管理

フ ロー チェ ッ ク (BSP の削除およびハード ウ ェ アの変更の検出を含む)

ヒ ン ト 、 文脈依存ヘルプ、 プ リ フ ァ レン ス設定など、 ユーザー支援機能を向上

リ ビジ ョ ン管理を使用し た場合にソ フ ト ウ ェア リ ポジ ト リ 情報を保存する こ と によ り

セ ッ ト ア ッ プを 小限に

フ ラ ッ シ ュ読み出しのみの領域の動作の自動化

XMD で 7 シ リ ーズを初期サポー ト

TDP デバイ ス ベースのラ イセン ス をサポー ト

Project Navigator と EDK の統合性

XMP フ ァ イルのプロセ ッ サ イ ン ス タ ン ス を認識

以前のバージ ョ ンでは 1 つのプロセ ッサ と想定

ELF フ ァ イル と XMP フ ァ イルで定義された特定のプロセ ッサの関連性を制御可能

イ ンプ リ メ ンテーシ ョ ン と シ ミ ュ レーシ ョ ンに異な る ELF ソース を使用可能

ELF フ ァ イルをプロセ ッ サ イ ン ス タ ン ス ご と に割 り 当て可能

EDK デザイ ンで参照される ELF フ ァ イルを自動検出

イ ンプ リ メ ンテーシ ョ ンを実行する前に [Export Hardware Design to SDK without Bitstream] プロセス を使用し てハード ウ ェア デザイ ンをエク スポー ト 可能

デザイ ンをエ ク スポー ト し た後にソ フ ト ウ ェア開発キ ッ ト (SDK) を自動的に起動 (オプシ ョ ン)

SDK のワーク スペースの動作を XPS およびス タ ン ド ア ロ ン SDK と統一

Project Navigator の New Project Wizard、[New Project] ダ イ ア ロ グ ボ ッ ク ス、および [Design Properties] ダ イ ア ロ グ ボ ッ ク スで評価用開発ボード を選択可能

ISE Design Suite 13 : リ リース ノ ー ト ガイ ド japan.xilinx.com 15UG631 (v 13.1)

Page 16: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

第 1 章 : 13.1 リ リースの新機能

MicroBlaze ソ フ ト プロセ ッサ

•新しいバージ ョ ン : v8.10.a

•Kintex-7 および Virtex-7 デバイ ス をサポー ト

•AXI を 7 シ リ ーズ デザイ ンのデフ ォル ト イ ン ターフ ェ イ スに設定

•MicroBlaze Configuration Wizard でフ ォール ト ト レ ラ ン ト 機能をサポー ト

•MicroBlaze に接続されている LMB BRAM メ モ リ にエラー訂正コード (ECC) を追加

•MicroBlaze のキ ャ ッ シュおよび MMU メ モ リ にパ リ テ ィ 保護を追加

次の命令を追加

CLZ (Count Leading Zeros)

MBAR (Memory Barrier)

ス タ ッ ク オーバーフ ローおよびアンダーフ ローを検出

新しいパラ メ ーターによ り ユーザー モード で AXI4-Stream および FSL 命令を使用可能

エンベデ ッ ド IP新規 エンベデッ ド IP

AXI 7-Series DDRx

AXI External Peripheral Controller

AXI to AHBLite Bridge

AXI Master Lite IP Interface (IPIF)

CORE Generator から使用可能なエンベデッ ド IP

CORE Generator AXI VDMA

DSP ツールの新機能

System Generator for DSP および DSP IP の新機能は、 次の とお り です。

System Generator for DSP

MATLAB/Simulink 2011a をサポー ト

すべての System Generator ブロ ッ ク で Kintex-7 および Virtex-7 デバイ ス をサポー ト

新しいブロ ッ ク

7 Series DSP48E1、 Complex Multiply 5.0、 DSP48 Macro 2.1、 FIR Compiler 6.2、 および

VDMA Interface 3.0

System Generator で AXI PCore およびハード ウ ェア協調シ ミ ュ レーシ ョ ンをサポー ト

新しい文脈依存 メ ニューによ り ブロ ッ ク の追加および接続を ス ピード ア ッ プ (ベータ)。 この機

能の詳細は、 『System Generator for DSP Reference Guide』 を参照し て く ださい。

ハード ウ ェア イ ン ターフ ェ イ ス ド キ ュ メ ン ト を自動的に作成可能。 この機能の詳細は、

『System Generator for DSP Reference Guide』 を参照し て く ださい。

System Generator IP

Floating-point Operator、 CORDIC、 Divider Generator、 CIC Compiler、 DSP48 Macro、

Multiply-Add、 および Mutiply-Accumulate

16 japan.xilinx.com ISE Design Suite 13 : リ リース ノ ー ト ガイ ドUG631 (v 13.1)

Page 17: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

ザイ リ ン クス ISE Design Suite 13.1 の新機能

CORE Generator および IP の新機能 CORE Generator ソ フ ト ウ ェ アおよび IP コ アの新機能は、 次の とお り です。

Virtex-7 および Kintex-7 をサポー ト する CORE Generator IP を提供

新規 IP コ ア オーデ ィ オ、 ビデオ、 および画像処理 IP

Object Segmentation v1.0 (AXI4-Lite)

- Image Characterization LogiCORE IP と共に使用し、 統計データ をオブジェ ク ト 特性

のユーザー定義セ ッ ト を満たすオブジェ ク ト の リ ス ト に変換し ます。

AXI Video Direct Memory Access v1.0 (AXI4、 AXI4-Stream、 AXI4-Lite)

- 外部 メ モ リ からのビデオ フ レーム ス ト アを制御および同期化する柔軟な イ ン ター

フ ェ イ ス を提供し ます。 異なる ク ロ ッ ク ド メ イ ンからの複数の VDMA を連結し、 複

数のソースからのフ レーム ス ト ア読み出し /書き込みを制御でき ます。

通信 DSP 機能ブロ ッ ク

Linear Algebra Toolkit v1.0 (AXI4-Stream)

- 基本的なマ ト リ ッ ク ス演算 (マ ト リ ッ ク ス同士の加算、減算、乗算、およびマ ト リ ッ ク

ス と ス カ ラーの乗算) を イ ンプ リ メ ン ト し ます。

- さ まざまな信号およびデータ処理アプ リ ケーシ ョ ンの複素合成関数を開発するために

適化された柔軟な機能ブロ ッ ク です。

FPGA の機能およびサポー ト

7 Series FPGA Transceivers Wizard v1.3

- 1 つまたは複数の Virtex-7 および Kintex-7 FPGA GTX ト ラ ンシーバーを 初から、

業界標準のテンプレー ト を使用し て、 あ るいはカ ス タ ム Verilog または VHDL ラ ッ

パーを使用し て コ ンフ ィ ギ ュ レーシ ョ ン し ます。

- シ ミ ュ レーシ ョ ンおよびハード ウ ェアでの ト ラ ンシーバーの動作を検証するためサン

プル デザイ ン、 テス ト ベンチ、 ス ク リ プ ト も生成し ます。

XADC Wizard v1.2

- ユーザー指定のチャネルおよびア ラーム用に 1 つの 7 シ リ ーズ FPGA XADC プ リ ミ

テ ィ ブを コ ンフ ィ ギ ュ レーシ ョ ンする HDL ラ ッパーを生成し ます。

標準バス イ ン ターフ ェ イ スおよび I/O

7-Series Integrated Block for PCI Express (PCIe) v1.0 (AXI4-Stream)

- 1 レーン、 2 レーン、 4 レーン、 または 8 レーン コ ンフ ィ ギ ュ レーシ ョ ンを イ ンプ リ

メ ン ト し ます。 7 Series Integrated Hard IP Block for PCI Express を使用し、 PCI Express Base Specification v2.1 に準拠し た PCI Express エン ド ポイ ン ト またはルー

ト ポー ト を柔軟にイ ンプ リ メ ン ト し ます。

- PCI Express 用の LogiCORE IP には、 高パフ ォーマン ス AXI イ ン ターフ ェ イ ス、 高

バン ド幅アプ リ ケーシ ョ ン用のバッ フ ァー、 BAR チェ ッ クおよびフ ィ ルター処理な

どの機能があ り ます。

ワ イヤレ ス IP

Triple Rate SDI v1.0 (AXI4-Stream)

- SMPTE SD-SDI、 HD-SDI、 および 3G-SDI 規格用のレシーバーおよび ト ラ ン ス ミ ッ

ター イ ン ターフ ェ イ ス を提供し ます。

ISE Design Suite 13 : リ リース ノ ー ト ガイ ド japan.xilinx.com 17UG631 (v 13.1)

Page 18: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

第 1 章 : 13.1 リ リースの新機能

- ト リ プル レー ト SDI レシーバーおよび ト ラ ン ス ミ ッ ターは暗号化されていないソー

ス コード (Verilog および VHDL) で提供されてお り 、アプ リ ケーシ ョ ンの要件に応じ

て完全にカ ス タマイ ズでき ます。

3GPP LTE PUCCH Receiver v1.0 (AXI4-Stream)

- 3GPP TS 36.211 v9.0.0 物理チャネル と変調仕様 ( リ リ ーズ 9) 用の LTE Physical Uplink Control Channel Receiver ブロ ッ ク を提供し ます。

- チャネル予測、 復調、 デコード をサポー ト

AXI4 イ ン ターフ ェ イスをサポー ト するその他の IPCORE Generator IP の 新バージ ョ ンは、AXI4 イ ン ターフ ェ イ ス を製品サポー ト し ています。

サポー ト の詳細は、 japan.xilinx.com/ipcenter/axi4_ip.htm を参照し て く ださい。

通常 AXI4 イ ン ターフ ェ イ スは、Virtex-6 および Spartan-6 デバイ ス フ ァ ミ リ の 新版の IP で

サポー ト されます。 これまでの製品版の IP では、 Virtex-6、 Spartan-6、 Virtex-5、 Virtex-4、 お

よび Spartan-3 デバイ ス フ ァ ミ リ でレガシ イ ン ターフ ェ イ スがサポー ト されます。

ザイ リ ン ク スの AXI4 サポー ト に関する一般的な情報は、

http://japan.xilinx.com/ipcenter/axi4.htm を参照し て く ださい。

こ の リ リ ースでア ッ プデー ト された コ アの リ ス ト は、

http://japan.xilinx.com/ipcenter/coregen/13_1_datasheets.htm を参照し て く ださい。

こ の リ リ ースの LogiCORE IP の詳細は、

http://japan.xilinx.com/ipcenter/coregen/updates_13_1.htm を参照し て く ださい。

CORE Generator

ザイ リ ン ク スおよび Alliance IP 用に IP-XACT ベースの IP リ ポジ ト リ をサポー ト 。 既存の

CORE Generator、 PlanAhead、 および Project Navigator フ ローでは変更は不要です。

リ ポジ ト リ および IP 管理機能を実行する [Manage IP] メ ニューを追加

[IP Catalog] パネルで AXI4 IP に対し て各 AXI4 イ ン ターフ ェ イ ス (AXI4、 AXI4-Stream、 お

よび AXI4-Lite) のサポー ト 情報を個別の列で表示

IP シンボルの個々のポー ト を AXI4 チャネルでグループ化し て表示を簡略化

PlanAhead IP デザイ ン フ ロー ザイ リ ン ク スおよび Alliance IP 用に IP-XACT ベースの IP リ ポジ ト リ をサポー ト 。 既存の

PlanAhead IP フ ローでは変更は不要です。

[IP Catalog] パネルで AXI4 IP に対し て各 AXI4 イ ン ターフ ェ イ ス (AXI4、 AXI4-Stream、 お

よび AXI4-Lite) のサポー ト 情報を個別の列で表示

IP の自動ア ッ プデー ト フ ローを追加

18 japan.xilinx.com ISE Design Suite 13 : リ リース ノ ー ト ガイ ドUG631 (v 13.1)

Page 19: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

第 2 章

重要な リ リース情報

重要な 13.1 リ リース情報

Kintex-7 および Virtex-7 のピン配置は、パッ ケージ フ ァ イルが 終版ではないため、今後変更

されます。ピン配置の変更によ り 、今後のザイ リ ン ク ス ソ フ ト ウ ェア リ リ ースでイ ンプ リ メ ン

テーシ ョ ンを し直す必要のあ る可能性があ り ます。

Kintex-7 および Virtex-7 デバイ スのデフ ォル ト IO は、 今後のザイ リ ン ク ス ソ フ ト ウ ェ ア リ

リ ースで変更される予定です。

今後のザイ リ ン ク ス ソ フ ト ウ ェ ア リ リ ースでは、 XC7V1500T および XC7V2000T デバイ ス

モデルが変更される予定です。これらのデバイ ス を ターゲ ッ ト と し た 13.1 のイ ンプ リ メ ンテー

シ ョ ン デザイ ン フ ァ イル (.ncd) は現時点では無効になっているので、 あ と でデザイ ンを イ ン

プ リ メ ン ト し直す必要があ り ます。

今後のザイ リ ン ク ス ソ フ ト ウ ェア リ リ ースでは、Kintex-7 および Virtex-7 GTX コ ンポーネン

ト モデルが変更される予定です。これによ り 、各イ ン ス タ ン ス ご と に 7 シ リ ーズ FPGA の ト ラ

ンシーバー ウ ィ ザード を実行し直す必要があ り ます。

IBIS および HSPICE モデルは Kintex-7 および Virtex-7 デバイ スにはまだ使用でき ません。

既知の問題

ISE Design Suite に関する既知の問題は、 アンサー 39243 (http://japan.xilinx.com/support/answers/39243.htm) を参照し て く ださ い。

PlanAhead に関する既知の問題は、 アンサー 40512 (http://japan.xilinx.com/support/answers/40512.htm) を参照し て く ださ い。

System Generator for DSP に関する既知の問題は、 アンサー 29595 (http://japan.xilinx.com/support/answers/29595.htm) を参照し て く ださ い。

13.1 ソ フ ト ウ ェ アおよび IP の変更点

PlanAhead

GUI を改善 : メ イ ン メ ニューの改善

ワーク スペース ビ ュー

PlanAhead のワーク スペース ビ ューが自動選択される よ う にな り ま し た。メ イ ン ツールバーの

ド ロ ッ プダウ ン リ ス ト からは、 開いたプロ ジェ ク ト に合ったビ ューが選択でき ます。 ワーク ス

ペース ビ ューには、固定/解除、 フ ロー ト 、 小/ 大化、復元などができ るボタ ンが含まれる よ

う にな り ま し た。

ISE Design Suite 13 : リ リース ノ ー ト ガイ ド japan.xilinx.com 19UG631 (v 13.1)

Page 20: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

第 2 章 : 重要な リ リース情報

検索オプシ ョ ン

メ イ ン メ ニューに検索テキス ト ボ ッ ク スが追加され、 指定し たテキス ト をすべての メ ニュー

オプシ ョ ンから検索でき る よ う にな り ま し た。

エ ク スポー ト オプシ ョ ン

開いたデザイ ンから IBIS モデルを抽出でき る [File] → [Export] → [Export IBIS Model] と い

う 新しいオプシ ョ ンが追加されま し た。IBIS (Input/Output Buffer Information Specification) は

デザイ ン解析に使用されます。 PlanAhead からエク スポー ト される IBIS モデルは IBIS バー

ジ ョ ン 4.2 に準拠し、 このスペッ ク のデフ ォル ト を使用し ています。

ク リ ッ ク 1 つでイ ンプ リ メ ンテーシ ョ ン可能

Flow Navigator の [Implementation] ボタ ンを ク リ ッ クする と、RTL プロ ジェ ク ト があ る場合は

まず合成を実行するかど う かを尋ねるダ イ ア ロ グ ボ ッ ク スが表示され、 合成実行パターン

(Run) が 新の状態でない場合はそれを示す メ ッ セージが表示される よ う にな り ま し た。 これ

によ り 、RTL のイ ンプ リ メ ンテーシ ョ ンが 1 ク リ ッ ク ででき る よ う にな り ま し た。 この機能を

使用するには、PlanAhead を GUI モード で起動し ている必要があ り ます。 実行途中でプロ ジェ

ク ト を閉じ る と、現在実行中の合成のみが完了し、 イ ンプ リ メ ンテーシ ョ ンは開始されません。

[Source] ビ ューの改善

PlanAhead リ リ ース 13 では、 ソース フ ァ イル構造と編集用のビ ューが改善されています。

サード パーテ ィ のテキ ス ト エデ ィ ターのサポー ト

ソース コード フ ァ イルを編集でき るサード パーテ ィ のエデ ィ ターを使用でき る よ う にな り ま

し た。

メ ッ セージ マネージャー

新しい [Messages] ビ ューには、PlanAhead および ISE からのエラー、重要な警告、警告、情報

などの メ ッ セージがま と められ、1 つのビ ューで表示でき る よ う になっています。メ ッ セージは

ソース コード と リ ン ク されるので、エラーや警告に該当する部分を素早 く 見つけて解決する こ

と ができ ます。

[Netlist] ビ ューへの追加 と修正点

次のセ ク シ ョ ンでは、 [Netlist] ビ ューへの追加と修正点について説明し ます。

[Clocking Resource] ビ ュー

新しい [Clock Resource] ビ ューでは、 FPGA 内のク ロ ッ ク関連サイ ト と物理 リ ソース を表示

し、 割 り 当て る こ と ができ ます。

コ ンポーネン ト ス イ ッチ制限のフ ォルダ

TRCE から イ ンポー ト された [Timing Results] ビ ューに、コ ンポーネン ト ピンのス イ ッチ制限

違反と セ ッ ト ア ッ プ/ホール ド違反が分類され、 新しいフ ォルダができ る よ う にな り ま し た。 違

反は分類され、 制約内のワース ト 違反から リ ス ト されます。

ス ラ ッ ク ヒ ス ト グ ラ ム レポー ト の改善

PlanAhead リ リ ース 13 では、 も負の値から も正の値までの範囲内のパス を集めた ものを

棒グ ラ フで表示する ス ラ ッ ク ヒ ス ト グ ラ ム機能を改善し ま し た。

[Source] ビ ューの改善

PlanAhead リ リ ース 13 では、 次が改善されています。

20 japan.xilinx.com ISE Design Suite 13 : リ リース ノ ー ト ガイ ドUG631 (v 13.1)

Page 21: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

13.1 ソ フ ト ウ ェ アおよび IP の変更点

デバイ ス リ ソースの詳細

PlanAhead の [Device] ビ ューに、 ス ラ イ スのピンや Virtex®-6 および Virtex-7 デバイ スの

BEL ピン と いったデバイ ス リ ソースの詳細が含まれる よ う にな り 、 完全に配置が終了する と、

タ イ ミ ング パスにピンのア ノ テーシ ョ ンが含まれる よ う にな り ま し た。

複数イ ン ス タ ン スの ド ラ ッ グ アン ド ド ロ ッ プ

PlanAhead の [Device] ビ ューで複数のイ ン ス タ ン ス を同時に動かせる よ う にな り ま し た。これ

によ り 、 既にグループ内に配置されている イ ン ス タ ン ス を移動でき、 すべての位置制約を一緒

に変換でき ます。

[Schematic] ビ ューの改善

PlanAhead では、回路図ビ ューで選択し た 2 つのオブジェ ク ト 間のロ ジ ッ ク を ト レースでき ま

す。 オブジェ ク ト はどれでも選択でき ます。 選択される と、 PlanAhead の回路図内でそれらの

オブジェ ク ト 間にあ る ロ ジ ッ ク接続がすべて ト レースおよび描画されます。

XPA の統合

PlanAhead リ リ ース 13 からは Xilinx Power Analyzer (XPA) を起動し て、イ ンプ リ メ ン ト 済み

デザイ ンの電力を解析でき る よ う にな り ま し た。 XPA を起動するには、 イ ンプ リ メ ン ト 済みの

デザイ ンを開いて XPower Analyzer のア イ コ ンを ク リ ッ ク し ます。

RTL デザイ ン機能を追加および修正

テキス ト エデ ィ ター オプシ ョ ン

PlanAhead リ リ ース 13 では、 コ メ ン ト およびキーワード のフ ォ ン ト をカ ス タマイ ズでき る よ

う にな り ま し た。 また、 サード パーテ ィ のテキス ト エデ ィ ターの統合がサポー ト される よ う に

な っ た ほ か、 ザ イ リ ン ク ス 言語 テ ン プ レ ー ト も 使用で き る よ う に な り ま し た。 詳細は、

『PlanAhead ユーザー ガイ ド』 の第 5 章 「RTL デザイ ン」 を参照し て く ださい。

IP カ タ ロ グ

PlanAhead リ リ ース 13 の IP カ タ ロ グの改善点は、 次の とお り です。

CORE Generator™ の IP カ タ ロ グで、古い廃止された コ アを現在サポー ト されるバージ ョ

ンにア ッ プグレード でき る よ う にな り ま し た。

また、 GUI でほかの動作を妨げる IP 生成タ ス ク をキ ャ ンセルでき る よ う にな り ま し た。

ソース フ ァ イルの制御

RTL デザイ ンの 上位モジュールは自動的に認識されるほか、ユーザーが手動で定義する こ と

もでき ます。

コ ンパイルおよび合成用に自動または手動でソース フ ァ イルを並び替えた り 、 上位レベル モ

ジュールの指定に従って RTL ソース フ ァ イルを自動または手動でイネーブルまたはデ ィ ス

エーブルにでき ます。

消費電力予測

PlanAhead リ リ ース 13 では、 Virtex-5、 Virtex-6、 および Spartan-6 デバイ ス フ ァ ミ リ の消費

電力を予測でき ます。

パーテ ィ シ ョ ン制御

PlanAhead リ リ ース 13 では、XST を使用し て合成で RTL レベルのパーテ ィ シ ョ ンを制御でき

ます。

ISE Design Suite 13 : リ リース ノ ー ト ガイ ド japan.xilinx.com 21UG631 (v 13.1)

Page 22: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

第 2 章 : 重要な リ リース情報

ピン配置を変更

次のセ ク シ ョ ンは、 PlanAhead 13 のピン配置機能の変更点について説明し ます。

ビ ューの表示規則を変更

[Device] ビ ューおよび [Package] ビ ューで特定のレ イヤーやオブジェ ク ト を表示/非表示にで

き る よ う にな り 、 レ イヤーの色やピンの形の基準も変更されています。

スプレ ッ ド シー ト 表示の [Package Pins] ビ ューでは、 ピンを操作しやすいよ う に、 編集、 並び

替え、 平坦化、 フ ィ ルタでき ます。

代替パーツの定義

PlanAhead 13 では、 デザイ ンに対し て代替パーツを定義でき る よ う にな り ま し た (Virtex-5、

Virtex-6、 および Spartan-6 デバイ スのみ)。 ただし、 Spartan-6 LX25 および LX25T デバイ ス

は例外です。 詳細は、 アンサー #34885 を参照し て く ださい。

新規ピン割 り 当て と バン ク規則

新規ピン割 り 当て と バン ク規則については、 『PlanAhead ユーザー ガイ ド』 の付録 B 「DRC」

を参照し て く ださ い。 これには、 Virtex-6 以降のデバイ スの VCCaux レポー ト が含まれます。

エ ク スポー ト される UCF への IOSTANDARD の記述

[File] → [Export] → [Export I/O Ports] を ク リ ッ クする と、 すべての IOSTANDARD 制約をエ

ク スポー ト される UCF フ ァ イルに書き出すこ と ができ る よ う にな り ま し た。

[Netlist] ビ ューへの追加と修正点

次のセ ク シ ョ ンでは、 [Netlist] ビ ューへの追加と修正点について説明し ます。

コ ンポーネン ト ス イ ッチ制限のフ ォルダ

TRCE から イ ンポー ト された [Timing Results] ビ ューに、コ ンポーネン ト ピンのス イ ッチ制限

違反と セ ッ ト ア ッ プ/ホール ド違反が分類され、 新しいフ ォルダができ る よ う にな り ま し た。 違

反は分類され、 制約内のワース ト 違反から リ ス ト されます。

ス ラ ッ ク ヒ ス ト グ ラ ム レポー ト の改善

PlanAhead リ リ ース 13 では、 も負の値から も正の値までの範囲内のパス を集めた ものを

棒グ ラ フで表示する ス ラ ッ ク ヒ ス ト グ ラ ム機能を改善し ま し た。

[Source] ビ ューの改善

PlanAhead リ リ ース 13 では、 次が改善されています。

デバイ ス リ ソースの詳細

PlanAhead の [Device] ビ ューに、 ス ラ イ スのピンや Virtex®-6 および Virtex-7 デバイ スの

BEL ピン と いったデバイ ス リ ソースの詳細が含まれる よ う にな り 、タ イ ミ ング パスには、完全

に配置が終了し た時点でピンのア ノ テーシ ョ ンが含まれる よ う にな り ま し た。

複数イ ン ス タ ン スの ド ラ ッ グ アン ド ド ロ ッ プ

PlanAhead の [Device] ビ ューで複数のイ ン ス タ ン ス を同時に動かせる よ う にな り ま し た。

[Schematic] ビ ューの改善

PlanAhead では、回路図ビ ューで選択し た 2 つのオブジェ ク ト 間のロ ジ ッ ク を ト レースでき ま

す。

22 japan.xilinx.com ISE Design Suite 13 : リ リース ノ ー ト ガイ ドUG631 (v 13.1)

Page 23: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

13.1 ソ フ ト ウ ェ アおよび IP の変更点

デザイ ン ルール チ ェ ッ ク を向上および新規チ ェ ッ ク を追加

次は PlanAhead 13 で新し く 追加された、または変更されたデザイ ン ルール チェ ッ ク (DRC) です。

属性 DRC

AVAL - 無効な属性値をチェ ッ ク

ADEF - 定義されていない属性値をチェ ッ ク

バン ク DCI カ スケード DRC

DCICIOSTD - DCI カ スケード制約が有効かど う かをチェ ッ ク

バン ク I/O 規格 DRC

VCCAUX2 - LVPECL_33 および TMDS_33 の要件について警告

ChipScope DRC

CSUC - 接続されていないチャネルをチェ ッ ク

CSCL - ク ロ ッ ク エレ メ ン ト にク ロ ッ ク を供給する ク リ ッ ク以外のネ ッ ト がないかど う か

をチェ ッ ク

CSBR - デバイ スのブロ ッ ク RAM リ ソースが超過し ていないかど う かをチェ ッ ク

DSP48 DRC

DPCA - DSP48 カ スケード をチェ ッ ク

DPREG - DSP48 非同期フ ィ ード バッ ク をチェ ッ ク

FIFO DRC

FSYN - 同期 FIFO をチェ ッ ク

IOB DRC

OPCSLR - モ ノ リ シ ッ ク デバイ ス と マルチダ イ デバイ ス間のパーツの互換性をチェ ッ ク

配置 DRC

PLCR - ク ロ ッ ク領域に対する配置制約をチェ ッ ク

PLCK - ク ロ ッ クが有効な位置に配置されているかど う かをチェ ッ ク

PLDL - I/O の配置制約をチェ ッ ク

PLVP - 有効な LOC 配置をチェ ッ ク

RAMB DRC

RAMB - READ_FIRST モード の ク ロ ッ ク制限をチェ ッ ク

必須ピン DRC

REQP - 接続されていない必須ピンがないかど う かをチェ ッ ク

イ ンプ リ メ ンテーシ ョ ンおよび解析の改善

PlanAhead 13 のイ ンプ リ メ ンテーシ ョ ンおよび解析で改善された点は次の とお り です。

イ ンプ リ メ ンテーシ ョ ンの改善

イ ンプ リ メ ンテーシ ョ ンでは、 次の点が改善されています。

イ ンプ リ メ ンテーシ ョ ン run のフ ァ イル順序を簡単に変更可能

指定 UCF フ ァ イルへ run 別に制約を保存可能

デザイ ンを イ ンプ リ メ ン ト する と、 その run 専用の UCF から制約が自動的に読み込まれ、

保存されます。

ISE Design Suite 13 : リ リース ノ ー ト ガイ ド japan.xilinx.com 23UG631 (v 13.1)

Page 24: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

第 2 章 : 重要な リ リース情報

•解析の改善

PlanAhead リ リ ース 13 では、 ク ロ ッ ク パス と タ イ ミ ング パスがよ り 見やす く な り ま し た。

階層デザイ ン手法

チーム ベース デザイ ンのサポー ト

PlanAhead 13 では、 新しいチーム ベース デザイ ン手法のサポー ト が追加されています。

チーム ベース デザイ ンでは、 複数のエンジニアが 1 つのモジュール レベルでイ ンプ リ メ

ン ト でき、 同時に開発を進める こ と ができ ます。 フ ローでは、 チーム リ ーダーがこれらの

モジ ュール レベルの実行パターンを集められる よ う になってお り 、その際イ ンポー ト 中に

維持される配置配線情報も制御でき る よ う になっています。

詳細は、『階層デザイ ン手法ガイ ド』 (UG748) および『PlanAhead ユーザー ガイ ド』の第 13 章 「階層デザイ ン手法」 を参照し て く ださい。

パーテ ィ シ ョ ンの リ コ ンフ ィ ギ ュ レーシ ョ ン サポー ト

PlanAhead では、該当ラ イセン ス を購入の方にのみパーシ ャル リ コ ンフ ィ ギュ レーシ ョ ン

のイ ン ターフ ェ イ スが含まれます。

詳細は、『パーシ ャル リ コ ンフ ィ ギュ レーシ ョ ン ユーザー ガ イ ド』 (UG702) を参照し て く

ださ い。

ISim

リ コ ンパイル と シ ミ ュ レーシ ョ ンの再起動

ISim の GUI 内でフ ァ イルを編集し、リ コ ンパイルし、シ ミ ュ レーシ ョ ンを再起動でき る よ

う にな り ま し た。

ハード ウ ェア協調シ ミ ュ レーシ ョ ンへのア ク セス権

カス タマのア ク セス制限が解除され、

追加ラ イセン スが必要な く な り ま し た。

デザイ ン環境の統合

ISim が PlanAhead および Project Navigator から起動でき る よ う にな り ま し た。

ISim ユーザー ガ イ ド の改善

ハード ウ ェア協調シ ミ ュ レーシ ョ ンに関する新しいセ ク シ ョ ンを追加し ま し た。

Tcl コマン ド の章も変更されています。

XPS

XPS から ソ フ ト ウ ェ ア開発ツールを削除

AXI ベースの MicroBlaze デザイ ンは常に命令キ ャ ッ シュ とデータ キ ャ ッ シュ を使用し て

構築

メ イ ン ツールバーを整理し、 ボタ ンの数を削減

Create and Import Peripheral (CIP) Wizard で AXI4 および AXI4-Lite ス レーブ ペ リ フ ェ ラル

の作成をサポー ト

AXI BFM プロ ジェ ク ト の生成を CIP ウ ィ ザード に追加

イ ンプ リ メ ンテーシ ョ ン またはシ ミ ュ レーシ ョ ンに ELF フ ァ イルを指定可能 と な り 、 Project Navigator と の同期化も保持

24 japan.xilinx.com ISE Design Suite 13 : リ リース ノ ー ト ガイ ドUG631 (v 13.1)

Page 25: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

13.1 ソ フ ト ウ ェ アおよび IP の変更点

デバッ グ ウ ィ ザード で AXI ベース デザイ ンに AXI モニターおよびハード ウ ェ ア/ソ フ ト ウ ェ

ア協調デバッ グの追加をサポー ト

XPS デザイ ンが Project Navigator プロ ジェ ク ト のサブモジ ュールであ る場合、 シ ミ ュ レー

シ ョ ンは Project Navigator でのみ実行可能

デザイ ンを SDK にエ ク スポー ト し た場合に、SDK ワーク スペースは自動的には設定されない

SDK

Cygwin は不要

カス タ ム メ イ ク フ ァ イルで Windows 形式のパス を使用

xbash を削除

MicroBlaze v8.10a をサポー ト

XMDStub のサポー ト を削除

Project Navigator と EDK の統合

Project Navigator で 上位レベルのソース と し ての XMP フ ァ イルのサポー ト を削除

上位レベルの HDL を追加する新規 メ ッ セージによ り 、 矛盾のない制約処理が可能

エンベデ ッ ド IP複数の既存コ アをア ッ プデー ト

詳細は各変更ロ グおよびデータ シー ト を参照

AXI の改善

AXI BRAM コ ン ト ローラ – Microblaze ECC ブロ ッ ク RAM をサポー ト

AXI イ ン ターコネ ク ト – 共通バス モード

パーテ ィ シ ョ ン された ク ロ ッ ク ド メ イ ン – AXI VDMA、 AXI CDMA、 AXI DMA.

AXI イーサネ ッ ト – フル チェ ッ ク サム オフ ロード

System Generator for DSP

ブ ラ ッ ク ボ ッ クスの VHDL ラ イブ ラ リ サポー ト

こ の新しいブラ ッ ク ボ ッ ク ス機能によ り 、 定義済みラ イブラ リ の依存関係を記述し た VHDL モ

ジ ュールを イ ンポー ト で き る よ う にな り ま し た。 た と えば、 類似し ていて も独立し てい る サブモ

ジュールは、work 以外の別々のラ イブラ リ にコ ンパイルでき る よ う にな り ま し た。 この機能の使用

方法の詳細な例は、 「ブラ ッ ク ボ ッ ク スの VHDL ラ イブラ リ サポー ト 」 と い う オン ラ イ ン ヘルプ

ト ピ ッ ク を参照し て く ださ い。

パフ ォーマンスの向上

42 倍のス ピード ア ッ プ と な る AXI FFT の高速シ ミ ュ レーシ ョ ン モデルをサポー ト

モデルの 初の初期化を 33% 改善

シ ミ ュ レーシ ョ ン速度を 2 ~ 3 倍改善

ISE Design Suite 13 : リ リース ノ ー ト ガイ ド japan.xilinx.com 25UG631 (v 13.1)

Page 26: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

第 2 章 : 重要な リ リース情報

MATLAB サポー ト

MATLAB 2010a および 2010b を完全にサポー ト

MATLAB 2011a をベータ サポー ト

MATLAB のイ ン ス ト ール デ ィ レ ク ト リ のパス名は、 C:\MATLAB\R2010a のよ う に、 スペー

ス を含まない名前を使用する必要があ り ます。

Gateway Out ブロ ッ クに 53 ビ ッ ト を超え る出力があ る場合は Fixed-Point Toolbox が必要で

す。 ザイ リ ン ク スの Gateway In および Gateway Out ブロ ッ クへの内部信号は Fixed-Point Toolbox がな く て も 53 ビ ッ ト よ り 大き く でき ます。

Linux の場合、 MATLAB 2010a には、 Red Hat Enterprise Desktop 5.2、 32-ビ ッ ト /64-ビ ッ ト

の OS が必要です。 Red Hat Enterprise Linux WS v4.7 と は互換性があ り ません。

新規ブロ ッ ク

Complex Multiply 5.0

こ のブロ ッ クは、ユーザー指定のオプシ ョ ンに従って AXI4-Stream 準拠の高パフ ォーマン スの 適

化済みの複素乗算器を イ ンプ リ メ ン ト するザイ リ ン ク ス LogiCORE™ IP Complex Multiplier に基

づいています。

DSP48 Macro 2.1

ザイ リ ン ク ス LogiCORE™ DSP48 マ ク ロは、XtremeDSP™ ス ラ イ ス を抽象化し た使いやすいイ ン

ターフ ェ イ ス を提供するブロ ッ ク で、 ユーザー定義の演算式のセ ッ ト を使用し て複数の演算指定を

可能にする こ と で、 ダ イナ ミ ッ ク な演算を単純化し ます。 こ のバージ ョ ンからは、XtremeDSP ス ラ

イ ス内のレジス タの リ セ ッ ト およびク ロ ッ ク イネーブルを制御する機能が追加されています。

DSP48E1

ザイ リ ン ク ス DSP48E1 は、Virtex®-6 デバイ ス を使用する DSP アプ リ ケーシ ョ ン用の効率的な構

築ブロ ッ ク で、 前置加算へのア ク セスやシ リ コ ン内のレジス タの制御に使用されます。

FIR Compiler 6.2

こ のブロ ッ クは、ユーザー指定のオプシ ョ ンに従って AXI4-Stream 準拠の高パフ ォーマン スの 適

化済みの複素乗算器を イ ンプ リ メ ン ト するザイ リ ン ク ス LogiCORE™ IP FIR Compiler v6.2 に基

づいています。

VDMA Interface 3.0 (ベータ )

こ のブロ ッ クは、AXI Video Direct Memory Access (AXI VDMA) コ アに基づいてお り 、外部 DDR メ モ リ と AXI4-Stream イ ン ターフ ェ イ ス間のバン ド幅の広いダ イ レ ク ト メ モ リ ア ク セス を提供す

るザイ リ ン ク スのソ フ ト IP コ アです。 初期化、 ステータ ス、 および管理レジス タには、 MCode ブ

ロ ッ ク を使用し て コ ンフ ィ ギ ュ レーシ ョ ン される AXI4-Lite ス レーブ イ ン ターフ ェ イ ス を介し て

ア ク セスでき ます。 また、 System Generator デザイ ン と外部 DDR メ モ リ を接続しやす く するのに

必要な ロ ジ ッ ク を生成するために、 MATLAB ユーテ ィ リ テ ィ が含まれます。

System Generator for DSP (前バージ ョ ン) のリ リース ノ ー ト

前バージ ョ ンの System Generator for DSP リ リ ース ノ ー ト については、『System Generator for DSP Getting Started Guide』 (v 12.4) の第 3 章を参照し て く ださい。 このガイ ド は、 次の URL から入手

でき ます。

http://japan.xilinx.com/support/documentation/dt_sysgendsp_sysgen12-4.htm

26 japan.xilinx.com ISE Design Suite 13 : リ リース ノ ー ト ガイ ドUG631 (v 13.1)

Page 27: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

第 3 章

アーキテクチャ サポー ト およびシステム要件

こ の章では、ISE® Design Suite 13 でサポー ト される OS およびアーキテ クチャのほか、ISE Design Suite 13 のシステム要件について説明し ます。 この章は次のセ ク シ ョ ンで構成されています。

「オペレーテ ィ ング システム」

「アーキテ クチャ」

「互換性のあ るサード パーテ ィ ツール」

「システム要件」

オペレーテ ィ ング システム

ISE Design Suite 13 でサポー ト される OS は、 Microsoft® Windows、 Red Hat® Enterprise Linux、

SUSE Linux Enterprise です。

Microsoft Windows

次の表は、 Microsoft Windows のサポー ト について示し ています。

表 3-1 : Microsoft Windows サポー ト (英語版/日本語版)

製品

Windows 7 Professional

(32 & 64 ビ ッ ト )

XP Professional

(32 & 64 ビ ッ ト )

デザイ ン入力およびイ ンプ リ メ ンテーシ ョ ン ツール

(ISE Design Suite Logic Edition 13) ○ ○

ISim ○ ○

ISE WebPACK™ ○ ○

ChipScope™ Pro および ChipScope Pro Serial I/O ツー

ルキ ッ ト○ ○

エンベデッ ド開発キ ッ ト (EDK) ○ ○

System Generator for DSP ○ ○

ISE Design Suite 13 : リ リース ノ ー ト ガイ ド japan.xilinx.com 27UG631 (v 13.1)

Page 28: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

第 3 章 : アーキテ クチャ サポー ト およびシステム要件

Linux サポー ト

次の表は、 Linux のサポー ト について示し ています。

表 3-2 : Linux サポー ト

製品

Red HatEnterprise

4 ワークステー

シ ョ ン

(32 & 64 ビ ッ ト )

Red HatEnterprise

5 ワークステー

シ ョ ン

(32 & 64 ビ ッ ト )

SUSELinux

Enterprise 11

(32 & 64 ビ ッ ト )

デザ イ ン入力お よ び イ ンプ リ メ ン テー

シ ョ ン ツール (ISE Design Suite 13)○ ○ ○

ISim ○ ○ ○

ISE WebPACK ○ ○ ○

ChipScope Pro および ChipScope Pro Serial I/O ツールキ ッ ト

○ ○ ○

エンベデッ ド開発キ ッ ト (EDK) ○ ○ ○

System Generator for DSP ○ ○ ○

28 japan.xilinx.com ISE Design Suite 13 : リ リース ノ ー ト ガイ ドUG631 (v 13.1)

Page 29: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

アーキテ クチャ

アーキテ クチャ

ISE Design Suite 13 では、 Virtex® デバイ ス、 Spartan® デバイ スおよび CPLD デバイ ス アーキテ

クチャ フ ァ ミ リ がサポー ト されます。次の表は、アーキテ クチャのサポー ト について示し ています。

表 3-3 : アーキテ クチャ サポー ト

ISE WebPACKISE Design Suite

(Logic Edition、 Embedded Edition、

DSP Edition、 System Edition)

Virtex シ リ ーズ Virtex-4 デバイ ス :

LX : XC4VLX15、 XC4VLX25

SX : XC4VSX25

FX : XC4VFX12

Virtex-5 デバイ ス :

LX : XC5VLX30、 XC5VLX50

LXT : XC5VLX20T、 XC5VLX30T、

XC5VLX50T

FXT : XC5VFX30T

Virtex-6 デバイ ス :

LXT : XC6VLX75T、 XC6VLX75TL

Virtex-7 デバイ ス :

な し

Virtex-4 デバイ ス :

LX : すべて

SX : すべて

FX : すべて

Virtex-5 デバイ ス :

LX : すべて

LXT : すべて

SXT : すべて

TXT : すべて

FXT : すべて

メ モ : エンベデッ ド開発キ ッ ト (EDK) では

Virtex-5 TXT はサポー ト されません。

Virtex-6 デバイ ス :

LX/LXT : 低電力デバイ ス (L) も含む

CXT : SXT すべて :低電力デバイ ス (L) も含む

HXT : すべて

メ モ : エンベデッ ド開発キ ッ ト (EDK) では

Virtex-6 HXT はサポー ト されません。

Virtex-7 デバイ ス :

すべて

Kintex シ リ ーズ Kintex-7 デバイ ス :

XC7K30T/XC7K30TL

XC7K70T/XC7K70TL

XC7K160T/ XC7K160TL

Kintex-7 デバイ ス :

すべて

ISE Design Suite 13 : リ リース ノ ー ト ガイ ド japan.xilinx.com 29UG631 (v 13.1)

Page 30: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

第 3 章 : アーキテ クチャ サポー ト およびシステム要件

Spartan シ リ ーズ Spartan-3 デバイ ス : XC3S50 - XC3S1500

Spartan-3A デバイ ス : すべて

Spartan-3AN デバイ ス : すべて

Spartan-3A DSP デバイ ス : XC3SD1800A

Spartan-3E デバイ ス : すべて

Spartan-3L デバイ ス : XC3S1000L、XC3S1500L

XA* Spartan-3 デバイ ス : すべて

XA* Spartan-3E デバイ ス : すべて

XA* Spartan-3A デバイ ス : すべて

XA* Spartan-3A DSP デバイ ス : XC3SD1800A

Spartan-6 デバイ ス :

LX :XC6SLX4(L)-XC6SLX75(L)

LXT :XC6SLX25T、 XC6SLX45T、

XC6SLX75T

XA* Spartan-6 デバイ ス : すべて

*ザイ リ ン ク ス オー ト モーテ ィ ブ

Spartan-3 デバイ ス : すべて

Spartan-3A デバイ ス : すべて

Spartan-3AN デバイ ス : すべて

Spartan-3A DSP デバイ ス : すべて

Spartan-3E デバイ ス : すべて

Spartan-3L デバイ ス : すべて

XA* Spartan-3 デバイ ス : すべて

XA* Spartan-3E デバイ ス : すべて

XA* Spartan-3A デバイ ス : すべて

XA* Spartan-3A DSP デバイ ス : すべて

Spartan-6 デバイ ス :LX/LXT : 低電力デバイ

ス (L) も含む

XA* Spartan-6 デバイ ス : すべて

*ザイ リ ン ク ス オー ト モーテ ィ ブ

CoolRunner™ XPLA3

CoolRunner-II

XA* CoolRunner-II

*ザイ リ ン ク ス オー ト

モーテ ィ ブ

すべて

すべて

メ モ : エンベデッ ド開発キ ッ ト (EDK) では

CPLD はサポー ト されません。

XC9500 シ リ ーズ デバイ ス

すべて (9500XV フ ァ ミ リ 以外) すべて (9500XV フ ァ ミ リ 以外)

メ モ : エンベデッ ド開発キ ッ ト (EDK) では

CPLD はサポー ト されません。

表 3-3 : アーキテ クチャ サポー ト

ISE WebPACKISE Design Suite

(Logic Edition、 Embedded Edition、

DSP Edition、 System Edition)

30 japan.xilinx.com ISE Design Suite 13 : リ リース ノ ー ト ガイ ドUG631 (v 13.1)

Page 31: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

互換性のあるサー ドパーテ ィ ツール

Mentor Graphics ModelSim SE (6.6d)

Mentor Graphics ModelSim PE (6.6d)

な し な し な し

Mentor Graphics ModelSim DE (6.6d)

Mentor Questa (6.6d)

Cadence Incisive® Enterprise Simulator (IES) (10.2)

な し な し な し な し

Synopsys VCS® および VCS MX (2010.06)

な し な し な し な し

MathWorks MATLAB®

(2009b、 2010a)

MathWorks Simulink® with Fixed-Point

Toolbox (2009b、

2010a)

Synopsys Synplify®/Synplify Pro (E-2010.09)

Mentor Graphics Precision® RTL/Plus (2010a)

Cadence Encounter® Conformal® (9.1)

な し な し な し な し

互換性のあるサー ドパーテ ィ ツール

システム要件

こ のセ ク シ ョ ンでは、システム メ モ リ 要件、ケーブル イ ン ス ト ール、およびその他の要件について

説明し ます。

サー ドパーテ ィ ツールRed HatLinux

Red-Hat Linux-64

SUSE Linux

Windows XP

Windows XP-64

Windows 7

Windows 7-64

シ ミ ュ レーシ ョ ン

合成

等価性チ ェ ッ ク

ISE Design Suite 13 : リ リース ノ ー ト ガイ ド japan.xilinx.com 31UG631 (v 13.1)

Page 32: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

第 3 章 : アーキテ クチャ サポー ト およびシステム要件

システム メ モ リ要件

こ のセ ク シ ョ ンでは、ISE Design Suite 13 を使用するのに必要な RAM および仮想 メ モ リ について

説明し ます。 次の表は、 FPGA イ ンプ リ メ ンテーシ ョ ン ソ フ ト ウ ェアを実行する コ ンピ ュータ を注

文または構築する際に参照し て く ださ い。 これらのガイ ド ラ イ ンは、 指定し たデバイ ス サイ ズの

ピーク メ モ リ 要件に基づいています。 標準的な メ モ リ 要件については、 次を参照し て く ださい。

表 3-4 : ピーク メ モ リ要件 :32 ビ ッ ト OS

メ モ リ : 32 ビ ッ ト OS フ ァ ミ リ デバイス サイズ

http://japan.xilinx.com/ise/products/memory.htm

メ モ リ要件の表

2GB

Spartan-3 3S50 - 3S2000

Spartan-6 6S4 - 6S45

Virtex-4 4V12 - 4V25

Virtex-5 5V20 - 5V50

Kintex-7 7K30 - 7K70

4GB

Spartan-3 3S3400 - 3S5000

Spartan-6 6S75 - 6S150

Virtex-4 4V35 - 4V60

Virtex-5 5V70 - 5V130

Virtex-6 6V75

Kintex-7 7K160

表 3-5 : ピーク メ モ リ要件 :64 ビ ッ ト OS

メ モ リ : 64 ビ ッ ト OS フ ァ ミ リ デバイス サイズ

2GB

Spartan-3 3S50 - 3S1400

Spartan-6 6S4 - 6S16

Virtex-4 4V12 - 4V25

Kintex-7 7K30

32 japan.xilinx.com ISE Design Suite 13 : リ リース ノ ー ト ガイ ドUG631 (v 13.1)

Page 33: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

システム要件

OS と使用可能な メ モ リ

Microsoft Windows および Linux® OS のアーキテ クチャ場合、 ザイ リ ン ク ス プロ グ ラ ムに使用で

き る メ モ リ の 大容量に制限があ り 、 大規模デバイ スおよび複雑なデバイ ス を作成する際に問題と

なる こ と があ り ます。ISE Design Suite 13 には 適化 メ モ リ が含まれてお り 、ザイ リ ン ク ス ソ フ ト

ウ ェア用に RAM を増加でき る よ う になっています。

Windows XP Professional 32 ビ ッ ト

ザイ リ ン ク ス アプ リ ケーシ ョ ンでは、 Windows 32 ビ ッ ト で メ モ リ 増加機能が取 り 入れられる よ う

になっていますが、 ユーザーの方でも よ り 大規模な メ モ リ を使用でき る よ う に Windows 設定を変

更する必要があ り ます。

標準の Windows では、 ザイ リ ン ク スのプロセスに使用でき る 大 メ モ リ 容量は 2GB ですが、

Windows XP Professional の場合は、RAM を 3 GB まで増加でき る よ う になっています。 ISE には

こ のオプシ ョ ンがビル ト イ ン されていますが、Windows XP OS にも修正を加えない と、メ モ リ は拡

張でき ません。拡張するには boot.ini フ ァ イルの startup ラ イ ンの終わ り に /3GB を追加する必要が

あ り ます。

4GB

Spartan-3 3S1500 - 3S5000

Spartan-6 6S45

Virtex-4 4V20 - 4V60

Virtex-5 5V20 - 5V110

Virtex-6 6V75

Kintex-7 7K70 - 7K160

8GB

Virtex-4 4V140 - 4V200

Virtex-5 5V220 - 5V240

Virtex-6 6V195 - 6V240

Kintex-7 7K325

12GB

Virtex-5 5V330

Virtex-6 6V315 - 6V365

Kintex-7 7K410

Virtex-7 7V450 - 7V485

16GBVirtex-6 6V380 - 6V550

Virtex-7 7V585 - 7V855

20GBVirtex-6 6V565 - 6V760

Virtex-7 7V865 - 7V870

24GB Virtex-7 7V1500

32GB Virtex-7 7V2000

表 3-5 : ピーク メ モ リ要件 :64 ビ ッ ト OS

メ モ リ : 64 ビ ッ ト OS フ ァ ミ リ デバイス サイズ

ISE Design Suite 13 : リ リース ノ ー ト ガイ ド japan.xilinx.com 33UG631 (v 13.1)

Page 34: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

第 3 章 : アーキテ クチャ サポー ト およびシステム要件

ザイ リ ン ク ス アプ リ ケーシ ョ ンで 3GB サポー ト を使用する前に、 マイ ク ロ ソ フ ト のサポー ト 技術

情報 (http://support.microsoft.com/?kbid=328269) を参照し て く ださい。 Windows XP サービ ス

パッ ク 1 にア ッ プグレード し、/3GB オプシ ョ ンを使用する場合、マイ ク ロ ソ フ ト からのパッチを イ

ン ス ト ールし ていない と マシンを再起動でき ません。 詳細は、 ザイ リ ン ク ス アンサー #17905 を参

照し て く ださ い。

変更を加える前に、 次を参照し て く ださ い。

4GT RAM チューニン グのアプ リ ケーシ ョ ン使用については、 マ イ ク ロ ソ フ ト のサポー

ト 技術情報 Q17193 (http://support.microsoft.com/default.aspx?scid=kb;en-us;Q171793) を参

照し て く ださ い。

boot.ini フ ァ イルの修正方法については、 マ イ ク ロ ソ フ ト のサポー ト 技術情報

Q289022 (http://support.microsoft.com/default.aspx?scid=kb;en-us;q289022) を参照し て く だ

さ い。

Linux

ISE Design Suite 13 では、Linux 32 ビ ッ ト と メ モ リ ア ロ ケーシ ョ ンの大きい Linux 64 の両方がサ

ポー ト されます。Linux カーネルを変更する と、ザイ リ ン ク ス アプ リ ケーシ ョ ンで 3GB 以上の メ モ

リ を使用でき る よ う にな り ます。

32 ビ ッ ト の Red Hat Enterprise Linux では、大規模 メ モ リ のカーネルを使用し て各プロセスに 4GB 割 り 当てる こ と ができ ます。詳細については、次の Red Hat のサポー ト サイ ト を参照し て く ださい。

http://www.redhat.com/docs/manuals/enterprise/

ISE では、メ モ リ ア ロ ケーシ ョ ンの大きい 64 ビ ッ ト の Red Hat Enterprise Linux もサポー ト されま

す。

ケーブル イ ンス ト ール要件

ザイ リ ン ク ス® デザイ ン ツールでターゲ ッ ト ハード ウ ェアをプロ グ ラ ムおよびコ ンフ ィ ギュ レー

シ ョ ンするには、ハイ パフ ォーマン スなケーブル、プラ ッ ト フ ォーム ケーブル USB または パラ レ

ル ケーブル IV が必要です。

Platform Cable USB II を イ ン ス ト ールする場合は、少な く と も USB 1.1 ポー ト が必要にな り ます。

適なパフ ォーマン スには、USB 2.0 ポー ト で Platform Cable USB II を使用する こ と をお勧めし ま

す。

Parallel Cable IV を イ ン ス ト ールするには、 パラ レル ポー ト コネ ク タ と パラ レル ポー ト 通信がサ

ポー ト される システムが必要です。

ケーブルは、 Windows XP Professional、 Windows 7 Professional、 Redhat Linux Enterprise、 SUSE Linux Enterprise 11 の 32 ビ ッ ト および 64 ビ ッ ト バージ ョ ンで公式にサポー ト されています。 OS 別の注意点は、 次を参照し て く ださ い。

Linux すべて :Linux にケーブル ド ラ イバを イ ン ス ト ールするには、 ルー ト デ ィ レ ク ト リ の権

限が必要です。

SUSE Linux Enterprise 11 :Platform Cable USB II が正し く 動作する ためには、 fxload ソ フ ト

ウ ェア パッ ケージが必要です。fxload パッ ケージは SUSE Linux Enterprise 11 には自動的にイ

ン ス ト ールされないので、 そのユーザーまたはシステム管理者がイ ン ス ト ールし てお く 必要が

あ り ます。

Linux LibUSB サポー ト :LibUSB パッ ケージに基づ く Platform Cable USB II の暫定的なサ

ポー ト は、 ザイ リ ン ク スの Web サイ ト から入手でき ます。 詳細については、 アンサー #25249 を参照し て く ださ い。

34 japan.xilinx.com ISE Design Suite 13 : リ リース ノ ー ト ガイ ドUG631 (v 13.1)

Page 35: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

システム要件

ザイ リ ン ク ス ケーブルに関するその他の情報は、 次のマニュ アルを参照し て く ださい。

USB ケーブル イ ン ス ト ール ガイ ド (UG344)

Platform Cable USB II データ シー ト (DS593)

Parallel Cable IV データ シー ト (DS097)

ISE Design Suite 13 : リ リース ノ ー ト ガイ ド japan.xilinx.com 35UG631 (v 13.1)

Page 36: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

第 3 章 : アーキテ クチャ サポー ト およびシステム要件

装置と ア クセス権

次の表は、 関連装置、 ア ク セス権、 ネ ッ ト ワーク接続の条件を示し ています。

表 3-6 : 装置と アクセス権の要件

項目 要件

デ ィ レ ク ト リ のア ク セス権 編集するデザイ ン フ ァ イルが含まれるデ ィ レ ク ト リ すべての書き

込み権が必要です。

モニタ 解像度 1024x768 ピ ク セル以上の 16 ビ ッ ト カ ラー VGA をお勧め

し ます。

ド ラ イブ ISE Design Suite には DVD-ROM (Web からのダウ ン ロード では

な く 、 DVD を請求し て イ ン ス ト ールする場合)、 MXE には CD- ROM が必要です。

ポー ト デバイ スのプロ グ ラ ムには、ザイ リ ン ク ス プロ グ ラ ム ケーブル用

にパラ レル ポー ト 、 USB ポー ト のいずれかが必要です。 ポー ト の

仕様については、 ケーブルのマニュ アルを参照し て く ださい。

メ モ : Exceed、 ReflectionX、 XWin32 のよ う な X サーバー / リ モー ト デス ク ト ッ プ サーバーはサ

ポー ト されません。

ネ ッ ト ワーク時刻の同期化

ソ フ ト ウ ェアがイ ン ス ト ールされた コ ンピ ュータ と は別のネ ッ ト ワーク コ ンピ ュータにユーザー

のデザイ ン フ ァ イルを保存する場合、 どち らのコ ンピ ュータ も同時刻に設定する必要があ り ます。

ソ フ ト ウ ェアのフ ァ ン ク シ ョ ンを 適にするため、 時刻は定期的に合わせて く ださい。

ChipScope Pro Analyzer

ケーブル イ ンス ト ール要件

Linux の場合、 ルー ト デ ィ レ ク ト リ の権限がない と ケーブル ド ラ イバを イ ン ス ト ールでき ません。

USB 2.0 ポー ト 用に Platform Cable USB II を イ ン ス ト ールする場合は、 Windows XP SP2 以降を

使用する必要があ り ます。 Platform Cable USB II は、 ユーザー ハード ウ ェアに接続されるハイ パ

フ ォーマン スのダウ ン ロード ケーブルで、ChipScope Pro Analyzer ツールを使用し てデバイ スのプ

ロ グ ラ ム、 コ ンフ ィ ギ ュ レーシ ョ ン、 デバッ グを実行し ます 。

システム メ モ リ要件

ChipScope Pro Analyzer には、 1024MB のシステム メ モ リ が必要です。 ChipScope Pro Core Inserter ツールの メ モ リ 要件は ISE と同じです。 ISE の メ モ リ 要件の詳細は、

http://japan.xilinx.com/ise/products/memory.htm を参照し て く ださい。

メ モ : ケーブル ド ラ イバ ソ フ ト ウ ェアのイ ン ス ト ールには、

Windows XP Pro SP1 (またはそれ以降) または Windows 7 Professional が必要です。 これらの OS を使用し ていない場合、

ケーブルが正し く 動作し ないこ と があ り ます。

36 japan.xilinx.com ISE Design Suite 13 : リ リース ノ ー ト ガイ ドUG631 (v 13.1)

Page 37: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

システム要件

System Generator for DSP のシステム要件

推奨ハー ド ウ ェ ア

OS と ソ フ ト ウ ェ ア要件

表 3-7 : System Generator for DSP の推奨ハー ド ウ ェ ア

推奨 メ モ

4.00 GB の RAM な し

600 MB のハード デ ィ ス ク容量 低必要条件

ザイ リ ン ク ス ハード ウ ェ ア協調シ ミ ュ レー

シ ョ ン プラ ッ ト フ ォーム

ハード ウ ェ ア協調シ ミ ュ レーシ ョ ン フ ローに

必要

表 3-8 : System Generator for DSP の OS およびソ フ ト ウ ェ ア要件

要件 メ モ

Windows XP Professional SP2、32 ビ ッ ト /64 ビ ッ ト

または

Windows 7 Professional SP1、 32 ビ ッ ト /64 ビ ッ ト

または

Red Hat Linux 4u7、 32 ビ ッ ト & 64 ビ ッ ト

な し

ザイ リ ン ク ス ISE Design Suite 13.1 な し

MathWorks MATLAB バージ ョ ン 2010a または 2010b.MATLAB 2011a をベータ サ

ポー ト

MATLAB 2010a には、 Red Hat Enterprise Desktop 5.2, 32-ビ ッ ト /64-ビ ッ ト の OS が必要

です。 Red Hat Enterprise Linux WS v4.7 と は互

換性があ り ません。

MathWorks Simulink (Fixed-Point Toolbox

含む) バージ ョ ン 2010a または 2010bMathWorks Simulink with Fixed-Point

Toolbox バージ ョ ン 2011a のベータ サポー ト

MATLAB のイ ン ス ト ール デ ィ レ ク ト リ のパス

名には、 C:\MATLAB\R2010a のよ う に、 スペー

ス を含まない名前を使用する必要があ り ます。

Gateway Out ブロ ッ ク に 53 ビ ッ ト を超え る

出力があ る場合は Fixed-Point Toolbox が必

要です。 ザイ リ ン ク スの Gateway In および

Gateway Out ブロ ッ クへの内部信号は

Fixed-Point Toolbox がな く て も 53 ビ ッ ト

よ り 大き く でき ます。

ISE Design Suite 13 : リ リース ノ ー ト ガイ ド japan.xilinx.com 37UG631 (v 13.1)

Page 38: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

第 3 章 : アーキテ クチャ サポー ト およびシステム要件

38 japan.xilinx.com ISE Design Suite 13 : リ リース ノ ー ト ガイ ドUG631 (v 13.1)

Page 39: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

第 4 章

テ クニカル サポー ト 、 サービス、 関連文書

こ の章では、 テ ク ニカル サポー ト 、 サービ ス、 関連文書について、 次のセ ク シ ョ ンに分けて説明し

ます。

「テ ク ニカル サポー ト 」

「 ト レーニング サービ ス」

「マニュ アル」

テ クニカル サポー ト 技術的な質問については、 ザイ リ ン ク ス サポー ト サイ ト を参照し て く ださい。

http://japan.xilinx.com/support/

こ のサイ ト では、アンサー データベース を検索し た り 、次のセルフ サポー ト 機能を使用する こ と が

でき ます。

資料ページ : http://japan.xilinx.com/support/documentation/index.htm

ダウ ン ロード セン タ : http://japan.xilinx.com/support/download/index.htm

アンサー ブラ ウザ : http://japan.xilinx.com/support/answers/index.htm

ザイ リ ン ク ス ユーザー コ ミ ュニテ ィ フ ォーラ ム : http://forums.xilinx.com/

デザイ ン リ ソース - ビデオ デモ : http://japan.xilinx.com/design

オン ラ イ ン リ ソース を使用し て も問題が解決し ない場合は、 ザイ リ ン ク ス テ ク ニカル サポー ト ま

で直接ご連絡 く ださ い。

http://japan.xilinx.com/support/techsup/tappinfo.htm

ト レーニング サービス

ザイ リ ン ク スでは、プロ グ ラマブル ロ ジ ッ ク デザイ ンのエキスパー ト やザイ リ ン ク ス承認のイ ン ス

ト ラ ク タによ る高品質な ト レーニング サービ ス を提供し ています。 ト レーニングには、 オンサイ ト

およびオン ラ イ ンのイ ン ス ト ラ ク タによ る もの と、 ご自身のペースで学ぶこ と のでき る録画済みの

ビデオなどがあ り ます。

次のサイ ト からは、 ト レーニング コース、無料のオンデマン ド ト レーニング、 ラ イブのオン ラ イ ン

ト レーニング、 イベン ト などの詳細情報が入手でき ます。

http://japan.xilinx.com/support/education-home.htm

ISE Design Suite 13 : リ リース ノ ー ト ガイ ド japan.xilinx.com 39UG631 (v 13.1)

Page 40: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

第 4 章 : テ クニカル サポー ト 、 サービス、 関連文書

マニュアル

ザイ リ ン ク スでは、 ISE Design Suite を使用するのに役立つ技術文書を提供し ています。

オン ラ イ ン ヘルプ

グ ラ フ ィ ッ ク ユーザー イ ン ターフ ェ イ スのあ る ISE Design Suite ツールのほ と んどのツールから、

状況に応じ たオン ラ イ ン ヘルプを利用でき ます。 オン ラ イ ン ヘルプは、Project Navigator で [Help] → [Help Topics] を ク リ ッ ク し て も表示でき ます。

ソ フ ト ウ ェ ア マニュアル

ISE Design Suite の詳細な ソ フ ト ウ ェア マニュ アルおよびコマン ド ラ イ ン機能は、ソ フ ト ウ ェア イ

ン ス ト ールに含まれます。 ソ フ ト ウ ェ アを イ ン ス ト ール後、 Project Navigator で [Help] →

[Software Manuals] を ク リ ッ クする と、 ソ フ ト ウ ェ ア マニュ アルのコ レ ク シ ョ ンが表示されます。

メ モ : ソ フ ト ウ ェ ア マニュ アルを表示するには、 Adobe Acrobat Reader が必要です。

Xilinx Platform Studio (XPS) では、文書のナビゲーシ ョ ン ページがデフ ォル ト の開始画面になって

います。 この文書のタブからすべてのエンベデッ ド開発キ ッ ト (EDK) に関するマニュ アルを表示

する こ と ができ ます。 XPS を起動せずにマニュ アルを開 く 場合は、 $XILINX_EDK/doc/japanese デ ィ レ ク ト リ の edk_documentation_locator.htm フ ァ イルを参照し て く ださ い。

Web サイ ト から ソ フ ト ウ ェア マニュ アルを参照するには、 次の手順に従って く ださい。

1. 資料ページ (http://japan.xilinx.com/support/documentation/index.htm) にア ク セス し ます。

2. [デザイ ン ツール] タブを ク リ ッ ク し ます。

3. ISE Design Suite のよ う なデザイ ン ツールのカテゴ リ を ク リ ッ クするか、 「すべてのデザイ ン

ツール資料」 を ク リ ッ ク し ます。

ユーザー チュー ト リ アル

チュー ト リ アルは、 次のサイ ト から表示でき ます。

http://japan.xilinx.com/support/techsup/tutorials/index.htm

ソ フ ト ウ ェ ア メ ッ セージ

ISE Design Suite で表示されるエラー メ ッ セージ、 警告 メ ッ セージ、 情報 メ ッ セージの日本語訳は

次の Web サイ ト から入手可能です。

http://japan.xilinx.com/japan/support/jmessages/index.htm

Project Navigator の場合、コ ン ソール ウ ィ ン ド ウに表示されるエラーまたは警告 メ ッ セージを右ク

リ ッ ク し、[Go to Translated Message] を ク リ ッ クする と、該当する メ ッ セージの翻訳ページが開き

ます。

PlanAhead の場合、[Compilation Messages] ビ ューでエラーまたは警告 メ ッ セージを右ク リ ッ ク し、

[Search for Japanese Translation] を ク リ ッ クする と、該当する メ ッ セージの翻訳ページが開き ます。

40 japan.xilinx.com ISE Design Suite 13 : リ リース ノ ー ト ガイ ドUG631 (v 13.1)

Page 41: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

第 5 章

その他のリ ソース

アンサー データベース

シ リ コ ン、 ソ フ ト ウ ェ ア、および IP に関する Q&A を示すアンサー データベース を検索し た り 、テ

ク ニカル サポー ト のウ ェブケース を開 く には、次のザイ リ ン ク スのウ ェブサイ ト を参照し て く ださ

い。

http://japan.xilinx.com/support/

その他のマニュアル

その他のマニュ アルは、 次から検索し て く ださい。

http://japan.xilinx.com/support/documentation/index.htm

新バージ ョ ンの USB ケーブルのイ ン ス ト ール ガイ ド は、 次から入手でき ます。

http://japan.xilinx.com/support/documentation/user_guides/ug344.pdf

新バージ ョ ンの Platform Cable USB II のデータ シー ト は、 次から入手でき ます。

http://japan.xilinx.com/support/documentation/data_sheets/ds593.pdf

新バージ ョ ンの Parallel Cable IV のデータ シー ト は、 次から入手でき ます。

http://japan.xilinx.com/support/documentation/data_sheets/ds097.pdf

サー ドパーテ ィ のラ イセンス

ザイ リ ン ク スは、 ISE® Design Suite で次のサード パーテ ィ ベンダのソ フ ト ウ ェア ラ イセン ス を使

用する許諾を受けています。 各ラ イセン スは、 該当する ソ フ ト ウ ェアのみに適用される もので、 そ

の他に適用される ものではあ り ません。 サード パーテ ィ の所有する ラ イセン スは英文のま ま記載し

ています。

サード パーテ ィ ラ イセン スの詳細は、 『Xilinx Third-Party Licenses Guide』 を参照し て く ださい。

ISE Design Suite 13 : リ リース ノ ー ト ガイ ド japan.xilinx.com 41UG631 (v 13.1)

Page 42: ISE Design Suite 13 - XilinxUG631 (v 13.1) japan.xilinx.com ISE Design Suite 13 : リリース ノート ガイド改定履歴 次の表に、この文書の改定履歴を示します。

第 5 章 : その他のリ ソース

42 japan.xilinx.com ISE Design Suite 13 : リ リース ノ ー ト ガイ ドUG631 (v 13.1)