obradasignalas

264
8/14/2019 obradasignalas http://slidepdf.com/reader/full/obradasignalas 1/264

Upload: pera1988

Post on 30-May-2018

223 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 1/264

Page 2: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 2/264

1. Uvod1

1.1 Šta je obrada signala 21.2 Gde se danas koristi DSP tehnologija 21.3 Zašto je bolja digitalna obrada od analogne 31.4 Moguć nosti digitalne tehnike 51.5 Digitalni rač unari 61.6 Tipič an DSP sistem i njegov razvoj 71.7 Predmet knjige 8

2. Analogni signali i analiza mreža 9

2.1 Razlaganje periodič nih signala 92.2 Rač unanje srednje vrednosti 142.3 Mreže periodič nih struja 172.4 Snaga i trenutna snaga 182.5 Klasifikacija snaga 192.6 Fourier-ova transformacija 222.7 Širina spektra 24

3. Analogni signali i sinteza mreža 26

3.1 Podela filtara 263.2 Zadavanje specifikacija filtara 283.3 Približni postupci 31

3.3.1 Upoređ ivanje aproksimacija 373.3.2 Frekventne transformacije 39

3.4 Sinteza aktivnih RC kola 413.4.1 Realizacija aktivnih RC kola 42

3.5 PLL-Fazno povratna sprega 473.5.1 Direktan uslov sinteze 483.5.2 Posredno generisanje sa PLL strujnim krugom 503.5.3 Primena PLL strujnih krugova 51

4. Slu č ajni procesi i signali 53

4.1 Sluč ajne promenljive 534.2 Sluč ajni procesi 61

4.2.1 Definicija sluč ajnih procesa 614.2.2 Označ avanja 62

4.3 Statistike prvog i drugog reda 624.4 Kordinatne transformacije 634.5 Momenti i autokorelacija 654.6 Vremenski proseci 674.7 Stacionarni procesi 67

4.8 Spektralni domen 695. Analogno/digitalna i digitalno/analogna pretvaranja 73

5.1 Uzorkovanje analognih signala 745.2 Teorema uzorkovanja 775.3 Kvantizacija i kodovanje 835.4 Diskretna obrada neprekidnih signala 845.5 Interpolacija i decimacija diskretnih signala 885.6 Primena menjanja uzorkovane brzine 94

Page 3: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 3/264

6. Diskretni signali i sistemi 101

6.1 U vremenu diskretni signali 1026.2 Osnovne operacije i karakteristič ni vremenski redovi 1036.2.1 Osnovne diskretne operacije 1036.2.2 Karakteristič ni vremenski redovi 1046.2.3 Klasifikacija diskretno vremenskih signala 1066.3 Opis diskretno vremenskih sistema 1126.3.1 Vremenski invarijantni (nepromenjeni) sistemi 112

6.3.2 Linearni sistemi 1126.3.3 Kauzalnost 1136.3.4 Sistemi sa pamć enjem 1136.3.5 Stabilnost 114

6.4 Linearno vremenski invarijantni sistemi 1146.4.1 Nekoliko osobina konvolucionog zbira 116

6.5 Klasifikacija LTI sistema 1166.6 Diskretni vremenski redovi i sistemi u frekventnom domenu 1176.7 Opis vremenskih redova sa Fourierovom transformacijom 1186.8 Diskretna Fourierova transformacija konač ne dužine 119

6.9 Cirkularna konvolucija 1216.10 Simetrič ne osobine diskretne Fourierove transformacije 1246.11 Teoreme Fourierove transformacije 1266.12 Karakteristič ni Fourier transformacioni parovi 1276.13 FFT-brzi Fourier-ov transformacioni algoritam 127

6.13.1 Aritmetič ka složenosti rač unanja DFT-a 1286.13.2 FFT 129

6.14 Prozorske funkcije 1306.14.1 Pravougaona prozorska funkcija 1336.14.2 Druge puno upotrebljavane prozorske funkcije 1346.14.3 Parametarske prozorske funkcije 139

6.15 Auto- i unakrsna korelacija 143

7. Z-transformacija i njena upotreba u analizi LTI sistemima 150

7.1 Z-transformacija 1507.1.1z-transformacijakauzalnih i nekauzalnih redova 152

7.2 Najpoznatiji z-transformacioni parovi 1587.3 Osobine z-transformacije 1597.4 Inverzna z-transformacija 160

7.4.1 Tabelarni postupak 1617.4.2 Razlaganje na parcijalne razlomke 1627.4.3 Razvoj u red 164

7.5 Uniliteralna z-transformacija 1657.5.1 Linearne diferencijalne jednač ine sa konstantnim koeficijentima 1667.6 Analiza LTI sistema sa upotrebom z-transformacije 1677.7 Stabilnost diskretnih sistema 168

7.7.1 Jury test stabilnosti 1697.7.2 Schür-Chon test stabilnosti 1707.7.3 Stabilnost funkcija drugog reda 172

8. Struktura diskretno vremenskih sistema 176

8.1 Oznake 177

Page 4: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 4/264

8.2 Osnovne IIR realizacije 1798.2.1 Direktna realizacija 1798.2.2 Transponovana forma 1818.2.3 Kaskadna forma 1838.2.4 Forme paralelne realizacije 185

8.3 Osnovni sklopovi za realizaciju FIR mreža 1878.3.1 Forma direktne realizacije 1878.3.2 Kaskadna realizacija FIR filtra 188

8.4 FIR realizacija sa linearnom fazom 188

9. Upotreba DFT-a 190

9.1 Fourier-ova analiza signala sa upotrebom DFT-a 1919.2 Spektrar determistič kih signala 1939.3 DFT analiza sinusnih signala 194

9.3.1 Uticaj prozorisanja 1959.4 Vremenski zavisna Fourier-ova transformacija 202

9.4.1 Uticaj prozorske funkcije 2049.4.2 Analiza govornog signala 205

9.5 Blok konvolucija sa vremensko zavisnom Fourierovom transformacijom 205

9.6 Parametri vremensko diskretnih sluč ajnih procesa 2069.7 Spektar stacionarnih signala: Periodogram 2079.7.1 Welch postupak: Usrednjavanje modifikovanog periodograma 209

9.8 Filtriranje vremensko diskretnih sluč ajnih signala 213

10. Projektovanje FIR filtara 216

10.1 Osobine FIR sistema 21710.2 Osobine impulsnog odziva funkcije sistema sa linearnom fazom 21810.3 Položaj nule u z-ravni FIR sistema sa linearnom fazom 22010.4 Sinteza FIR filtra sa prozorskom funkcijom 221

10.4.1 Visokopropusni filtar 22210.4.2 Filtar propusnik opsega 22210.4.3 Filtar nepropusnik opsega 22410.4.4 Uloga prozorskih funkcija u projektovanju filtara 22510.4.5 Sinteza FIR filtra uz pomoć Kaiser prozorske funkcije 226

10.5 Projektovanje FIR filtra na bazi frekventnog uzorkovanja 23010.6 Sinteza diskretnog diferencijatora 23610.7 Hilbertov transformator 241

11. Projektovanje IIR filtara 243

11.1 Projektovanje IIR filtra sa približavanjem derivacije 244

11.2 Projektovanje IIR filra upotrebeć i impulsnu invarijanciju 24611.2.1 Poboljšana impulsno invarijantna transformacija 24911.3 Upotreba bilinearnog preslikavanja u projektovanju IIR filtra 250

11.3.1 Izoblič enja bilinearne transformacije 25211.4 Predistorzija i tipska transformacija 254

11.4.1 Zadavanje specifikacija i frekventna izoblič enja 25411.4.2 Tipska transformacija u analognom domenu 25511.4.3.Preslikavanje u digitalnim domenu 255

11.4.4 Realizacija digitalnog filra 256

Literatura 263 Biografija 264

Page 5: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 5/264

Uvod

Nauka o procesiranju signala se bavi proučavanjem signala. Procesiranje signala se modogoditi u neprekidnom i diskretnom odnosno u digitalnom sistemu. Neprekidni sistemi za signala imali su veliku ulogu sve dok se digitalni sistemi nisu usavršili do tog stupnja, d postali pogodni za obradu signala u realnom vremenu. Signali se danas u većini slučaja obrađuju udigitalnom domenu, digitalnim procesorima. Sa neprekidnim vremenskim intervalom ovi dsistemi se povezivaju sa pretvaračima.

Usavršavanje digitalne obrade signala u prvom redu se može zahvaliti napretku računsketehnike. U prvom stupnju napretka se koristio samo za simulaciju analognih procesa, ali vrsu došli do zaključka, da digitalni računar nije pogodan samo za simulaciju, već da se mogurealizovati u digitalnom domenu kompletni sistemi, sa kojima u potpunosti možemo odigitalne signale.

Ova knjiga je prvenstveno namenjena za studente viših škola sa profilom elektronikemogu upotrebljavati i inžinjeri koji su već završili studije, prilikom korišćenja DSP-a. Analognomobradom signalaćemo se baviti samo onoliko da student stekne osnovno znanje i da kasnije da prati gradivo digitalne obrade signala. Autor se nije trudio na celinu, jer oblast obrade danas na početku tr ćeg milenijuma, u oblasti svake struke je uzeo zamah, i konsultovanje prob je obimno.U ovom udžbeniku autor je našao za zanimljivo, da obradi osnovne teme o signala.

Page 6: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 6/264

Uvod

2

Cilj knjige je da pripremičitalaca da sam realizuje konkretne hardware-ske i software-skerealizacije, kao prvo

1. na nivou teorije,2. kroz zanimljive zadatke,3. simulacija rezultata kroz MATLAB i4. na kraju, olakšati upotrebu DSP-a korisniku.

U nastavku, prvo u vezi sa gradivom trudićemo se da stvorimo lakšu preglednost, da bi kasnije

lakše bilo praćenje celog gradiva, i jošćemo se truditi pokazati sredstva sa kojomaćemo ovezadatke obavljati.

1.1. Šta je obrada signala

Naša okolina je puna raznih uticaja, zvuk, svetlost itd. koje možemo osetiti našimčulima.

Naš organizam ima petčulnih organa, pet senzora, vid, sluh, miris, ukus i dodir. Tako za

osećaj zvuka koristimo uši. Ose

ćaj ose

ćamo preko nervnih sistema sa elektri

čnim znacima koje prosleđujemo mozgu. Kada ovi signali stignu u mozak, oni raspolažu različitim frekvencijama,

amplitudama koji stvaraju sliku o dejstvu zvuka. Ovako možemo utvrditi tip zvuka (da li je tmuzika, razgovor ili buka od aviona) kao i njegov pravac. Pored toga imamo jedan snažan računar na raspolaganju, a to je mozak. Nije teško prekopirati u velikim crtama ovaj sklop, ali je teškodnosno nemoguće napraviti sistem kojiće delovati kao ljudski mozak. Ljudski mozak obrađuje pojave preko nervnih sistema i pretvara u električne signale. Ove neprekidne signale uglavnomnazivamoANALOGNIMsignalima. Na osnovu ovoga naš mozak možemo tretirati kao analogniračunar sa velikim kapacitetom. Tehniku kada analogne signale obrađujemo sa analognimelementima nazivamoanalogno procesiranje signala.

Mi znamo da projektujemo analogni računar, ali njegov kapacitet i fleksibilnost zaostaje zamozgom. Digitalni računari kao PC mnogo je jednostavniji sistem i možemo postići velike rezultate

u numeričkoj obradi podataka, ali imaju ograničene mogućnosti, nisu dovoljno brzi za obraduanalognih signala. Analogne signale možemo obraditi sa digitalnim elementima, kao prvo mora pretvoriti u digitalni signal. Pretvaranje se vršiAnalogno/Digitalnim pretvaračem skraćeno AD.Onaj proces kada signale obrađujemo u digitalnom domenu nazivamodigitalno procesiranje

signala a engleskiDigitalSignalProcessing odnosno skraćeno DSP. Danas već konkretno za ovajzadatak postoje konstruisani procesori, to su procesori za digitalnu obradu signala odnosno skraćenoDSP.

1.2 Gde se danas koristi DSP tehnologija?

Odgovor bi bio lakši kada bi pitanje bilo “gde se ne koristi?”. Danas u savremenoj tehnicnema takve oblasti gde se ne koristi bar jedan DSP procesor, odnosno jedan ili više uređaja sakojima se može izvršiti DSP računanje (FPGA, CPLD ili neke druge brže ali ne za DSP predviđeni procesori). Elementi za DSP danas nisu skupi, naročito ako uzmemo u obzir u kakvom su odnosucena procesora i cena gotovog proizvoda. Proizvođači DSP procesora su uglavnom proizvođači kojise bave proizvodnjom procesora i analogno elektronskihčipova. Najveći proizvođači su: AnalogDevices, Hitachi, Motorola, Texas Instruments, Zilog i Zoran.

Page 7: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 7/264

Uvod

U profesionalnim sredstvima se koristi kao na primer: instrument za merenje, sloupravljačkim sistemima, profesionalna komunikativna sredstva, telefonske centrale, video temobilni telefoni, za nadzor bolesnika, „virtuelne” naočare, roboti, za nadzor aviona, kod radarkodera itd.

Osim profesionalnih sredstava koristi se još i za regulisanje rada elektromotor proizvodnji automobila, gde danas u jednom savremenom automobilu postoji više DSP prokoji posebno nadgledaju kočenje, regulišu rad motora itd. Takođe DSP uređaji su ugrađeni u

sisteme za obradu fotografija, modeme, hard diskove, u igrice, 3D grafičkim uređajima u računarutzv. turbo kartice, HI-FI uređajima, multimedijalnim sistemima, muzičkim uređajima kao na primer sintisajzer, električna gitara itd. Ovo nabrajanje bi se moglo nastaviti. Evo par primera upoDSP-a.

Muzi č kim instrumentima uglavnom se koristi jedan konkretni DSP procesor tzv. „muzčki procesor” on predstavlja srce muzičkih instrumenata. Danas u modernim sintisajzerima osnomuzički zvuci, realizacija različitih muzičkih uticaja nezamislivo je bez jednog ozbiljnog D procesora. Za popravku akustike sale, uzimaju se u obzir različiti postupci kao distribuiranimuzički uticaj, reverberacija itd. Sve ovo je neizvodljivo bez upotrebe DSP procesora.

U okviru komercijalnih PC računara sve ve

ća potreba se ukazuje za upotrebom DStehnologije. Modemi, hubovi, ruteri itd. danas već za „internet” računarske mreže nezamisliv je

njihov rad bez DSP tehnologije. Kako raste potreba za internetom, kako količinski tako i kvalitetno pa se sve veća i veća potreba ukazuje za upotrebom DSP procesora sa velikom snagom. Podana u dan raste informaciona brzina, ali ostaju stari prenosni mediji (slabiji lokalni kanalogni mrežni pojačavači, analogne centrale, ometane radio mreže itd.), pored novih, zahteupotrebom DSP procesora je sve veći. Zadatak DSP procesor je da eliminiše: greške u prilagođenjukabla, linearne i nelinearne deformacije signala i da eliminiše šum iz zadatog signala i na otoga da na odgovarajući način tumači dobijeni znak.CD, Hard disk, Flopi disk, sa porastomkapaciteta memorije i minimizacijom gabarita, odnosno sa porastom brzinečitanje/pisanje stvorenisu suprotni uslovi, koje se ne mogu pratiti klasičnim digitalnim uređajima, zato su se stvorili uslov

za upravljanje sa DSP-om. Sa povećanjem gustine podataka, sa pove

ćanjem brzine, uloga DSP procesora na ovom polju je sve veća. Komercijalizacija tehnologije3D grafike , sa pojavom PC

turbo kartice, u velikoj meri je porasla upotreba DSP procesora. Danas već su modernije igricesimulacije letenja, i one već zahtevaju komunikaciju bar sa jednim DSP procesorom. Rešenjrealizaciju 3D grafike mogu biti video procesori ali su cene još izuzetno velike za občnogkorisnika. Igrice izvan računara sadrže sve više procesora, pa je DSP procesor za generisanje zvza prepoznavanje zvuka i za pokrete u igrici.

Mobilni telefon unutar mreže ima više zadataka. Nekoliko od njih: kodovanje, dekodov potiskivanje šuma, prilagođavanje signala, izbor kanala....Za ovakve složene zadatke, sa mzahtevom za memorijom sa niskom upotrebom energije jedino je pogodan DSP procesor.

Za pokretanje elektromotora je izrađena posebna porodica DSP-a (pr. Analog DevicMotorolla ili Texas Instruments porodice). Ove porodice procesora se bave opterećivanjemelektromotora i sa upravljačkim algoritmom, ona u realnom vremenu upravlja sa MOSFET-omIGBT tranzistorom. Danas već i u standardne invertore se ugrađuju DSP procesori. DSP procesomože da obrađuje kontrolne signale oko MHz-nih struja, određene reakcije da sprovede i dageneriše određene šestokanalne PWM signale za trofazno pokretanje.

Ovo nabrajanje bi se moglo nastaviti, ali to nije cilj ove knjige. Sa ovim nabrajanjesmo sliku, da DSP ima sve veću i veću ulogu u profesionalnom i svakodnevnom životu.

Page 8: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 8/264

Uvod

4

1.3 . Zašto je bolja digitalna obrada signala od analogne?Gledano sa tačke korišćenja i sa razvojem današnja digitalna tehnika mnogo je naprednija

od analogne tehnike. Ove prednosti možemo svrstati u sledeće kategorije: programibilnost, ponavljanje, stabilnost, lakša realizacija adaptivnih algoritama, linearna karakteristika faze, NOTCfiltar itd. Prednost digitalne tehnike je da se mogučuvati i da se mogu sabijati podaci. Digitalnatehnika ima rešenja za sve probleme, ali to nije istina, ponegde analogna tehnika ima bolja rešenod digitalne.

Razmotrimo neke dobre osobine digitalnih sistema. Programibilnost, znači da isti hardwaremožemo programirati u širem krugu, za rešenja problema digitalnih signala. Ovako na primer akmenjamo parametre jednog filtra onda to vršimo programiranjem, dok kod analogne tehnike s promenom elemenata, isto tako ako želimo da promenimo stepen ili tip filtra to kod DSP procesoradimo programiranjemčipa, dok kod analognog filtra treba ponovo da se projektuje ploča, jer sestari ne može promeniti za odgovarajući problem.Uticaj temperature kod analogne elemenata jenajkritičnijičinilac, dovoljno je pogledati samo temperaturne karakteristike. Svaki uređaj koji sadržiotpore, operacione pojačavače sa promenom temperature menja se prenosna karakteristika. Dok koddigitalnih strujnih krugova ako se radna temperatura nalazi u granicama deklarisanih o proizvođača onda sa promenom temperature operacije se ne menjaju, rezultat operacije ostaje isti Fleksibilizacija ako isti hardware hoćemo koristiti za druge zadatke u većini slučaja nije ni potrebno ponovno programiranje. Ako je naš sistem fleksibilan jednostavno aktiviramo neki drumemorijski domen ili fizički promenimo unapred programiran memorijskičip, ili sa spoljnjim prekidačima prekopčamo na drugi memorijski domen, i isti taj memorijskičip sada se može koristitiza drugi zadatak.Starenje naročito su osetljivi analogni uređaji, i unutar toga kondenzatori, jer savremenom materijal dielektrične izolacije „umori se” i samim tim se promeni električna osobinaunutar strujnog kruga. Ovučinjenicu trebamo uzeti u obzir prilikom projektovanja.

Tolerancija, parametarska razlika elementa ili sistema. Važno je uzeti u obzir prilikom projektovanja, ali isto tako i prilikom izrade. Zbog toga projektant uglavnom ispiše tolerancielemenata prilikom izrade. Tolerancija otpornika je uglavnom 5% skuplji su 2%, mogu biti i 1% amanje. Tolerancija tipičnih kondezatora je 20%, a može i više. Kod analognih sistema pripadaju podaci koje sadrže osetljivost sistema i toleranciju parametara.

Sistemi koji su napravljeni od analognih elemenata, njihovo ponašanje ne znamo tačnoodrediti. Nakon završetka uređaja ako je potrebno mora se kalibrisati. Proizvođač smatra za uspešnu proizvodnju predfiltriranje elemenata. Važna su i merenja nakon završetka procesa proizvodnjPreko ovih merenja moge se izvršiti korekcije u pripremi sastavnih elemenata, ili u plandokumentacije proizvoda.

Osetljivost i granicu tolerancije trebamo uzeti u obzir prilikom računanja analognih strujnihkrugova. Preko ovoga se može izbeći uticaj promene parametara sastavnih elemenata. Analizaosetljivosti i toleranciona analiza je složen proces. Ako uzmemo u obzir promenu parametarsastavnih elemenata onda postaje složeniji strujni krug i primorava projektanta da prihvati i n poželjne kompromise. Projektovanjem digitalnih ili DSP sistema mogu se izbeći ovi problemi. Alidigitalne ili DSP procesore možemo projektovati kao dopunjavajuće strujne krugove, da bi dosad projektovan dobro radio i kontrolisao velike analogne i mehaničke sisteme, sa vremenom dakoriguje parametre. (ilustracija se može videti na slici 1.1).

Page 9: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 9/264

Uvod

a) Sistem pogrešno radi b) Korigovan sistem

Slika 1.1.Poboljšanje stare pogrešno funkcionirajuće analogne elektronike sa DSP-om

Kao što smo videli ako stvaramo analogni sistem i ako ponovimo to stvaranje i upormerene parametre oba stvorenog sistema, trudeći se odabiranjem istih sastavnih elementa, mererezultatiće se dosta razlikovati. Ako na istom analognom elektronskom sistemu merimo višisti parametar možemo uočiti velike razlike u merenim rezultatima. U suprotnost analogsistemima, delovi digitalnih sistema se ne kvare i možemo sastaviti više hiljada sistema, a rezultati kod svih sistema treba da se slažu.

1.4. Moguć nosti digitalne tehnikeImamo posebne zahteve u procesu realizacije, koji se mogu realizovati samo digit

tehnikom. Od ovih specifičnih sistema pomenućemo nekoliko, na primer. filtar sa linearnom fazom,kod ovih filtara, faza spektralne komponente signala u istoj meri se menja prilikom prolaskfiltar. Ovakvi filtri su FIR filtri. “ NOTCH ” filtre možemo projektovati sa DSP-om. Ovi filt propuštaju jedan veoma tanak opseg frekvencije. Adaptivno aktivni sistem za potiskivnje šum-a sanapretkom tehnike sve veća joj je potreba. Svaki uređaj koji emituje zvuk u okolinuelektromagnetni talas, začoveka ili za neki sistem se javlja kao korisna pojava ili se javlja štetan šum. Potisak šuma u govornom domenu ili u električnom domenu smatra se kao zelena temPostoje i analogna rešenja, ali mogućnost promene karakteristike šuma jako je mala, zbog ttehnički relevantno rešenje može doći u obzir tehnika sa DSP procesorom. Danas već imamoizrađene algoritme i hardverska rešenja pr. u kabini automobila u naslonu za glavu ugđenmikrofon i sistemzvučnika sa DSP-om, pilotskoj kabini u kacigi pilota ugrađen sistem zaotklanjanje buke, u oba slučaja se koristi za otklanjanje buke motora, karoserije i brzinske bImamo gotova rešenja za smanjivanje sakupljena buka u prenosnim linijama telefona, televikompresovane podatke bez gubitka velika je potreba u informatici. Sa kompresovanim podacmožemo uštedeti prostor u memoriji, a i kod prenosa signala potreban prenosni kapacitet ssmanjiti. Kompresovane podatke poslečuvanja ili prenosa suprotnim algoritmom otpakujemo početnu veličinu. Postoji i kompresija sa gubitkom ali onda jedan deo informacije se gubi, postupak se koristi za kompresiju zvuka i slike.

Page 10: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 10/264

Page 11: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 11/264

Page 12: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 12/264

Uvod

8

a.) primenom software-ske simulacije b.) izborom hardware-a ic.) iskustvom.

Ova tri faktora su u stalnom ciklusu, sve dok se projekat ne završi. Ako promenimo nekutačku onda eventualno treba promenuti i ostale.

Kratkoćemo pregledati najpoznatije proizvođače DSP procesora bez zahteva celovitosti i to

po ABC-dnom redosledu: Analog Devices, Hitachi, Motorolla, Texas Instruments, Zilog.Obrada specifičnosti pojedinih procesora nije tema ove knjige, jer se DSP procesori jako brzo se menjuju i iz dana u dan i gube aktuelnost, javlja se i problem osnove njihovih programiranu oblasti mikroprocesorskih problema.

1.7. Predmet knjigeU drugom poglavlju se bavimo analizom analognih sistema. Ovo poglavlje obezbeđuje

minimalno znanje iz analiza mreža, da bi se moglo efektivno pratiti tema digitalne obrade signala.

Treće poglavlje obezbeđuje znanje minimalnih mrežnih sinteza. Kod sinteze digitalnihfiltara potreban je osnovni stepen znanja.

Četvrto poglavlje zatvara red u pripremnim poglavljima. U ovom poglavlju na osnovnomnivou uvodimo slučajne signale i pojmove oko njih.

U petom poglavlju obrađujemo prelaz sa analognog na digitalni i obrnuto. Zanimamo se i sa problemom uzorkovanja.

Šesto poglavlje je najobilnije poglavlje. Ovde definišemo osnovne pojmove diskretnihsignala i sa sistema. Svrstavamo diskretne signale i sisteme. Posebno se bavimo Fourier-ovo

analizom diskretnih signala. Na kraju poglavlja se bavimo korelacionom analizom diskretnisignala.

Tema sedmog poglavlja je Z-transformacija. Posebno se bavimo problematikom stabilizacijdiskretnih signala.

Osmo poglavlje obrađuje sklop diskretno vremenskih sistema. Pored osnovnih sklopova kaošto su direktna, kaskadna i paralelna izvedba, obrađuju se i specifični sklopovi.

Upotrebom DFT-a se bavimo u devetom poglavlju. U šestom poglavlju smo obrađivaliosnovu diskretnu Fourier-ovu transformaciju. U ovom poglavlju se bavimo primenom, analiziram

determističke signale i posebno se bavimo uvodnim karakterom za analizu stohastičnih signala uspektralnom domenu.

U desetom i jedanaestom poglavlju se bavimo projektovanjem FIR i IIR filtara.

Page 13: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 13/264

Analiza analognih signaala i mreža

Drugo poglavlje uč vršć uje vremenski neprekidne sisteme i osnove analize signala. Oteoretsko gradivo ima ulogu utemeljivanja, u kasnijim obradama diskretno vremenskih sistem

Nadalje služić emo se jednom specijalnom mrežom strukturom, tzv.: parametarskikoncentrisanim, linearanim, invarijantnim i kauzalnim mrežama.Unutar mreža veza izmeđ u struja i napona uglavnom nije linearna. Uglavnom u toku vežbe msmatramo linearnim. Analizalinearnih mreža mnogo je jednostavnija, zato u već ini sluč aja već kod

prvog približavanja uzimamo da je linearan iako znamo da nije linearan. Dalja važna osobina je, da veza izmeđ u mrežnih parametara, vremenski je konstantna (invarijantna promenjiva. Karakteristikakauzalnih mreža je da buduć i događ aji ne mogu uticati na sadašnjost ilna prošlost.

Analiza mreža, smatra zadatim elemente strujnih krugova, nasuprot mrežnim sintezamse moraju odrediti vrednosti mrežnih elemenata. U ovom poglavlju se bavimo analizom Teorija mreža se ne bavi realizacijom elemenata strujnih krugova, to je zadatak proizvsastavnih elemenata, ali uzima u obzir osobinu realizovanih elemenata.

Mreže i signale opisujemo u vremenskom i frekventnom domenu. Veza izmeđ u ova dva

domena se ostvaruje Laplace-ovom transformacijom. Specijalni slučaj Laplace-ove transformacije je Fourier-ova transformacija.

2.1. Razlaganje periodič nih signalaPeriodič ni signali prema definiciji mogu se karakterisati na sledeć i nač in:

,...2,1,0 ),()( =+= nnT t st s (2.1)Analiza periodič nih signala se gradi na Fourier-ovim teorijama. Na osnovu ove teorije

periodič ni signal se može razložiti na sinusoidalneč lanove. Ove funkcije, na osnovu Fourier-ov

Page 14: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 14/264

Page 15: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 15/264

Analiza analognih siganala i mreža

-

Tabela 2.1.Fourier-ov red sledeć ih funkcija

Rednibroj

Vremenski oblik signala Fourier-ov red signala

1.−Ω+Ω−Ω+=

==

...6cos7*5

14cos5*3

12cos3*1

142)/2cos()(

t t t

T t t f

ππ

π

2.+Ω+Ω+Ω−=

==

...6cos7*5

14cos5*3

12cos3*1

142)/2sin()(

t t t

T t t f

ππ

π

3.−Ω+Ω−Ω+Ω+=

=

.6cos7*5

14cos5*3

12cos3*1

12cos211

)(

t t t t

t f

ππ

4.+Ω+Ω+Ω−Ω+=

=

...6cos7*5

14cos5*3

12cos3*1

12cos211

)(

t t t t

t f

ππ

5. +Ω+Ω+Ω= ...5sin513sin

31sin4)( t t t t f π

6. +Ω+Ω+Ω= ...5cos5

13cos3

1cos4)( t t t t f π

7. −Ω+Ω−Ω= ...5sin513sin

31sin8)( 222 t t t t f π

Page 16: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 16/264

Analiza analognih signala i mreža

12

8. +Ω+Ω+Ω= ...5cos513cos

31cos8)( 222 t t t t f π

9. +Ω+Ω+Ω−= ...3sin312sin

21sin1

21)( t t t t f π

10. +Ω+Ω+Ω+= ...3sin312sin

21sin1

21)( t t t t f π

11.+ΩΩ+

+ΩΩ+ΩΩ=...5sin5sin

51

3sin3sin31

sinsin4)(2

2

τττ

πωτt

t t t f

12.+ΩΩ+

+ΩΩ−ΩΩ=

...5cos5sin51

3cos3sin31cossin4)(

2

2

τ

ττ

πωτt

t t t f

U celoj tabeli količ inski odnos je sledeć i:

T π2=Ω (2.7)

Možemo sažeti sinusne i kosinusneč lanove koji pripadaju istom harmoniku pa se takoopisuje jednim kosinusnimč lanom. Ovako dobijene spektralne komponente opisuju amplitudski ifazni spektar. Diskretne komponente amplitudskog i faznog spektra se mogu rač unati iz Fourier-ovih koeficijenata na sledeć i nač in:

k k k k k k k k t k F t k F t k F t k Bt k A θθθ sin)sin(cos)cos()cos()sin()cos( Ω−Ω=+Ω=Ω+Ω

k k k F A θcos= és k k k F B θsin= (2.8)22

k k k B A F += és tg θkk

k

B

A= −

posle ovoga signal razvijen u red sa amplitudnim i faznim komponentama može se opisati nsledeć i nač in:

∑∞

=+Ω+=

10 )cos()(

k k k t k F F t f θ (2.9)

Page 17: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 17/264

Analiza analognih siganala i mreža

-

Na slici 2.2. se može videti oblik jednog signala kao i amplitudni i fazni spektar.

Slika 2.2.Jedan a) periodič ni signal b) amplitudski i c) fazni spektar

Amplitudski spektar je parna funkcija, dok je fazni spektar neparna funkcija.Ako signale karakterišemo sa amplitudskim i faznim spektrumom nasuprot Fourier

koeficijentima Ak i Bk, dobijamo realnije vrednosti za inžinjersku upotrebu koje se mogu posredno ili neposredno.

Ispis Fourier-ovih redova u exponencijalnoj formi u odnosu na izraz (2.2) i (2.9), ima m prednosti u rač unskoj tehnici. Ove prednostić emo videti kasnije. Ova forma označ avanja ima inedostatke, moramo uvesti pojamnegativne frekvencije. Kao štoć emo videti negativna frekvencijase odnosi na negativne vrednostik u izrazu (2.10).

Page 18: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 18/264

Analiza analognih signala i mreža

14

Svaki realni sinusni napon, možemo opisati kao zbir dva komplex-na dela napona, od koji jedan ima kružnu frekvenciju+ ω a drugi-ω odnosno:

t jt j eu

eu

t ut u Ω−Ω +==22

cos)( 000 ω

Kao što se može videti na slici 2.3. originalni vektor se može zamisliti kao zbir dva delvektora. Ovi delovi vektora okreć u se u suprotnom smeru. Original je uvek realan. Jednakomponenta ovog originala ječ lan sa negativnom frekvencijom, i njegov par sa pozitivnomfrekvencijom daju realni napon.

Slika 2.3.Prikaz negativne frekvencije

Exponencijalni oblik Fourier-ovog reda:

∑+∞

−∞=

Ω

= k

t jk k eC t f )( (2.10)

komplexni koeficijenti se mogu izraziti sa realnim koeficijentima:

C A jB

F ekk k

kj k= − =

2

1

2$ θ és C F 0 0= (2.11)

Komplexni koeficijenti se mogu izrač unati iz samog signala:

( )

∫ Ω−=

T t jk

k dt et f T

C 0

1 (2.12)

Izrazi (2.10) i (2.12)č ine komplexni transformacioni par.

2.2. Rač unanje srednje vrednostiU tom sluč aju ako nam je poznat Fourier-ov red periodič nog signala:

Page 19: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 19/264

Analiza analognih siganala i mreža

-

∑∞

=+Ω+=

00 )cos()(

k k k t k I I t i θ (2.13)

jednostavnu srednju vrednost možemo rač unati na sledeć i nač in:

0

0

01000 )cos(1

I dt t k I dt I T

I T

k i

k

T

=+Ω+= ∫ ∑∫ = 4 4 4 34 4 4 21

θω

(2.14)

Kod rač unanjaefektivne vrednosti prvo izrač unamo kvadrat trenutne vrednosti signala:

∑∑∑ ∑∞

=

≠=

=

=+Ω+Ω++Ω++Ω+=

1 11 10

2220

2 )cos()cos(2)cos(2)(cos)(k

k nn

nk nk k k

k k k k t nt k I I t k I I t k I I t i θθθθ

primenom integrala dolazimo do sledeć eg izraza:

∑∑ ∫ ∫ ∑

∫ ∫ ∑∑∫ ∫ ∞

=

≠=

=

=

=

+Ω+Ω++Ω

++Ω++==

1 1

00

001

0

00

01

2

10

20

0

22

)cos()cos()cos(1

)22cos(211

2111)(1

k k n

n

T

nk nk

T

k k

k

T T

k k

k k

T T

eff

dt t nt k I I dt t k I I T

dt t k T

dt I T

dt I T

dt t iT

I

4 4 4 4 4 34 4 4 4 4 214 4 4 34 4 4 21

4 4 4 34 4 4 21

θθθ

θ

∑∞

=+=⇒

1

220

2

21

k k eff I I I (2.15)

Ako uvedemo pojam efektivne vrednosti harmonijskogč lana:

,...2,1 ,2

1 == k I I k keff (2.16)

onda možemo na sledeć i nač in opisati efektivnu vrednost signala:

...22

21

20

0

2 +++== ∑∞

=eff eff

k keff eff I I I I I (2.17)

Na osnovu ovoga kvadrat efektivne vrednosti periodič nog signala jednak je kvadratnom

zbiru efektivne vrednosti pojedinih harminočnih

članova.

Klir faktor zadaje odnos efektive vrednosti viših harmonika i efektivne vrednosti csignala:

kI I I

I

I I

I

I

If = + + + + − = −

22

32

42 2

12

12

21... (2.18)

Mala je distorzija akok ima malo vrednost.

Page 20: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 20/264

Analiza analognih signala i mreža

16

Zadatak 2.1.: Odredimo efektivnu vrednost prvih N harmonika slede ć ih periodi č nih signala:- pravougaoni signal,- trougaoni signal.

Uporedimo sa efektivnom vrednoš ć u celog signala.

Rešenje: Određ eni oblici signala i Fourier-ovi redovi se mogu videti u tablici 2.1. Prvoć emoizrač unati kvadrat efektivne vrednosti pravougaonog signala:

∫ ∫ ===2/

0

2/

0

22 1112)(12T T

Neff dt T

dt t uT

U

Kvadrat efektivne vrednosti trougaonog signala:

∫ ∫ =−==4/

0

24/

0

22

31)41(14)(14

T T

Heff dt t T T

dt t uT

U

Ako uzmemo u obzir č lan sa prvim N harmonikom, onda efektivnu vrednost rač unamo na sledeć i

način:

∑=

= N

nneff

N eff U U

0

2

Intenzitet pojedinih harmonijskihč lanova za dva signala:

2

8 24

22nU

nU Hn Nn ππ

==

Sada sređ ivamo u tablici 2.2. za dva različ ita signala, i gledamo kako se menja procentualna

razmera efektivnih signala do N-togčlana, u odnosu na realnu efektivnu vrednost.

Tabela 2.2.Upoređ ivanje efektivnih vrednosti

Harmonik Pravougaonisignal

Trougaoni signal

1 90.0% 88.9%3 94.7% 99.8%5 96.5% 99.9%

Page 21: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 21/264

Analiza analognih siganala i mreža

-

a) b)

Slika 2.4.Uticaj harmonika na formiranje vremenskog oblika signala kod a) pravougaonog trougaonog signala

Kao što se vidi iz tablice sa dodavanjem viših harmonika brzo se približi efektivna vrerealnoj efektivnoj vrednosti signala. Ovač injenica se okom može videti kod trougaonog signaFormiranje oblika trougaonog signala, je brže nego kodč etvorougaonog signala, ako krenemo oosnovnog harmonika i korak po korak dodajemoč lanove pojedinih harmonika. Ovo se lako mo proveriti sa pr. MATLAB Signal Toolbox-om a rezultat se može videti na slici 2.4.

2.3. Mreže periodič nih strujaRazlaganje u Fourier-ov red primenjiv je samo u stacionarnom režimu rada m

periodič nih struja. Kao prvo objasnimo najednostavniji sluč aj, ako mreža sadrži jedan naponskgenerator sa složenim periodič nim naponom napajanja. Ovaj signal nek budeu(t). Sada napišimooblik napona razvijen u Fourier-ov red:

∑∑∞

=

Ω∞

= +=+Ω+= 10

10 ˆ)cos(ˆ)( k

t jk jk

k k k eeU U kt U U t u

k ϕ

ϕ (2.19)

Ovo shvatimo ovako, da svaki harmonik predstavlja jedan naponski generator kao što se slici 2.5.

Page 22: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 22/264

Analiza analognih signala i mreža

18

Slika 2.5. Prikaz Fourier-ovog razlaganja u red a) zbir naponskog generatora b) uticaj razlaganjanapona u red

Pošto je strujni krug linearan, važi teorema superpozicije. Na osnovu ovoga strujugeneratora možemo rač unati kao zbir parcijalnih struja koje stvaraju pojedini generatori harmonika.Ovako možemo izrač unati preko pojedinih generatora harmonika generisane struje, ali za to nam je potrebno poznavanje impedanse, kojek ⇔' kružnom frekvencijom optereć uju generatoreharmonič nih napona (gde jek ceo broj).

Impedancija optereć en sa harmonijskimč lanovima:

k jk

iiik k e Z

C jk L jk R Z Z ϕ=

ΩΩ= 1,, (2.20)

velič ina pojedine komponente struje:

)cos(1

Re)( k k k

k t j j

k k

k t k Z

U

eeU Z t ik

ϕρρ

−+Ω=

(2.21)

vrednost potpune struje:

∑∞

=−+Ω+=

10

0 )cos(ˆ

)(k

k k k

k t k Z U

Z U

t i ϕρ (2.22)

U strujnom krugu na razne nač ine mogu biti povezani otpori Ri, kalemovi Li, i kondenzatoriCi. Indexi pokazuje, da ima više otpora, kalema i kondenzatora u strujnom krugu.

2.4. Snaga i trenutna snagaIspitajmo jedan dvopolč ija je strujai(t) i naponu(t), vremenski periodič ni signal. Trenutna

snaga koju prihvata dati strujni krug:

p t i t u t( ) ( ) ( )= ⋅ (2.23)

Pošto je strujni i naponski signal periodič an signal, zbog toga se može razviti u Fourier-ovred pa se izraz 2.21 može pisati u sledeć em obliku:

Linearnamreža

Linearnamreža

Page 23: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 23/264

Analiza analognih siganala i mreža

-

∑∑∞

=

=+Ω+=−+Ω+=

10

00 )cos()( )cos()(

k k k

k k k k t k U U t uést k I I t i ρϕρ .

.)cos()cos(

)cos()cos()(

1 1

00

1000

∑∑

∑∑∞

=

=

=

=

++Ω+Ω+

++Ω+−+Ω+=

k nnnk nk

k k k

k k k k

t nt k I U

t k U I t k I U I U t p

ϕρρ

ρϕρ(2.24)

Iz složenog izraza trenutne snage, ne možemo izvuć i nikakav zaključ ak.

Proseč na snga se rač una na sledeć i nač in:

PT

p tdtT

= ∫ 1

0

( ) (2.25)

ako uvrstimo izraze struje i napona onda je:

,2

)22cos(cos12

])cos()cos([1

1 000

0 1

00

∑ ∫

∫ ∑∞

=

=

−+Ω++=

=−+Ω+Ω+=

k

T k k k

keff keff

T

k

k k k k k

dt t k

T I U I U

dt t k t k I U I U

T

P

ϕρϕ

ϕρρ

Za jednu periodu integral sinusnih funkcija je nula, tako da je srednja vrednost snage:

K+++==∑∞

=22211100

0coscoscos ϕϕϕ eff eff eff eff

k k keff keff I U I U I U I U P (2.26)

gde je2

k keff

U U = i

2

k keff

I I = efektivna vrednost struje i naponak -tog harmonika.

2.5. Klasifikacija snagaU mrežama sinusnih struja, izgled snage u komplexnom domenu je sledeć i:

S U I= (2.27)

Na slič an nač in snaga pojedinih harmonika se može opisati u komplexnoj formi.

Korisna snaga sinusnog signala:

P S UI= =cos cosϕ ϕ (2.28)

gde cosϕnazivamo faktor snage. Za složene periodič ne signale korisna snaga se može rač unati kaozbir korisnih snaga pojedinih harmonika odnosno:

∑∞

===

1coscos

k k k k UI I U P ϕθ

Page 24: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 24/264

Analiza analognih signala i mreža

20

ϕnema fizič ki znač aj. Na slič an nač in možemo definisati kod sinusnih signala virtuelnu snagu sasledeć im izrazom:

'sinsin1

∑∞

===

k k k k UI I U Q ϕθ

moramo naglasiti daϕ' nije jednak saϕ-jem, pa zbog toga ne možemo pisati za osnovni signal:

S P Q = +2 2 (2.29)

već :S P Q S t= + +2 2 2 (2.30)

gde jeS t snaga izoblič enja, koja izražava meru za koliko se razlikuje sistem sa osnovnom periodomsignala od sistema sa sinusnim (u sluč aju sinusnog napona i strujeSt =0).

Zadatak 2.2.: Mešovito paralelno oscilatorno kolo (slika 2.6.), koja se sastoji od jednog otpora R=1⇔', jednog induktiviteta reaktanse ω L=1⇔' i jednog kapaciteta reaktanse 1/ ωC. Strujni krug se napaja iz strujnog generatora:

])][2/5sin()4/3sin()6/sin(2[)( At t t t i g πωπωπω ++−++=

Slika 2.6.Mešovito paralelno oscilatorno kolo

a) Odredite vrednost trenutnog napona na oscilatornom krugu.b) Odredi predatu korisnu snagu, virtuelnu snagu odnosno distorzionu snagu zatim

i vrednosti ϕi ϕ’.

Rešenje: a) U oscilatornom krugu maximalna vrednost napona na kružnoj frekvencijik Ω:

gk gk k rez I jk k

jk I

LC k CR jk L jk R

U +−

+=Ω−Ω+

Ω+= 222 _ 1)1(

1

Uvrštavanjem na pojedinim harmonijskim frekvencijama za k (k=0,1,3,5) i vrednosti struje:

Page 25: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 25/264

Analiza analognih siganala i mreža

-

].V[41

5011251

52451

]V[7327

73271

3831

],V[221

225 _

43

443 _

1261 _

≅−=

+−+=

≅−=

+−+=

=+=

−−−

ππ

πππ

ππ

j j

rez

j j j

rez

j j

rez

e j

e j

jU

ee j

e j

jU

ee j

jU

Trenutna vrednost napona:

].V[41

5011251

52451

]V[7327

73271

3831

],V[221

225 _

43

443 _

1261 _

≅−=

+−+=

≅−=

+−+=

=+=

−−−

ππ

πππ

ππ

j j

rez

j j j

rez

j j

rez

e j

e j

jU

ee j

e j

jU

ee j

jU

Slika 2.7.Jedna perioda struje strujnog generatora i napona na oscilatornom krugu

b) Prvo rač unamo korisnu snagu kao zbir korisnih snaga po harmonicima:

2cos5

1

_ ==∑=

n

n

gneff neff rez I U P θ [W]

dok je virtuelna snaga snaga:

724.1sin5

1 _ −==∑

=n

n gnnrez I U Q θ [VA]

Efektivna vrednost struje i napona:

U eff =1.449[V] és I geff =1.7321[A].S=U eff I geff =2.5098[VA]

Page 26: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 26/264

Analiza analognih signala i mreža

22

Sada već možemo rač unati distorzionu snagu:][1517.1222 VAQ P S S t =−−=

i zanimljivi uglovi:

21.48' 68697.0'sin

61.89 56348.0cos

=⇒−==

=⇒==

ϕϕ

ϕϕ

S Q

S P

2.6. Fourier-ova transformacijaSpektre neperiodič nih vremenskih funkcija neposredno ne možemo dobiti pomoć u Fourier-

ovog reda. Međ utim možemo dobiti kvalitativnu zamisao o oč ekivanom rezultatu, ako primenimoFourier-ov razvoj u red, i stvorimo prelazno područ je. Prelazno područ je stvaramo tako daT periodu približavamo beskonač nosti.U toku stvaranja prelaznog područ ja, može se uoč iti smanjenjerazdaljine harmonijskihč lanova odnosnoΩ0=1/2 πT vrednost se približava nuli. Time prvobitnilinijski spektar prelazi u neprekidnu raspodelu.

Matematič ki ovo prelazno područ je može se iskoristiti, da dobijemo iz Fourier-ovog redaFourier-ovu transformaciju. Transformacioni par funkcije f(t) je sledeć i izraz:

∫ +∞

∞−

Ω ΩΩ= d e j F t f t j)(21)(π

dt ∫ ∞

∞ΩΩ +

-t j-f(t)e=)F(j (2.31)

u osnovnom sluč aju F(j Ω ) je komplexan broj i može se napisati u sledeć em obliku:

)(arg)( és )()()()( )(

Ω=ΩΦΩ=ΩΩ=Ω ΩΦ

j F j F A

e A j F j

(2.32)

gde je A( Ω ) i φ( Ω ) respektivno amplitudni i fazni spektar.

U nastavku sa Fourier-ovom transformacijom bez dokaza nabrojimo nekoliko teoreme. Ovteoreme više puta se pojavljuju u toku obrade signala u različ itim formama.

1.

Teorema: Konvergencija Ako se ova teorema ispuni onda imamo Fourier-ovutransformaciju:

∞<∫ +∞

∞−∞→

dt t f T

)(lim (2.33)

2. Teorema: Linearnost:)()()()( Ω+Ω→+ jbG jaF t bg t af (2.34)

3. Teorema: Simetrič nost,ako)()( Ω↔ j F t f

Page 27: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 27/264

Analiza analognih siganala i mreža

-

onda je simetrič an transformacioni par:

)(2)( Ω−↔ f jt F π (2.35)

4. Teorema: Vremensko skaliranje

)(1)( a j F a

at f Ω↔ (2.36)

5. Teorema: Pomeranje u vremenskom domenu

0)()( 0t je j F t t f Ω−Ω↔− (2.37)

6. Teorema: Pomeranje u spektralnom domenu

)()( 00 Ω−Ω↔Ω j j F t f e t j (2.38)

7a) Teorema: Konvolucija u vremenskom domenuAko

)()(és)()( Ω↔Ω↔ jGt g j F t f , onda

∫ +∞

∞−ΩΩ↔− )()()()( jG j F d t g f τττ (2.39)

7b) Teorema: Konvolucija u frekventnom domenu

∫ +∞

∞−ΩΩΩ↔ d jG j F t g t f )()(

21)()(π

(2.40)

8. Teorema: Parsevalova teorema:

ΩΩ= ∫ ∫ +∞

∞−

+∞

∞−d j F dt t f

22 )(21)(π

(2.41)

9. Teorema: Poisson-ov izraz za sabiranje:

a) Ako je f(t) proizvoljna funkcija i )()( Ω↔ j F t f onda:

T jn F

T nT f s

n n s 1 jegde )(1)( =ΩΩ=∑ ∑

+∞

−∞=

+∞

−∞=(2.42)

b) Ako je f(t)=0 a t<0, onda:

)0()0( jegde )(12

)0()( +=Ω++= ∑∑+∞

−∞=

+∞

−∞= f f jn F

T f

nT f n

sn

(2.43)

Page 28: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 28/264

Analiza analognih signala i mreža

24

2.7. Širina spektraVažno je da na odgovarajuć i nač in uoč imo minimalni spektralni domen koji je potreban, da

prenos signala možemo verodostojno realizovati. Ovaj problem u osnovi se razlaže na dva del prvo granica širine opsega signala, drugo širina opsega prenosnog sistema odnosno određ ivanjegranič ne frekvencije.

Širina opsega signala, nije jednoznač no definisana količ ina. Širina opsega je frekventnidomen ∆Ω0, unutar kojeg se nalaze spektralne komponente spektrač ija je energija već a nego jednaunapred zadata vrednost. Na osnovu ovoga ovu granicu:

a) Prema prvom nač inu, na osnovuenergetskog spektra signala F(j Ω ) 2, ona granica, gde je vrednost energetskog spektra već manja nego jedna unapred određ ena mala vrednostε2. Ovadefinicija ima slabu tač ku, ako amplituda (energija) spektra signala ima karakter talasnosti (pr. kaofunkcija sinx/x ) jer onda (na slici 2.8. se može videti) imamo jednu unapred zadatu vrednostε kojadaje višestruko rešenje za širinu opsega. U ovakvom sluč aju rešenje se može odrediti prema anelopispektra signala.

Slika 2.8.:Uticaj talasnog amplitudskog spektra u određ ivanju širini opsega signala

b) A na osnovu drugog postupka, granice spektra signala određ ujemo tako da, do zadate

vrednosti frekvencije gledamo koji udeo ima energija signala. Sa ovom definicijom eliminisali smuticaj talasnosti. Na osnovu ovog postupka, unapred zadajemo jedan maliη broj. Deo (1-η) je deosadržaja energije signala, i nalazi se unutar granič ne frekvencije, odnosno:

∫ ∫ ∫ ∞

∞−

∞∆Ω+Ω

∆Ω−Ω

=ΩΩ=⇒−=ΩΩ dt t f d j F E E d j F )()(1)1()(1 2

0

221

21

20

ηπ

η

η

(2.44)

Page 29: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 29/264

Analiza analognih siganala i mreža

-

Uglavnom uzimamo zaη=0.1, to znač i da 90% energije signala se nalazi u prenosnodomenu.

Definicije ne mogu poticati jedno iz druge. Ne može se izdvojiti jedan par ( ε ,η ), za kojedobijemo isti širinu opsega za bilo koji signal.

Širinu opsega prenosne karakteristike možemo odrediti na osnovu karakteristike p

energije. Obeležimo saΩ2 maximalnu, saΩ1 minimalnu i saΩ0 centralnu kružnu frekvenciju. Zdomen širine opsega strujnog kruga biramo domen gde je amplitudna karakteristika maxiintenzitet energetskog spektra i levo i desno ne opadne na pola, ili vrednost amplitkarakteristike se ne smanji za 30%:

AA

AA2

2

2 2( ) ( )m ax m axω ω< ⇒ < (2.43)

ako izrazimo u decibelima:

A A( ) m axω ≥ −3dB (2.44)

Velič ina širine opsega:∆Ω0=Ω2−Ω1.

Page 30: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 30/264

Sinteza analognih signala i mreža

Filtri imaju osnovnu ulogu u svim poljima elektronike tako u telekomunikaciji, regulacionoelektronici, mernim instrumentima, akustici, videotehnici i u profesionalnoj i neprofesionalnoelektronici. U ovim uređajima, filtrima nazivamo one elemente koje su linearne, vremenskiinvarijantne i u vremenskom ili u frekventnom domenu na jedan unapred zadat način modifikujuzadati signal. Većina zadataka za vežbu se bazira na amplitudskim- i faznim karakteristikama, ili nakarakteristiku kašnjenja sistema. Prilikom projektovanja i realizacije filtra, u suštini sledeće zadatketrebamo rešiti: trebamo naći datu specifikaciju prenosne funkcije, zatim ovom teorijskom filtruodređenu približnu metodu ili aproksimaciju i na kraju za realizaciju trebamo odabrati jednokonkretno mrežno rešenje strujnog kruga. Kod rešenja trebamo voditi računa na toleranciju igubitke parametara elemenata strujnog kruga.

U ovom poglavlju prvoćemo se baviti zadavanjem specifikacije filtra. U nastavku bavićemose raznim približavanjem amplitudne karakteristike pazeći na razvoj karakteristike kašnjenjasistema i na tranzientne osobine. Kada se bavimo aproksimacijom karakteristike kašnjenja sistemonda u isto vreme određujemo i određenu amplitudnu karakteristiku.

Za aproksimaciju amplitudske karakteristike i karakteristike kašnjenja sistema pogodnnačini odnose se na niskopropusne filtre, i približne funkcije se odnose na normalizovane graničnefrekvencije.

Ovo poglavlje u suštini ima dvostruki cilj, kao prvo za studente daje uvid u sintezuanalognih mreža, odnosno daje osnovu kod realizovanja digitalnih filtara. Definisani su i osnov pojmovi koje koristimo i prilikom projektovanja digitalnih filtra. Ovakvi su, parametri tolerancijskšeme, razne aproksimacije, propusni opseg, nepropusni opseg, prelazni opseg, nisko propusni filtvisokopropusni filtar itd.

3.1 Podela filtara

Kod filtra u suštini razlikujemo tri opsega, to su: propusni opseg, prelazni opseg inepropusni opseg. U propusnom opsegu, filtar u idealnom slučaju bez distorzije prenese spektralnekomponente signala koje spadaju u ovaj opseg, a u nepropusnom opsegu u idealnom slučaju ne

Page 31: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 31/264

Sinteza analognih signala i mreža

27

prenosi spektralne komponente već ih maximalno prigušava. U idealnom slučaju prelazni opseg se pretpostavlja kao beskonačno uzak, što se u stvarnosti ne može postići kao štoćemo videti primer. prilikom projektovanja tzv. FIR digitalnog filtra, ove pretpostavke mogu imati i neg posledice.

Slika 3.1. Definicija pojedinih opsega filtra

Prvo u obzir uzimamo samo propusni opseg i nepropusni opseg. Filtri se mogu podzavisnosti od smeštanja granica propousnog i nepropusnog opsegu na osnovne tPretpostavimo idealnu prenosnu karakteristiku u propusnom opsegu vrednost pojačanja je jedan(posle normalizacije), dok u nepropusnom opsegu je nula. U idealnom slučaju pretpostavimo, da jeskokoviti prelazni domen na graničnoj frekvenciji, ili graničnim frekvencijama. Kasnijećemodefinisati prelazni domen.

Niskopropusni filtar: Od nulte vrednosti frekvencije do granične frekvencije F h je propusnidomen dok od granične frekvencije do beskonačnosti nepropusni domen.

Slika 3.2. Idealizovana prenosna karakteristika niskopropusnog filtra

Visokopropusni filtar: Na manjim frekvencijama do granične frekvencije je nepropusniopseg, dok od viših frekvencija do beskonačnosti je propusni opseg.

Slika 3.3. Idealizovana prenosna karakteristika visokopropusnog filtra

Filtar propusnik opsega: Možemo razlikovati dve granične frekvencije. Od nulte frekvencijdo prve granične frekvencije to je prvi nepropusni opseg, dok od prve granične frekvencije do druge

Page 32: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 32/264

Sinteza analognih signala i mreža

28

granične frekvencije je propusni opseg i od druge granične frekvencije F h2 do beskonačnosti druginepropusni opseg.

Slika 3.4. Idealizovana prenosna karakteristika filtra propusnika opsega

Filtar nepropusnik opsega: Ovaj filtarski tip je inverzan od filtra propusnika opsega. Odnulte frekvencije do prve granične frekvencije F h1 je prvi propusni opseg, dok od prve graničnefrekvencije do druge granične frekvencije F h2 je nepropusni opseg, od frekvencije F h2 do beskonačnosti je drugi propusni opseg.

Slika 3.5 Idealizovana prenosna karakteristika filtra nepropusnika opsega

Sve propusni filtar: Ovi filtri u celom opsegu frekvencije imaju jedinstveno pojačanje samofazna karakteristika karakteriše ove filtre. Sve propusne filtre koristimo uglavnom kao korektofaze.

Slika 3.6. Karakteristike sve propusnog filtra

3.2. Zadavanje specifikacije filtra

Filtri su takvi strujni krugovi koji ispunjavaju razne potrebe između ulaza i izlaza ukomplexnom frekventnom domenu, ili frekventnom domenu, ili u vremenskom domenu. Unastavku definisaćemo uslove u frekventnom domenu:

Prenosna funkcija filtra prema definiciji:

)()()(

ΩΩ=Ω

j X j X

j H be

ki (3.1)

Iz prenosne funkcije lako se mogu računati vrednosti koji su karakteristični u graničnojfrekvenciji kao amplitudska karakteristika:

Page 33: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 33/264

Page 34: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 34/264

Page 35: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 35/264

Sinteza analognih signala i mreža

31

Prilikom aproximacije, amplitudska karakteristika referentnog niskopropusnog fil približava sa sledećom funkcijom:

2)(1

1log20)(log20)(nor

nor nor

K j F a

Ω+=Ω=Ω (3.12)

U izrazu (3.12) K( Ω nor ) je tzv. karakteristična funkcija a F(j Ω nor ) normirana prenosna

funkcija. Karakteristična funkcija mora ispunjavati sledeći zahtev:

H nor K ε ≤Ω )( (3.13)

Dvosmerno izračunavanje parametaraa i ε može se videti u izrazu (3.14) za vrednoskritičnog pojačanja:

110110

)1log(10)1log(101

1log20

10/10/

222

−=−=

+−=−+−=+

=−

S H aS

a H

S S H

H

H aa

ε ε

ε ε ε (3.14)

3.3. Približni postupci

Pod uobičajnim projektovanjem filtra podrazumevamo određivanje nule i polovereferentnog niskopropusnog filtra pored datih zahteva. Za izračunavanje korena posmatraćemo više približne metode, sa različitim karakterima u propusnom i nepropusnom opsegu.

Aproksimacija prethodi realizaciji, i može se razdvojiti od realizacije zadatk jednostavnijim slučajevima potrebe se odnose na frekventni domen. Ako je ulazni signal sinusima karakter impulsnog reda, onda se sklapanje može izvršiti na jednostavan način za amplitudnu

karakteristiku i faznu karakteristiku ili karakteristiku kašnjenja sistema overavanjem ekviva propisia. U modernoj telekomunikaciji svečešći su specifični ulazni signali na primer. šum, sinusn paket, signali sa raznom modulacijom itd. U ovakvim slučajevima zahteve u vezi filtra u većinislučaja nemoguće je prevesti za amplitudsku karakteristiku i karakteristiku kašnjenja sistema,su mogući sledeći iteracioni postupci od analize i modifikovanja.

Izabrane karakteristične funkcije moraju imati najmanji mogući stepen i iz aproksimacionekarakteristike može se razlikovati sa dozvoljenom greškom koju ograničava toleranciona šema.

Maximalano glatko približavanje sa Butterworth aproksimacijom: Karaktristika ovog približavanja je maximalno ravna amplitudska karakteristika kako u propusnom taknepropusnom opsegu. U ovom slučaju prvi izvod(2N-1) je neprekidna i jednaka je sa nulom nkružnoj frekvencijiΩ nor =0 , i

( ) N nor H

nor j H 222

11Ω+

=Ωε

(3.15)

Page 36: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 36/264

Page 37: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 37/264

Page 38: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 38/264

Sinteza analognih signala i mreža

34

Za veću selektivnost moramo platiti povoljnijim tranzientnim osobinama. Za realizacijuCsebisev filtra potrebni su osnovničlanovi sa većim Q-faktorom, kao kod Butterworth-filtra.

Csebisevljeva aproksimacija nepropusnog domena (Inverzna csebisev-ljevaaproksimacija)

Inverzna Csebisev aproksimacija potiče iz Csebisev aproksimacije:

( )

)/()(

11

2

22

2

nor S nor N

S nor N nor

T

T j H

ΩΩΩ+

=Ωε

(3.24)

Minimalni stepen filtra sa kojim se može ostvariti propisani zahtevi:

)(cosh

)(cosh

1

1

norH

norS

H

S

N

ΩΩ≥

ε ε

(3.25)

Računanje koeficijentaa i i bi pored konkretne nepropusne talasnosti dosta je dugačak proces, zato koristimo za obradu signala programske pakete ili gotove tablice.

Na slici 3.11 se može videti inverzno Csebisev približavanje, amplitudska karakteristika z N=3 i 5.

Slika 3.11. Amplitudska i fazna karakteristika inverzne Csebisev-ljeve aproksimacije

Tranzientna osobina inverznog Csebisev-ljevog filtra za malo je lošija od stepena istogButterworth-ovog filtra ali selektivnost se znatno povećala. Kod inverznog Csebisev filtra potreban je manji faktor dobrote nego kod Csebisev filtra ali se javljaju nule koji povećavaju realizacijusloženosti strujnog kruga.

Cauer-ovo elipti č no približavanje. Eliptično približavanje kao u propusnom tako i unepropusnom opsegu daje talasno približavanje

Page 39: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 39/264

Sinteza analognih signala i mreža

35

Sa ovim približavanjem možemo ostvariti naročito brze prelaze između propusnog inepropusnog opsega, ali u obe oblasti amplitudska karakteristika je talasna. Kvadrat amplkarakteristike opisuje sledeća funkcija:

( ))(1

122

2

nor N nor U

j H Ω+

=Ωε

(3.26)

gde jeU N (x) nulte vrednostielipti č na funkcija Jacobi funkcije prvog reda.

Minimalni stepen date realizacije izračunavamo sa sledećim izrazom:

18ln

14ln2

22 −Ω+≈

norS S H

N ε ε π

(3.27)

Određivanje polova je složen iteracioni postupak, i nije zadatak ove knjige da daje posza izračunavanje. Postoje gotovi programi na raznim programskim jezicima za izračunavanje takoFORTRAN, C i MATLAB ili gotove tablice.

Od nabrojanih metoda približavanja sa Cauer-ovim približavanjem možemo ostvaritsa najmanjim stepenom za iste polazne uslove. Ali ona ima i pozadinu jer je velika nelinfazne karakteristike.

Eliptičnu aproksimaciju upotrebljavamo onda kada želimo da realizujemo zahtevmoguće najmanjim stepenom funkcije za amplitudsku karakteristiku. Nesumnjivo je da izđuaproksimacione funkcije sa istim stepenom, pored datih vrednostia H i a S , eliptične funkcije daju inajmanji odnosΩ S / Ω H . U isto vreme za realizaciju filtra potrebni sučlanovi sa većim Q-faktorom.

Na slici 3.12 može se videti amplitudska i fazna karakteristika za vrednosti N=4 odnosno polovi i nule za istu vrednosti N=4 .

Slika 3.12. Eliptična aproksimacija a) amplitudska karakteristika i b) fazna karakteristika

Page 40: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 40/264

Sinteza analognih signala i mreža

36

Slika 3.12. Eliptična aproksimacija i položaj polova i nula

Koreni kod Cauer realizacije kako nule tako i polovi su konjugovano komplexni parov polovi su levoj poluravni dok su nule na zamišljenoj osi. Ako je realizacija neparnog stepena onimamo jednu nulu u beskonačnosti i imamo jedan realan pol sa negativnim predznakom.

Bessel (Thomson) aproksimacija: Sa Bessel filtrima uspelo je ostvariti očekivanja, da u propusnom domenu frekvencija je sa nezavisnim vremenskim kašnjenjem, tj. sa frekvencijosrazmerni filtri faznog pomeranja prenos pravougaonog signala je idealan. Bessel-filtri poseduveoma dobre tranzientne osobine, ali im je selektivnost dosta mala.

Distorziono oslobođen tranzentni prenos znači da signal koji prolazi kroz filtar kasni sa jednim konstantnim vremenomt o u odnosu na ulazni signal, ali oblik se neće promenuti. Znači uvremenskom domenu onda je distorziono oslobođen prenos ako važi sledeća zavisnost izmeđuulaznog i izlaznog signala:

)()( 0t t f const t f beki −⋅= (3.28)

Za nedistorzioni impulsni prenos nije dovoljno da amplitudna prenosna karakteristika filtra odnosu na spektar signala bude dovoljno široka u frekventnom domenu i frekvencija budnezavisna, nego važno je da u ovom frekvencijskom opsegu vremensko kašnjenje bude nezavisnod frekvencije odnosno da bude konstantna.

Bessel filtre karakterišemo sa sledećom funkcijom:

)(1)( S BS H

N = (3.29)

gde je B N (S) N -ti Bessel-ov polinom. Ove polinome možemo opisati u sledećim formama:

∑=

= N

k

k k N S aS B

0)( (3.30)

koeficijenteak opisuje sledeći izraz:

N0,1,...,k )!(!2

)!2( =−

−= − k N k k N

a k N k (3.31)

Page 41: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 41/264

Sinteza analognih signala i mreža

37

Slika 3.13. Bessel aproksimacija a) amplitudska b) fazna karakteristika i c) položaj polova i

Sa alternativnim postupkom moge se odrediti Bessel polinomi rekurzivno iz slće povezanosti:

)()()12()( 22

1 S BS S B N S B N N N −− +−= (3.32)

određene početne vrednosti Bí (S)=1 és B1(S)=S+1.

Važna osobina Bessel filtra je linearni fazni karakter u prenosnom domenu ovo se videti na slici 3.13. Na slici 3.13 se može videti amplitudska i fazna karakteristika za N=3 i 6 ,odnosno položaj polova i nula za vrednost N=6.

3.3.1 Upore đ ivanje aproksimacijaKao prvo može se postaviti jedno pitanje: da li uopšte postoji idealno približav

Odgovor jednozna č no je ne, jer u jednom slučaju jedan tip približavanja daje bolje rešenje adrugom drugo rešenje.

Prvo ćemo uporediti postupke aproksimacije amplitudske karakteristike ideaniskopropusnog filtra

Možemo izvući jako važne zaključke u tom slučaju ako pomoću četiri aproksimacionemetode upoređujemo niskopropusne filtre sa istom karakteristikom. Uporedimo filtre koje dobsa sledećim specifikacijama:

N=9 a H =1dB a s=60dB

Page 42: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 42/264

Page 43: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 43/264

Sinteza analognih signala i mreža

39

od Butterworth-ove aproksimacije. Ovako je Bessel-ova aproksimacija od ostalih mnoga bolse naročito odnosi na gornju granicu prenosnog opsega.

Na kraju ako analiziramo da jedan dati specifikacioni filtar sa kojom aproksimmožemo ostvariti sa najmanjim stepenom, odgovor je jednoznačan: eliptičnim filtrom ali imaveoma lošu faznu karakteristiku.

Slika 3.16. Upoređivanje faznih uglova sa upotrebom raznih filtarskih aproksimacija a) BesButterworth b) Butterworth Csebisev c) Inverz Csebisev Butterworth odnosno d) Eliptični

Butterworth

3.3.2. Frekventne transformacije

U tom slučaju ako bi projektovali visokopropusne filtre, filtre propusnika opsega itd. polazimo iz raznih aproksimacija referentnog niskopropusnog filtra (Butterworth, Csebisev primenjujemo određenu frekventnu transformaciju.

Pretpostavimo da je poznat jedan niskopropusni filtar sa graničnom frekvencijomΩc, iželimo ostvariti jedan druginiskopropusni filtar sa graničnom frekvencijomΩc

’. Onda je određenatransformacija:

s sc

c,Ω

Ω⇒ (3.33)

ovako dobijemo jedan niskopropusni filtar sa sledećom prenosnom funkcijom:

Page 44: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 44/264

Sinteza analognih signala i mreža

40

ΩΩ= s H H

c

c pa , (3.34)

Na sličan način možemo odrediti određenu prenosnu funkciju i za ostale tipove filtra.

Visokopropusni filtar dobijamo preslikavanjem niskopropusnog filtra:

s s cc

,

ΩΩ⇒ (3.35)

prenosna funkcija visokopropusnog filtra:

ΩΩ=

s H H cc

pa

,

(3.36)

Transformacija iz niskopropusnog filtra u filtar nepropusnik opsega daje za rezultat dve graničnefrekvencije, donjuΩ d i gornju graničnu frekvencijuΩ g . Izraz je sledeći:

)(

2

a f

f ac s

s s

Ω−ΩΩΩ+

Ω⇒ (3.37)

određena prenosna funkcija:

Ω−ΩΩΩ+

Ω=)(

)(2

a f

f ac p sa s

s H s H (3.38)

Filtar nepropusnik opsega realizujemo iz niskopropusnog filtra sa sledećimtransformacijama:

f a

a f c s

s s

ΩΩ+Ω−ΩΩ⇒ 2

)((3.39)

Granične frekvencije na sličan način definišemo kao kod filtra nepropusnika opsega. Određena prenosna funkcija:

ΩΩ+Ω−Ω

Ω= f a

a f c p sz s

s H s H 2

)()( (3.40)

Preslikavanja filtra propusnika opsega i filtra nepropusnika opsega su nelinearna preslikavanja i na osnovu ovoga deformišemo karakteristiku niskopropusnog filtra.

Nelinearnost u prvom redu se ne reprezentira kod prenosne funkcije, nego na osovinfrekvencije ali ne menja karakter prenosne funkcije.

Zadatak 3.1.: Transformišimo jednopolni niskopropusni filtar sa slede ć om prenosnom funkcijom:

C

C

s s H

Ω+Ω=)(

Page 45: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 45/264

Page 46: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 46/264

Sinteza analognih signala i mreža

42

Pošto cilj ove knjige nije detaljna aktivna RC sinteza a sa opširnijom mrežnim sintezamćemo se baviti u digitalnim realizacijama, zbog toga knjiga se bavi informativnim karakteromkaskadnih realizacija.

Kaskadni sklop svoju popularnost može zahvaliti teorijskoj i praktičnoj jednostavnostiodnosno lakoj praktičnoj upotrebljenosti. Kod kaskadne sintezi u velikom broju su kataloškisređeni osnovničlanovi, poznate osobine stoje nam na raspolaganju i za svaku potrebu može se naćiodređeni tip.

Za nas poseban problemčini analiza osetljivisti aktivnih RC strujnih krugova, ali nije temaove knjige, koga interesuje ovaj problem ima na raspolaganju veliki broj literature.

3.4.1 Realizacija akivnih RC kola

Prvoćemo se baviti niskopropusnim i visokopropusnim filtrima prvog stepena.

Slika 3.17. Niskopropusni aktivan RC filtar prvog stepena

Niskopropusni filtar prvog stepena može se realizovati jednostavno pomoću slike 3.17. sa jednim RCčlanom. Prenos ovog strujnog kruga pored jediničnog pojačanja A0=1:

sa sRC s H

11

1

1

1)(+

=+

= (3.41)

Parametar a1 može se slobodno birati ali je uglavnoma1=1 , dok kod realizacije viših filtaraneparnih stepena mogu se pojavitičlanovi prvog stepena za kojea1 nije jednak jedan. Posleupoređivanja koeficijenata: RC=a 1 /2π f h, gde je f h granična frekvencija filtra.

Ovaj strujni krug je nezavisan od opterećenja. Operacioni pojačavač odvaja opterećenje i postoji mogućnost za slobodno odabiranje pojačanja jednosmerne komponente sa otporima R 2 i R 3(A0=1+R 2 /R3 ).

Menjanjem elementa R i C, iz niskopropusnog filtra prvog stepena može se realizovatvisokopropusni filtar, pogledaj strujni krug na slici 3.18.

Page 47: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 47/264

Sinteza analognih signala i mreža

43

Slika 3.18. Visokopropusni aktivni RC filtar prvog stepena

Prenosna funkcija visokopropusnog filtra:

sa sa

sRC sRC

s H 1

1

11)(

+=

+= (3.42)

Filtar propusnik opsega može se ostvariti kao kaskadna veza jednog niskopropusvisokopropusnog filtra. Na slici 3.19. se vidi objašnjenje.

Slika 3.19. Realizacija filtra propusnika opsega

Prenosna funkcija filtra, kada su zanemareni pojačavački činioci iz pojedinih osnovnihčlanova prvog stepena:

22112

2211

22

22

11 )(11111 1)( C RC R sC RC R s

C sR

C sRC sR

s H +++=++= (3.43)

RC proizvod niskopropusnog filtra i visokopropusnog filtra može se povezati sa jekonstantomk . Konstantuk određujemo iz jednog takvog RC proizvoda koja odgovara rezonanfrekvenciji koja na logaritamsko frekventnoj skali sačlanovima R1C 1 i R2C 2 se nalazi na jednakomrastojanju od prelomne frekvencije, kao što se vidi na slici 3.20. Opis filtra se uglavnom deflogaritamsko frekventnom domenu.

Slika 3.20. Prikaz definicijek parametra

Ovako stižemo do sledeće povezanosti:

Page 48: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 48/264

Sinteza analognih signala i mreža

44

k F f

kF f

k F F

k F F

R

R

R

R

/loglogloglogloglog

2

1

2

1

==

⇒−=+=

(3.44)

određene RC vrednosti ovih izraza:

R 2C2=RC/k és R 1C1=k RC (3.45)uvršteno u izraz (3.43):

22 )()11(1

/)(

RC s RC k

s

k sRC s A

+++= (3.46)

normirana prenosna funkcija, rezonantne frekvencijef r , filtra propusnika opsega :

2)11(1

/)( s

k s

k s s A

+++= (3.47)

ovaj izraz ako uporedimo sa izrazom (3.7) onda je određeni faktor dobrote:

12 +=

k k

Q (3.48)

Q-ima maximum zak=1 a njena vrednost je1/2 . Ova mala vrednost Q-a, za realizaciju većegizražaja bi morali upotrebljavati filtar sa većim stepenom.

Optimizirane prenosne funkcije drugog i većeg stepena sadrže konjugovano komplexne pari polove, nije moguće realizovati ovakve strujne krugove sa pasivnim RC strujnim krugovima. Ako bi smo realizovali sa pasivnim LRC strujnim krugom onda bi moralo ugraditi induktivitete većevrednosti, koja uglavnom otežava realizaciju, odnosno velike geometrijske vrednosti, pojavuzajamnog elektromagnetnog polja sa ostalim strujnim elementima u okolini induktiviteta. Soperacionim pojačavačem sa povratnom spregom na određen način, sa RC elementima strujnihkrugova može se ostvariti takav frekvencijski domen za prenosnu funkciju, da nema potrebe zsimulacijom induktiviteta.

Prenosna funkcija niskopropusnog filtra drugog stepena:

211

0

1)(

sb sa H

s H ++

= (3.49)

Široku skalu imaju razne realizacije, sa različitim prednostima i manama. Nije cilj oveknjige da se detaljno bavi sa ovom temom, već preko zadataka da prikaže jedan postupak merenja

strujnog kruga sa upotrebom dosadašnjih izraza.

Zadatak 3.2.: Projektujmo jedan niskopropusni filtar sa slede ć im specifikacijama:

F H =1kHz a H =3dB F S =2.5kHz a S =20dB

Proverimo mogu ć nost realizacije Butterworth-a i Csebisev-a.

Page 49: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 49/264

Sinteza analognih signala i mreža

45

Rešenje: a) Prema Butterworth-u prvo je potrebno odrediti minimalni stepen filtra:

351.2log

logmin =⇒=

ΩΩ

≥ N N

H

S

H

s

ε ε

(3.50)

dati zahtev moguće je ostvariti kaskadnom vezom osnovnihčlanova niskopropusnog filtra prvog drugog stepena. U slučaju Csebisev realizacije:

291.1cosh

coshmin

1

1

=⇒=

ΩΩ

≥−

N N

H

S

H

S

ε ε

(3.51)

dati zahtev se može ostvariti niskopropusnim osnovnimčlanom drugog stepena.

U slučaju Butterworth realizacije koeficijente određujemo iz tablice ili računanjem ili iz programskog paketa. :

-niskopropusni osnovni č lan prvog stepena

a1=1b1=0

-osnovni č lan drugog stepena

a2=2cos π /4=1.4142b2=1

U slučaju Csebisev realizacije:

a1=1.0650b1=1.9305

U nastavku bavićemo se problemom realizacije strujnog kruga. U slučaju Butterworthfiltarske realizacije, filtar prvog stepena realizujemo prema slici 3.17.Član drugog stepena u slučaju

Butterworth ili Csebisev realizacije, može se realizovati tzv. Sallen-Key niskopropfiltrom prema slici 3.21.

Page 50: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 50/264

Sinteza analognih signala i mreža

46

Slika 3.21. Šematski prikaz Sallen-Key niskopropusnog filtra

Osnovni karakter Sallen-Key filtra je da ima dve grane povratne sprege, jedna je negativn povratna sprega gde se može podešavati pojačanje strujnog kruga, dok sa pozitivnom povratnomspregom može regulisati karakter prenosne funkcije strujnog kruga. Ovom strujnom krugnegativna povratna sprega dolazi do izražaja preko otpora R3 i (1-α )R3, a sa vrednošću α podešavamo vrednost pojačanja. Pozitivna povratna sprega se dešava preko kondenzatoraC 2.Prenosna funkcija Sallen-Key niskopropusnog filtra:

[ ] 21212

211211 )1(1)(

C C R R sC RC RC R s s H

+−+++=

α α (3.52)

ova prenosna funkcija je složena za analizu jer sadrži puno nezavise promenjive. Ovaj strujni krumože imati više pojednostavljenja. Najčešće je upotrebljavan kad je R1=R 2=R i C 1=C 2=C .Mogućnost realizacije za razne aproksimacije imamo mogućnost sa promenomα koeficijenta. Posle pojednostavljenja prenosna funkcija je sledeća:

222)3(1)( C R s RC s s H +−+= α α

(3.53)

Ovaj filtarski strujni krug se pretvori u oscilatorno kolo ako je linearan i ako je koeficijen poredčlana nula, ovo je dešava ako jeα=3. U ovom slučaju rezonantna frekvencija je f r =1/2 π RC.

Ako uporedimo koeficijente sa polaznim izrazom (3.49), onda:

1

11

ba-3

2== α

π h f

b RC

Ako uvrstimo koeficijente onda dobićemo sledeće protiv vrednosti kodButterworth realizacije,kodčlana sa prvim stepenom: RC=1/2 π 103=1.59 10 -4 pretpostavimo da jaC=100nF onda R=1.59K Ω , odnosnoč lan drugog stepena:

RC=1/2 π 103=1.59 10 -4 pretpostavimo da je C=100nF onda R=1.59K Ω a vrednost faktora pojačanjaα =3-1.1442=1.5858 i odavde moguće vrednosti otpora: R4=( α +1)R 3, a za R3 možemo birati proizvoljno vrednosti na primer: R3=10K Ω onda je R4=25.86K Ω .

Page 51: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 51/264

Sinteza analognih signala i mreža

47

Kod Csebisev realizacije: RC=2.21 10 -4 pretpostavimo da jeC=100nF onda R=2.21K Ω avrednost faktora pojačanjaα =2.231 i odavde proizvoljno biramo vrednost R3, na primer: R3=10K Ω onda je R4=32.31K Ω .

3.5. PLL - Fazna povratna spregaU primeni analogne elektronike (automatika, telekomunikacija) jedan osnovni strujni k

PLL strujni krug. Pod analognom sintezom do sada smo se bavili samo problemom sinstrujnog kruga. PLL strujni krug je jedno sintetizovano sredstvo jednog analognog signala.

PLL je u suštini jedan povratni sistem, koji sinhronizuje fazu i frekvenciju jednog oscza ulazni signal. PLL se sastoji od tri glavna dela: fazni komparator, niskopropusni filtar i nakontrolisano rezonantno kolo (VCO). Šematski prikaz PLL strujnog kruga se može videti 3.22.

Slika 3.22. Realizacija PLL strujnog kruga

Fazni komparator meri faznu razliku između ulaznog i izlaznog signala i generiše jedasignal greške, koji je srazmeran sa merenom faznom razlikom. Ako ne uzmemo u niskopropusni filtar (isključimo), odnosno direktno funkcija greške upravlja sa VCO strujkrugom, onda su promene u izlaznom signalu skokoviti, i na osnovu ovoga u spektru izsignala zbog skokova se pojavljuju komponente visoke frekvencije. Ako filtriramo signal grniskopropusnim filtrom, onda VCO ne reaguje na ulazne signale visoke frekvencijone promšum. VCO-a upravlja osnovna frekvencija signala greške i ovako PLL upravljački krug radi kaoelektronski zamajac.

Proces uspostavljanja stanja PLL-a je jako složeno i ne može se jednostavnim matemačkimmodelom opisati, PLL strujni krug koji se uspostavio može se aproksimirati sa jednim linregulacionim strujnim krugom i može se upotrebiti kod analize Laplace transformaciju.

PLL strujni krug u odnosu na ulaznu rezonantnu frekvenciju toliko tačno generiše jednudrugu rezonantnu frekvenciju da njena frekvencija i fazaće biti u željenom odnosu sa ulaznimsignalom.

Izlazni napon faznog komparatora, Uvi promenjivo povratni napon i U be ulazni referentni promenjivi napon, faznu razliku između njih određujeϕc:

Petljastifiltar

Page 52: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 52/264

Sinteza analognih signala i mreža

48

eee k U ϕ = (3.54)

Frekventnoj razlici pripadajući signal greške, u stacionarnom režimu rada je nula, u nestacionarnom režimu u zatvorenoj sprezi sve dotle raste (dok i kod konačno podešavajućeg pojačavača) dok se frekvenciona razlika ne izgubi.

Signal greške koji pripada faznom odstupanju razlikuje se od nule. Sledeća zavisnost premaslici 3.22:

v

ve K

U U = (3.55)

Veličina fazne greške:

ϕ

ϕ k k K

f

f ve

∆= (3.56)

gde je ∆ f frekventno odstupanje na izlazu VCO u odnosu sa izlazom naponski kontrolisanogrezonantnog kola.

Ima integratorske osobine PLL faznog detektora i tako je fazno pomeranje:

∫ ∆=t

dt 0

ω ϕ (3.57)

Frekvencija naponsko kontrolisanog oscilatora je funkcija Uv niskofrekventnog signalaupravljanja:

vki U k ω ω ω += 0 (3.58)

U nastavku ćemo dati objašnjenje za razumevanje teorije rada. Pokazaćemo dva uslovasintetiziranja, jedna je direktan uslov sinteze a drugi je indirektan uslov sinteze.

3.5.1. Direktan uslov sinteze

Realizacija se vrši prema sledećoj skici. Ovde sa jednom stabilnom frekvencijomstabilišemo drugu frekvenciju.

Slika 3.23. PLL strujni krug prema direktnom uslovu sinteze

F x frekvenciju možemo da menjamo sa nameštanjem vrednostiM i N. Sintetizovani signal jesinusnog oblika ali ako ga upotrebljavamo u digitalnim krugovima onda se prevede na pravougaosignal i u ovoj formi se koristi.

Page 53: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 53/264

Page 54: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 54/264

Sinteza analognih signala i mreža

50

Slika 3.25 Drugi slučaj kada se frekvencije ulaznih signala ne slažu

Kao što se vidi sa slike 3.25. fazna razlika konstantno opada odnosno∆τ 1>∆τ 2>∆τ 3 izmeđudva signala različite frekvencije. Sličan je slučaj kada je rastuće tendencije vremenska razlika. Saizlaza faznog komparatora signal dospeva do niskopropusnog filtra, gde se pretvara u polak promenjivi jednosmeran napon. Ovako dobijen signal vodimo na VCO (naponsko kontrolisaoscilator). Ovaj signal određuje frekvenciju sinusnog signal koji se generiše u VCO strujnom krugu.

Kao što smo videli VCO oscilatorno kolo uticajem povratne sprege trudi se da generišfrekvencioni signal, da se frekvencije faznih komparatora izjednače odnosno:

F o /M=F x /N

odnosno:

F x=F o N/M ovako generisani signali se mogu generisati samo stepenasto, minimalni kvantum sa kojim se momenjati frekvencija PLL strujnog kruga je F 0 /M .

3.5.2. Posredno generisanje sa PLL strujnim krugom

Ako bi smo jednostavno želeli ostvariti sa pr. modulatorom množenja jedan sinusni signafrekvencije8.008.000Hz onda za proizvod dva sinusna signala bi sabrali dve frekvencije, signale8MHz i 8kHz. Na izlazu kao rezultat dobićemo dva signala, za razliku frekvencije 7.992.000Hz a zazbir 8.008.000Hz frekvencione sinusne signale. Ove signale je jako teško razdvojiti jer je relativnrastojanje između frekvencije veoma mali. Drugo rešenje je ako upotrebimo tzv. uslov neposrednesinteze.

Manji koraci između dve najbliže sintetizovane frekvencije se može postići kao zbir sintetizovanog signala sa jednim manjim promenom koraka i sa jednim većim promenom koraka, ilisabiranjem sintetizovanih frekvencija sa većim koracima. Izdvojićemo dve grane jednog složenijegsintetizatora za primer. Na slici 3.26. može se videti blok šema sintetizatora sa mogućnošću velikerezolucije.

Page 55: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 55/264

Sinteza analognih signala i mreža

51

Slika 3.26. Posredan uslov sinteze signala

Sabiranje možemo izvršiti i posredno sa stvaranjem razlike koje se može videti i n3.26. U jednoj grani sintetizatora koračamo pr.sa koracima F 1=1MHz a u drugoj koracima F 2=1kHzunutrašnje sintetizatore i ovako možemo realizovati velike rezolucije. Ako na istom uslovu đudve vrednosti frekvencije ubacimo zanimljive vrednosti onda u velikom frekventnom ddobijamo sintetizator koji raspolaže sa velikom rezolucijom.

Sintetizovanu frekvenciju8.0008.00Hz mešamo u modulatoru množenja sa frekventnisinusnim signalom8MHz koji se generiše na visokofrekventnom sintetizatoru. Kao rezudobijamo jedan16.000.800Hz- ni koji sa u maloj meri razlikuje od8kHz -nog signala. Ova dvasignala je lako odvojiti niskopropusnim filtrom. Na izlazu filtra dobijemo8kHz –ni sinusni signal, i

upoređujemo niskofrekventni sinusni signal sa sintetizatorom koji se generiše na izlazu8kHz -nogsignala. Signalom koji se javlja u komparatoru upravljamo sa VCO-m. Ovim postu

izbegavamo potrebu preciznog filtra.

3.5.3. Primena PLL strujnih krugova

Oblast upotrebe PLL strujnih krugova još i danas je veoma široka, neke od njih:-FSK dekoder -FM demodulator -Sinhronizacija horizontalne linije Videa

-Sintetizator-frekvencije,itd.Za realizaciju PLL strujnih krugova, danas se koriste već konkretno za taj zadatak

konstruisan strujni krug, kao što su napr.74HCT4046A/7046/9046 itd. strujni krugovi.

U nastavku bavićemo se konkretnom primenom frekventne sinteze PLL strujnog kruga.

U povratnoj grani kao na slici 3.22. ako smestimo N frekventni delitelj onda izlaznarezonantna frekvencija u odnosu na frekvenciju koja je na ulazu u PLL, N puta je veća, jer u povratnoj grani postojeći delitelj deli frekvenciju i na taj način na faznom komparatoru vrši supoređivanje na deljenoj frekvenciji.

Page 56: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 56/264

Sinteza analognih signala i mreža

52

Zadatak 3.3 . Projektujmo jedan PLL frekventni sintetizator u granicama od 1 do 5MHz, sakoracima od 100kHz. Ulazni signal obezbe đ uje kristalo oscilatorno kolo.

Rešenje: Na slici 3.27 može se videti konkretno rešenje strujnog kruga do željene realizacijePLL strujnog kruga. Ako nekoga zanima opširnije problematika projektovanje PLL strujnikrugova, preporučena literatura (Philips 1995) .

Slika 3.19. Konkretna PLL realizacija

Page 57: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 57/264

Page 58: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 58/264

Page 59: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 59/264

Slu č ajni procesi i signali

( ) 1=∫ ∞

∞−dx x f (4.5)

jer od (-∞ ,+ ∞ ) treba da sadrži sve vrednosti X -a. Isto tako:

[ ] ( )∫ =≤≤2

1

21Pr x

x

dx x f x X x (4.6)

U slu č aju diskretne slu č ajne promenljive,slu č ajna funkcija raspodele se definiše na slede ć inač in:

∑≤

=≤= x x

j j

x f x X P x F )()( (4.7)

Zadatak 4.1. Odredi funkciju raspodele slu č ajne promenljive X ako je slu č ajna funkcija slede ć a:

0x,2)( >= − x x f

za dva slu č aja: a) ako je X promenljiva diskretna promenljivab) ako je X promenljiva neprekidna promenljiva.

Rešenje: a) Pošto je...2...222)( ][321 +++++== −−−−

<∑ x

x xi

i

p x F

gde (x) ozna č ava celobrojni deo X promenljive. Zbir ovog reda, ako x traje do beskona č nosti jednak je 1 (jedinici). Na slede ć oj slici su prikazane diskretne funkcije f(x) i F(x).

Slika 4.1. U zadatku zadata diskretna promenljiva a) slu č ajna funkcija i b) funkcija raspodele

b) Kada je slu č ajna promenljiva neprekidna onda:

4427.102ln

12ln

22)()('

0

00

=−====∞−

−+∞−

+∞

∫ ∫ x

x dxdx x f x F

Page 60: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 60/264

Slu č ajni procesi i signali

56

Slika 4.2. U slu č aju neprekidne slu č ajne promenljive a) slu č ajna funkcija i b) funkcija raspodele unormalizovanom i ne normalizovanom slu č aju

Na osnovu vrednosti F ′ (x) polaznu slu č ajnu funkciju moramo podeliti sa vrednoš ć u F ′ (x)onda ć e postati korektna funkcija.

Na slici 4.2. može se videti neprekidna promenljiva verovatno ć e X a) funkcija verovatno ć eodnosno b) funkcija raspodele. Kao što se može videti iz funkcije raspodele ako x→∞ onda F(x) ≠ 1 izbog ovoga se moralo normirati funkcija verovatno ć e. Na obe slike se mogu videti normirane inenormirane funkcije, i one su i posebno ozna č ene.

O č ekivane vrednosti i momenti

Izra č unavanje prose č ne vrednosti slu č ajne promenljive može biti od velikog zna č aja kodtehni č kih zadataka. Pojam statisti č ko usrednjavanje se odnosi kako na diskretne tako i naneprekidne slu č ajne promenljive.

Oč ekivana vrednost neprekidne slu č ajne promenljive:

( )∫ ∞

∞−= dx x f x X E (4.8)

n-ti momenat:

( )∫ ∞

∞−= dx x f x X E nn

(4.9)

kvadrat rasipanja: ( ) X E X E X E X E X

2222 −=−=σ . (4.10)

Oč ekivana vrednost diskretne raspodele:

ii

i p x X E ∑=)( (4.11)

normirannije normiran

Page 61: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 61/264

Slu č ajni procesi i signali

kvadrat rasipanja:2

22

−= ∑∑ ii

iii

i X p x p xσ (4.12)

na sli č an na č in n-ti centralni momemat:

( ) ( ) ( )∫

∞−−=− dx x p X E x X E x E X

nn (4.13)

Od X promenljive stvorena slu č ajna promenljiva aX+b (gde su a i b konstante) prvi momenat idrugi centralni momenat:

( ))()(

)(22 X abaX

b X aE baX E

X X σ σ =++=+

(4.14)

Višedimenziona raspodela

U nastavku od višedimenzionih raspodela mi ć emo obra đ ivati samo dvodimenzionalna. Kodvišedimenzionalnih raspodela važe isti zakoni kao kod dvodimenzionalnih samo malo prošireno sa

brojem dimenzija.

Preko dvodimenzionalne slu č ajne promenljive odre đ ene ta č ke ( X,Y ) stvaraju jednuneprekidnu ravnu Π , onda vektorsku promenljivu nazivamo neprekidnom. Grupna funkcijaraspodele:

( ) [ ] yY x X y x P Y X F XY ≤≤== ;Pr ,),( (4.15)

funkcija gustine:

( ) ( ) y x

y x F y x f

∂ ∂ ∂ ,

,2

= (4.16)

na celoj površini gde je definisana raspodela, normirani uslov mora biti ispunjen:

( ) 1, =∫ ∫ ∞

∞−

∞−dydx y x f (4.17)

U slu č aju diskretne vektorske promenljive, ako preko njega stvaraju ( xk ,y

i ) brojne parove

koji č ine kona č nu ili beskona č nu mrežu onda je raspodela:

),( k iik yY x X P p === (4.18)

U slu č aju ako su promenljive nezavisne onda se funkcija gustine može opisati kao:

( ) ( ) ( ) y f x f y x f =, (4.19)

Novu promenljivu obeležavamo sa Z-om i pretpostavimo jednu osnovnu funkciju za opisodnosa izme đ u promenljive:

Page 62: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 62/264

Slu č ajni procesi i signali

58

( )Y X g Z ,= (4.20)

u prvom momentu može se opisati u slede ć oj formi:

( )∫ ∞

∞−= dz z f Z Z E (4.21)

kada uvrstimo po č etne promenljive, grupnu funkciju raspodele možemo pisati:

Pr Pr , z Z z z x X x x y Y y y≤ ≤ + = ≤ ≤ + ≤ ≤ +∆ ∆ ∆

osnovni gradijent funkcije raspodele:

( ) ( ) dydx y x f dz z f ,= (4.22)

i na kraju prvi momenat Z-promenljive izražen preko X i Y funkcije slu č ajne promenljive:

( ) ( )∫ ∫ ∞

∞−

∞−= dydx y x f y x g Z E ,, (4.23)

Ako uzmemo jedan specijalan slu č aj kada je Z=X*Y onda:

( )∫ ∫ ∞

∞−

∞−= dydx y x f y xY X E ,, (4.24)

odnosno pretpostavimo da su X i Y slu č ajne promenljive nezavisne jedan od drugog:

( ) ( ) Y E X E dy y f ydx x f xY X E ⋅==

∫ ∫

∞−

∞−

, (4.25)

Z=a 1 X 1+a 2 X 2 gde su a1 i a2 konstante a X 1 i X 2 nezavisne promenljive onda na osnovuizraza 4.14 sledi:

σ σ σ Z X X a a212 2

22 2

1 2= + (4.26)

odnosno u osnovnom slu č aju:

Z a X i ii

n

==∑

1

(4.27)

gde su X 1 ,X 2 ,....,X n statisti č ki nezavisne promenljive:

σ σ Z i X i

n

ai

2 2 2

1

==∑

Page 63: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 63/264

Slu č ajni procesi i signali

Nekoliko karakteristi č nih funkcija raspodele

Ravnomerna ili uniformna raspodela

U ve ć ini slu č aja ne poznajemo tip funkcije raspodele slu č ajne promenljive, za dobru polaznutač ku se smatra u analizi ako pretpostavimo jednu funkciju raspodele za koje je slu č ajnost

pojavljivanja ista za svaku slu č ajnu promenljivu, odnosno:

( ) ≤≤−=

inace

x X x x x x f

0

121

12(4.28)

Na slici 4.3 prikazana je ravnomerna raspodela funkcija slu č ajne promenljive.

Slika 4.3. Prikaz funkcije slu č ajne promenljive koja raspolaže uniformnom raspodelom

Normalna ili Gauss-ova slu č ajna promenljiva

Jako upotrebljavana funkcija raspodele je tzv. Gauss-ova funkcija raspodele. Odre đ enufunkciju gustine možemo opisati na slede ć i na č in:

( )( )

∞≤≤∞−=−−

xe x f

x2

2

2

2

η

π σ (4.29)

gde su η i σ konstante. Slu č ajna promenljiva prema Gauss-u je dvoparametarska, η odre đ ujesrednju vrednost slu č ajne promenljive dok σ odre đ uje rasipanje slu č ajne promenljive. Na slici 4.4.može se videti funkcija gustine Gauss-ove raspodele.

Slika 4.4. Prikaz funkcije slu č ajne promenljive koja raspolaže Gauss-ovom raspodelom

Page 64: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 64/264

Slu č ajni procesi i signali

60

Postoje i druge važne funkcije raspodele pr. binomalni, Poisson, Rayleight itd.

Zadatak 4.2. Odredite o č ekivane vrednosti i kvadrat rasipanja u slu č ajua) Uniformne raspodele i

( ) ≤≤−=

inace

x x x x x x f

0

121

21

b) Normalne raspodele:

( )( )

∞≤≤∞−=−−

xe x f x

2

2

2

2

1 σ η

π σ Rešenje:

a) Oč ekivana vrednost i drugi momenat u slu č aju ravnomerne raspodele:

( )2112 2

12

1

x xdx x x

x X E x

x

+=−= ∫ ( )12

31

32

12

22

3

2

1 x x

x xdx

x x x

X E x

x −−=−= ∫

Na osnovu izraza (4.10):( )

12

2122 x x

X

−=σ

b)

U slučaju Gauss-ove raspodele:

( )

∫ ∞

∞−

−−

= dxe x X E x

2

2

2

21 σ

η

π σ

ako uvrstimo x=y+ η :

+= ∫ ∫ ∞

∞−

−∞

∞−

− dye ydye y X E y y 2222 22

2

1 σ σ η π σ

Prvi integral je nula jer je integrant neparna funkcija prema y-onu, a drugi integral prematablici σ π 2 : η = X E

odnosno

( )

∫ ∞

∞−

−−

= dxe x X E x

2222

2

1 σ η

π σ

Page 65: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 65/264

Page 66: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 66/264

Page 67: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 67/264

Slu č ajni procesi i signali

Za stochasti č ne procese mogu ć a su dva postupka za usrednjavanje:

- prvi proces pripada sigurnom u č vrš ć enom trenutku, o č ekivanimvrednostima kona č no mnogo promenljive verovatno ć e, sastoji se ododre đ ivanje momenata i ovi su statisti č ki proseci,

- drugi celoj vremenskoj funkciji ili samo jednom delu vremenskog trajanja,ukupnoj vremenskoj ta č ki pripadaju ć i kona č no mnogo promenljive

verovatno ć e (proces) pripada realizaciji, ovi su vremenski proseci.

Može se koristiti za ra č unanje statisti č kog proseka, za momentume se odnosi ista zamisaokao za slu č ajne promenljive.

U slu č aju vremenskih proseka ako je re č o razli č itim prosecima koji su izra č unati jedinomrealizacijom, ako uopšte postoje ovakvi proseci. Vremenski prosek je uvek u vezi sa nekom

poznatom realizacijom, za izra č unavanje nije potrebno poznavati slu č ajnu raspodelu procesa.Vrednost ili je identi č na za sve mogu ć e slu č ajne funkcije procesa, ili nije.

Za vrednost konstante t slu č ajnu promenljivu X(t), i ona je zastupljena trenutnom vrednoš ć u

ansambl-a kod različitih oblika siganala. Funkcija slu

čajne raspodele ili slu

čajna funkcija gustinerespektivno od X(t):

( ) ( )[ ] ( ) ( ) x

t x P t x f xt X t x P

∂ ∂ ;

; ;Pr ; =⇒≤= (4.30)

Ove dve funkcije stvaraju statistiku prvog reda slu č ajnog procesa.

U dva trenutka t 1 i t 2 imamo dve razli č ite slu č ajne promenljive X(t 1 ) i X(t 2 ). Zajedni č kaslu č ajna funkcija i funkcija-gustine jedino zavise od t 1 i t 2, i na slede ć i na č in ih obeležavamo:

( ) ( ) ( )[ ]22112121 ,Pr ,;, xt X xt X t t x x P ≤≤= (4.31)

( ) ( )21

21212

2121

,;,,;,

x xt t x x P

t t x x f ∂ ∂

∂ = (4.32)

Ova dva izraza opisuju statistiku drugog reda. Statistiku višeg reda na sli č an na č in definišemo.

4.4. Kordinatne transformacije

Na polju prirodnih nauka za obradu i tuma č enje date mreže podataka u ve ć ini slu č aja u jednom generalizovanom transformisanom kordinata sistemu jednostavnije je i lakše se možeupotrebiti nego kao sama izvorna kordinata podataka. Ovu kordinatu ne trebamo shvatiti samo ugeometrijskom obliku ve ć , može i kao odnos napon-struja, ali u isto vreme je i Descartestransformacija polarnih kordinata. Problem je uglavnom izra č unavanje vrednosti funkcije č ijeargumente poznajemo. Uzajamna povezanost može biti determisti č na ili slu č ajna. U ovom slu č ajuargumenti su slu č ajni. Veza može biti jednozna č na ili ne jednonozna č na. Preko zadataka želimo daraz č istimo suštinu transformacionog postupka.

Page 68: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 68/264

Page 69: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 69/264

Page 70: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 70/264

Page 71: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 71/264

Slu č ajni procesi i signali

4.6. Vremenski proseci

Ako se koncentrišemo na jednu konkretnu realizaciju procesa, onda je vremenski prosek overealizacije X(t):

∫ −∞→=

T

T T

dt t X T

X )(21lim (4.36)

Definicija pretpostavlja da postoji kona č na grani č na vrednost. Može se desiti da za pojedinerealiacije X(t) ne postoji X .

Može se uvesti vremensko usrednjavanje drugog reda:

∫ −

∞→+=+

T

T T

dt t X t X T

t X t X )()(21

lim)()( τ τ (4.37)

Ovo usrednjavanje meri uzajamno delovanje ili koherenciju izme đ u τ rastojanja vremenskihtač aka, pripadaju ć e vrednosti posmatranih vremenskih funkcija. Ovo usrednjavanje veoma č esto se

pojavljuje kod procenjivanja tehni č kih problema.

4.7. Stacionarni procesi

Slu č ajni procesi onda su stacionarni ako X(t) i X(t+T) raspolaže istom statistikom za sve T vrednosti.

U mnogim prakti č nim problemima se ograni č avamo na stacionarnost prvog reda eventualnodrugog reda. Jedan proces je prvog reda ako funkcija raspodele F(x,t) ne zavisi od t , odnosno

F(x,t)=F(x). Stacionarnost je drugog reda ako za svaki par ( X i ,X j ) zajedni č ka raspodela zavisi samood ( t i - t j ) vremenske razlike i nezavistan je od absolutnog vremena. Jedan proces je strogo

stacionaran ako bilo koju realizaciju u vremenu pomerimo, jednu drugu realizaciju, i dobijamo jedan drugi element funkcione celine (ansambl). Jedan proces je u širok ili u širem smislustacionaran ako o č ekivana vrednost X(t) je konstantna, i autokorelacija zavisi samo od vrednostit 2-t 1:

( ) ( ) ( ) ( )2121 t t r t X t X E const t X E X −== (4.38)

za ovakav proces kažemo da je u širem smislu stacionaran.

Strogo stacionaran proces je stacionaran i u širem smislu, ali u suprotnom smeru bezuslovnonije istina.

Ne ć emo se baviti opširno ergodi č nim procesima, samo preko jednog zadatka.

Page 72: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 72/264

Page 73: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 73/264

Page 74: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 74/264

Slu č ajni procesi i signali

70

Ako je proces stacionaran u širem smislu onda:

( ) ( ) ( )1221 t t r t X t X E X −= (4.39)

pa možemo pisati:

( ) ( ) 211221

lim dt dt t t f S T

T

T

T

T X ∫ ∫ − −

∞→−=

π ω (4.40)

gde je( ) ( ) ( )12

1212t t j

X et t r t t f −−−=− ω (4.41)

Prethodni dvostruki integral predstavlja vrednost integrala ispod površine, ispod jednekvadratne površine, kao na slici 4.8. Pošto je f(t 2-t 1 ) konstantna duž svake prave, koju opisujeslede ć a jedna č ina:

t t c2 1= + (4.42)

elementarna površina je ograni č ena sa jednim kvadratnim površinom kao sa koturom cele površine isa slede ć im pravama:

t t t t d 2 1 2 1= + = + +τ τ τ (4.43)

Slika 4.8. Ograni č eni domen y=f(t 2-t 1 )

i približno je konstantna. Iz geometrija sa slike 4.8.:

( )( ) <+

≥−≈ 02

02τ τ τ τ τ τ

zad T

zad T dA (4.44)

odnosno dA=(2T- τ )d τ zbog ovoga je elementarni kubni sadržaj t 2-t 1= τ :

( )( )τ τ τ d T f dV ||2 −= (4.45)

iz izraza (4.40):

( ) ( )( )∫ −

∞→−=

T

T T X d T f

T S

2

2

221

lim τ τ τ ω

Page 75: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 75/264

Slu č ajni procesi i signali

( ) ( )∫ ∫ ∞

∞−

∞−∞→

=

−= τ τ τ τ τ d f d

T f

T 21lim (4.46)

prema izrazu (4.41):

( ) ( )∫ ∞

∞−

−= τ τ ω τ ω d er S j X X (4.47)

pošto važi ∫ ∞

∞−r x(τ) d τ<∞ pa ovako postoji Fourier transformisan par koje možemo pisati u

slede ć oj formi:

( ) ( ) ( ) ( )∫ ∞

∞−=+= ω ω

π τ τ ω d eS t X t X E r t j

X X 21

(4.48)

Zadatak 4.6. Odredimo PSD kod slu č ajnog procesa zadatka 4.3. i komentarišimo rezultat.

Rešenje: Prema rešenju zadatka 4.4. pod b) možemo izraziti autokorelaciju procesa kao:

( ) τ ω τ cr cos21=

odavde prema izrazu (4.48):

( ) ( ) ( )[ ]cc X S ω ω δ ω ω δ π ω ++−=2

Autokorelacija je parna funkcija od τ-a, pa zato:

( ) ( )τ τ −= X X r r

što se lako može dokazati, i S x ( Ω ) je takođ e parna funkcija od ω. Posle ovoga izraze možemoopisati kao Fourier transformacione parove:

( ) ( ) ( )∫ ∞

∞−= τ ωτ τ ω d r S X X cos

( ) ( ) ( )∫ ∞

∞−

= ω ωτ ω π

τ d S r X X cos21

Ako je Ω =0 onda:

( ) ( )∫ ∞

∞−= τ τ d r S X X 0

Odnosno cela površina ispod autokorelacione funkcije jednaka je sa PSD -om na nultoj frekvenciji.

Oč ekivana srednja vrenost snage X(t):

Page 76: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 76/264

Slu č ajni procesi i signali

72

( ) ( ) ( )∫ ∞

∞−==

π ω ω

202 d

S r t X E X X

Slu č ajni proces za koji je PSD konstantan na celom frekventnom domenu taj slu č ajni procesnazivamo beli šum. Ako

( ) K S X =ω

onda ( ) ( )τ δ τ K r X =

odnosno autokorelacija belog šuma je deltaimpuls u centru kordinatnog sistema.

Page 77: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 77/264

Analogno/digitalna i digitalno/analognpretvaranja

Prirodni signali uglavnom su neprekidni a veoma retko samo impulsni. Ako ove sželimo obraditi digitalnim sistemima, procesorskom tehnikom, u tom sluč aju neprekidne signalemoramo pretvoriti u digitalne signale odnosno brojeve. U procesu digitalizacije događ aju se dvediskretizacije kao prvo javlja se vreme (uzorkovanje) posle toga prema amplitudi (tzv.kvantizacija).Proces digitalizacije nazivamo pretvaranje AD (AnalognoDigitalno). Ovu konverziju vršimo tzvAD konvretorom.

Sa AD konverzijom dobijene signale obrađ ujemo najč ešć e sa DSP procesorom.DigitalSignal Processor u prvom redu je izrađ en procesor za obradu signala. Mnoge operacije se mizvršiti sa ovim signalima. U sledeć em poglavlju knjiga se bavi ovim osnovnim operacijamaovom poglavlju posebnoć emo se baviti sa problematikom ponovnog uzorkovanja. Ponouzorkovanje pokriva dve vrste operacije, jedna je guš ć ivanje uzoraka, uklapanje novih uzorakaizmeđ u postojeć ih sa interpolacijom, a druga jedesetkovanje uzoraka,kada iz postojeć eg redauzoraka samo svaki N -ti uzorak zadržimo a ostale zanemarimo.

Posle obrade najč ešć e se ukazuje zahtev za pretvaranje obrađ enih redova brojeva u analognesignale. Ova konverzija se može ostvariti tzv. DA (DigitalnoAnalognim) pretvarač em.

Šematski prikaz sistema digitalne obrade signala se može videti na slici 5.1.

Slika 5.1.Šematski prikaz sistema digitalne obrade signala

Page 78: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 78/264

Analogno/digtalno i digitalno/analogno pretvaranje signala

74

5.1. Uzorkovanje analognih signala

Diskretne signale x∗(t) uglavnom stvaramo uzorkovanjem neprekidnih signala. U ovom pod poglavlju se bavimo samo sa periodič nim odnosno uniformnim uzorkovanjem. Pretpostavimo da seuzorkovanje dešava sa idealnim uzorkovanim strujnim krugom (slika 5.2).

Slika 5.2.Periodič ni uzorkovani strujni krug

Idealni uzorkivač je impulsni amplitudni modulator ili jednostavno množač ko strujno kolo,č iji rad opisujemo sa sledeć om jednač inom:

)()()(* t xt ct x = (5.1)

gde jec(t) signal uzorkovanja to je periodič ni impulsni red, odnosno x(t) je neprekidni signal kojiuzorkojemo. Uzorkovani signal se opisuje sa sledeć om jednač inom:

∑+∞

−∞=−=

nnT t t c )()( δ (5.2)

gde jeδ (t) Dirack-ov impuls.

Uzorkovani signal:

∑+∞

−∞=

−=n

nT t nT xt x )()()(* δ (5.3)

Slika 5.3.Proces uzorkovanja a) neprekidni signal b) uzorkivač i c) uzorkovani signal

Page 79: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 79/264

Analogno/digitalno i digitalno/analogno pretvaranje signala

Fourier-ova transformacija x∗ (t):

∑∑

∑∞+

−∞=

−∞+

−∞=

+∞

−∞=

=−

=−=

n

nT j

n

n

enT xnT t F nT x

nT t nT x F j X

ω δ

δ ω

)()()(

)()()(*(5.4)

Oblikovanje signala uzorkovanja se nalazi na slici 5.3.

U nastavku analizirać emo kroz jedan primer uticaj uzorkovanja na spektar uzorkovasignala.

Zadatak 5.1. Odredite X ∗ (jΩ ) ako je

≤Ω+ΩΩ−=Ω

Ω=

drugde 01 1sgn)X(j )

cos)( ) 0

b

t t xa

pretpostaveć i da je fukcija u vremenskom domenu kauzalna i ima vrednost jedan u nuli.

Rešenje:Upotrebeć i obrazac (2.38) za spektralno pomeranje dolazimo do sledeć eg izraza:

)(x(t)e)X(jx(t)

t j- Ω+Γ↔Ω↔

Γ j j X

Slika 5.4.Uticaj uzorkovanja na spektar a) ispod a)signala b) spektar ispod b) signala, odnosuzorkovani signal ispod b) spektra

Page 80: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 80/264

Analogno/digtalno i digitalno/analogno pretvaranje signala

76

Ako uzmemo u obzir iz drugog poglavlja Poisson-ovu teoremu, izraz (2.42) onda je spektadiskretnog signala X s(jΩ )

)(1)( ∑∑+∞

−∞=

+∞

−∞=

Ω− Ω+Ω=n

sn

T jn jn j X T

enT x s

gde jeΩ s=2π /T .

)]()([)(*

)(1

)(*

00 Ω−Ω+Ω+Ω+Ω+Ω=Ω

Ω+Ω=Ω

∑∑

∞+

−∞=

+∞

−∞=

sn

s

n s

nnT

j X

jn j X T j X

δ δ π

Spektar kosinusnog signala predstavljaju dva impulsa, jedan je-Ω 0 sa jednom kružnomfrekvencijomΩ 0 . Uzorkovanje sa idealnim uzorkivač em, kao što se vidi sa sliki 5.4.a) uzorkovanafrekvencija u okolini celo brojnih višekratnih na rastojanju+/-Ω 0 može se nać i jedna spektralnakomponenta.

b) Polazeć i od 2.poglavlja teoreme 9b) i izraza (2.43).:

∑∞+

−∞=

∞+

−∞=

Ω+Ω++=Ω

Ω+Ω++Ω

=

n s

n s

n jT

j j X T

x x

)(111

21)(j*X

)(12

)0(=)(j*X

1)0(

Na slici 5.4.b) može se videti spektar izvornog signala. Posle uzorkovanja uticajemgranič ne vrednosti sa jedne strane ceo amplitudski spektar se podiže, a sa druge strane ako premslici c) biramo uzorkovanu brzinu onda dolazi do pojave spektralnog preklapanja (alliasingSpektralno preklapanje znač i da spektar se ne ponavlja samo na svakomω s rastojanju već se ideformiše, sa ovim problemom detaljno se bavimo u nastavku ove knjige.

5.2. Teorema uzorkovanjaUzorkovanje je osnova digitalne obrade signala. Važno je da proces uzorkovanja bude

korektno izvršen. Pretpostavimo da je x(t) spektralno ogranič en, odnosno:

2 ha 0)( s j X Ω≥Ω=Ω (5.5)

gde jeΩ s=2π /T . Onda za uzorkovani signal upotrebljavamo rezultate iz 5.1 zadatka, pa se može se pisati sledeć e:

)()(*

)(1)(*

∑∞+

−∞=

+∞

−∞=

Ω+Ω=Ω

Ω+Ω=Ω

n s

n s

jn j X jTX

jn j X T

j X (5.6)

Page 81: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 81/264

Analogno/digitalno i digitalno/analogno pretvaranje signala

kao što se vidi sa uzorkovanjem spektar se periodič no ponavlja, posle ovoga je logič no da jedanovakav periodič an č lan sa filtrom izdvojimo, koji se nalazi okoloΩ =0, pa možemo vratiti originalnsignal (5.7)

)(*)()( ΩΩ=Ω jTX j H j X (5.7)gde:

Ω≥Ω

Ω<Ω

=Ω2

za 0 2

za 1

)( s

s

j H (5.8)

na osnovu pretpostavke filtra raspolaže idealnom amplitudnom karakteristikom. Slika 5.5. v prikazuje uticaj filtriranja na spektar uzorkovanog signala.

Slika 5.5Uticaj niskopropusnog filtra na spektar uzorkovanog signala

Posle uzorkovanja vremenski oblik signala koji je vrać en na prethodno stanje može seopisati na sledeć i nač in:

[ ]

∞+

−∞=

∞+

−∞=

Ω−−

+∞

−∞=

Ω−−

−=

Ω=

Ω=

n

n

nT j

n

nT j

nT t hnT x

e j H F nT xT

enT xT j H F t x

)()(

)()(

)()()(

1

1

(5.9)

pošto je uzorkovani signal modulisan (različ ite amplitude) red Dirack-ovog impulsa, pkonvolucioni proizvod (5.9) nije drugo nego jedan težinski zbir u vremenskom skaliranju, imfunkcija odzivah(t)niskofrekventnog filtra.

Fourier-ov transformacioni par idealnog niskopropusnog filtra (pravougaoni spektar):

)()2/sin( Ω↔Ω j H t t s

π (5.10)

na mestu n-tog vremenskog uzorka funkcija odziva u vremenskom i frekventnom domenu:

Page 82: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 82/264

Analogno/digtalno i digitalno/analogno pretvaranje signala

78

nT j s e j H nT t nT t Ω−Ω↔

−−Ω )(

)()2/)(sin(

π

posle ovoga filtriran signal u vremenskom domenu se može opisati u sledeć oj formi:

∑+∞

−∞= −Ω−Ω=

n s

s

nT t nT t nT xt x

2/)(]2/)(sin[)()( (5.11)

F N =2F max nazivamo Nyquist-ova frekvencija.

Na slici 5.6. se nalazi funkcijaSinc(a)=sina /a ona vrši interpolaciju izmeđ u diskretnevrednosti fukcije.

Slika 5.6.Iterpolaciona funkcijaSinc(x)

Interpolacioni mechanizam prikazuje slika 5.7. sa jednim signalom koji se sastoji od višdiskretnih uzoraka

Slika 5.7.Uticaj interpolacionog filtra za x(n)diskretni signal

Page 83: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 83/264

Analogno/digitalno i digitalno/analogno pretvaranje signala

Na ovoj slici može se videti sledeć a interpolacija diskretnog signala:

)3(25.0)2(4.0)1(7.0)()( −+−+−+= nnnnn x δ δ δ δ

posle interpolacionog filtra signal dobija sledeć i oblik:

2/)3(]2/)3(sin[

2/)2(]2/)2(sin[

2/)(]2/)(sin[

2/]2/sin[

)( T t T t

T t T t

T t T t

t t

t x s

s

s

s

s

s

s

s

−−

+−−

+−−

+= ω

ω

ω

ω

ω

ω

ω

ω

na slici svakiSinc(a) č lan je posebno nacrtan odnosno intrpolacioni signal.

Pošto je interpolacioni filtar antikauzalan, onda interpolacione formule (5.11) imajuteorijski znač aj. U konkretnim realizacijama interpolacioni filtar zamenjujemo sa jednim ostvać imniskopropusnim kauzalnim filtrom.

Ako je zavisnost izmeđ u uzorkovane brzine i signala granič ne frekvencije sledeć a:

h s

Ω≤Ω5.0 (5.12)

u tom sluč aju se javlja tzv. alliasing efekat, odnosno spektralno preklapanje. Uticaj alliasnastupa i u 5.1.b) Zadatku jer smo odabraliΩ s=1.5Ω h , što je manja vrednost nego što zadaje izr(5.12). Na slici 5.4.c) vizuelno je prikazan nastanak alliasing efekta u spektralnom domenu.

Slika 5.6.Prikaz alliasing efekta u vremenskom domenu

Posmatrajmo dva analogna sinusna signala:

t t yt t x)55(2cos)(

)5(2cos)(π

π

==

ako oba signala uzorkujemo sa frekvencijom F s=50Hz,onda su određ eni uzorkovani signali sledeć i:

Page 84: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 84/264

Analogno/digtalno i digitalno/analogno pretvaranje signala

80

nnn y

nnn x

511cos)

5055(2cos)(

5cos)

505(2cos)(

π π

π π

==

==

pošto možemo pisati, da:

nnnn5

cos)5

2cos(5

11cos π π π

π =+=

ova dva signala u diskretnom domenu se podudaraju ako je uzorkovana frekvencija za oba signa50Hz.Možemo reć i da55Hzto je allising5Hz-a pored,50Hz-ne uzorkovana frekvencije.

Važno je uoč iti da ne samo55Hz je allising već svaka vrednost frekvencije koja zadovoljavasledeć e uslove:

3,...2,1,m )( 1 ±±±=+= sm mF F F (5.13)

gde u našem sluč aju F 1=5Hz, na osnovu ovoga105Hz, 155Hzitd. sinusni signali koji preklapaju5Hz-ni sinusni signal pored50Hz-ne uzorkovane brzine. U vremenskom domenu na slici 5.6. možese videti uticaj allising-a.

U osnovnom sluč aju uzorkovanjem sinusnog signala:

)2cos()( 0 θ π += t F t xa (5.14)

sa uzorkovanom brzinom F s=1/T dobijamo sledeć i diskretni signal:

)2cos()( 0 θ π += n f n x (5.15)

gde f 0=F 0 /F s relativna frekvencija u poređ enju sa sinusnim signalom F s.

U nastavku Zadatak 5.2. pokazuje uticaj alliasing efekta za jedan složen periodič ni signal.

Zadatak 5.2. Za sledeć i analogni signal:

t t t t x π π π 12cos6sin2cos)( ++=

a) Odredite Nyquist-ovu granič nu frekvenciju.

b) Pretpostavimo da ovaj signal uzorkujemo F s=5Hz-nom uzorkovanom brzinom.Odredite digitalni signal posle uzorkovanja.

c) Odredite analogni signal posle idealnog interpolacionog filtra.

Rešenje:a) Sledeć e frekvencije se nalaze u analognom signalu:

Page 85: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 85/264

Analogno/digitalno i digitalno/analogno pretvaranje signala

F 1=1Hz, F 2=3Hzi F 3=6Hz

Ovde sinusni signal sa maximalnom frekvencijom je6 Hz,pa je minimalna uzorkovana frekvencija

F s>2F max=12Hz

pa je Nyquist granič na frekvencija F N =12Hz.

b) Pošto je u ovom zadatku uzorkovana frekvencija F s=5Hz a maximalno dozvoljenafrekvencija F s=2.5Hz.Upotrebeć i izraz normalizacionog postupka frekvencije f=F/F s:

nn

nnnn x

)52(2sin)

51(2cos2

)56(2cos)

53(2sin)

51(2cos)(

π π

π π π

−=

++=

upotrebeć i izraz (5.13), određ ujemo frekvenciju ogledala koje se javljaju zbog alliasing uticOvako F 1=1Hzfrekventni kosinusnič lan možemo korektno uzorkovati

Slika 5.8.Spektralna slika signala pre i posle uzorkovanja

jer ima manju vrednost od2.5Hz,a u isto vreme druge dve spektralne komponente ne možekorektno uzorkovati i ovako deluje alliasing efekat:

5/115/22

33,

3

22,

2

==−=−=−=−=

f Hz F F F f Hz F F F

s

s

kao što se i vidi u rezultatima. Na slici 5.8. su prikazane spektralne komponente od signala X(t)it(t), i posebno su označ eni nač ini poticanja pojedinih spektralnih komponenata.

Page 86: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 86/264

Analogno/digtalno i digitalno/analogno pretvaranje signala

82

Slika 5.9.Uticaj alliasing efekta na složene periodič ne signale u vremenskom domenuKao što se vidi sa slike,6Hz-na komponenta u odnosu na2.5Hz-nu se preslikava (na slici

je prikazana sa I ) na –1Hz, kojoj odgovara1Hz-na spektralna komponenta (na slici je prikazana saII ), ovako pored postojeće dodaje se još plus jedna a intenzitetć e postati dvostruka.3Hz-nakomponenta se preslikava u odnosu na2.5Hz-nu na2Hz-a kao što se i vidi na slici.

Pošto se samo1Hz-nei 2Hz-ne frekventne komponente nalaze u uzorkovanom signalu, pa je analogni signal posle rekonstrukcije:

t t t y π π 4sin2cos2)( −=

kao što se vidi na slici 5.9. x(t)i y(t) bitno se razlikuju jedan od drugog.

5.3. Kvantizacija i kodovanjeUticaj AD i DA konvertora bilo je analizirano za spektar signala. Ali ima uticaja i pored

spektra signala jer oba konvertora koriste konač an broj bita za prikaz digitalne informacije, greškase javlja u reprezentaciji trenutne vrednosti i ovu grešku nazivamo kvantna greška ili šum.

Na izlazu AD konvertora dobijamo diskretizovanu i kvantizovanu sliku analognog signala:

)]([)( nT xQn x aq = (5.16)

Osnova kvantizacionog postupka najč ešć e je zaokruživanje, odnosno vrednosti z analognogsignala dodaje se najbliži kvantizacioni nivo. Na slici 5.13 može se videti kvantizaciona kriva.

Page 87: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 87/264

Analogno/digitalno i digitalno/analogno pretvaranje signala

Slika 5.13.Kvantizaciona kriva ulaznog signala

Kao što se može videti sa slike kvantizacioni postupak je nelinearan i ne reverz postupak, jer više ulaznih vrednosti signala se preslikava za istu izlaznu vrednost signala. kvantizacionog postupka može se izraziti sledeć im izrazom:

)()()( n xn xn q −=ε (5.17)

kod prvog približavanja kvantizacioni šum možemo smatrati kao aditivni beli šum i zbog tuticaj aditivnog šuma može izraziti za signal sa odnosom signal/šum:

n

x

P P SNR log10= (5.18)

gde je P x ulazna snaga a P n snaga kvantizacionog šuma. Konač ni izraz za odnos signal/šum:

B P dBSNR x 02.68.10log10)( ++= (5.19)

gde je B broj aproksimacionih bitova. U većini slu

čaja ako je analogni ulazni signal govor imuzika, funkcija amplitidne raspodele jako lič i na Gauss raspodelu, u ovom sluč aju:

25.102.6)( −= BdBSNR (5.20)

Kod izlaznog signala šum koji se javlja prilikom kvantizacije se zanemaruje ali u ć inisluč aja ova vrednost zna da bude veoma znač ajna. Još pre nego što dovedemo signal na Dkonvertor trebamo transformirati podatke sa velikim brojem bitova u podatke sa manjim bitova. Pa na osnovu ovoga se pojavljuje noviji izvor šuma koji poveć ava izlazni prag šuma zaotprilike3dB.

5.4. Diskretna obrada neprekidnih signalaCilj u ovom podpoglavlju je da u krupnim crtama pokažemo jedan sistem digitalne o

signala. Pokazać emo ulogu pojedinih elemenata.

Na slici 5.14. šematski je prikazan sistem digitalne obrade signala.

Page 88: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 88/264

Analogno/digtalno i digitalno/analogno pretvaranje signala

84

Slika 5.14.Sistem digitalne obrade signala

Na slici 5.14. F 1p je antiallising filtar,c(t) je signal uzorkovanja, A/D je analogno digitalni pretvarač , DF je digitalni filtar, D/A je digitalno analogni pretvarač i F 2r je rekonstrukcioni filtar.

Strujni krug uzorkivač a, A/D konvertor odnosno digitalni filtar možemo shvatiti kao jedancelinski filtar (h A funkcijom impulsnog odziva)č iji je izlaz uzorkovan (h A

∗ diskretizovana funkcijaimpulsnog odziva). Funkcija impulsnog odziva uzorkovanog filtra može se rač unati na osnovudosadašnjih saznanja sledeć im izrazom:

)()()(0

* nT t nT ht hn

A A −= ∑∞

=δ (5.21)

određ eni iz spektralnog domena, prenosna funkcija Poisson b) na osnovu izraza (2.43):

)(12

)0()(* s

n A

A A jn s H

T h s H Ω+++= ∑

+∞

−∞=(5.22)

spektar izlaznog signala:

)()()()( ** ΩΩΩ=Ω j X j H j H jY LP A (5.23)

pretpostaveć i, da je:

2 0)()(

0)0()0( s

A

j

h xΩ≥Ω=Ω=Ω

=+=+

AH jX(5.24)

Uzorkovani filtar DF na slici 5.14 nazivamo digitalnim filtrom. Ovim filtrom sada seneć emo baviti detaljno već kasnije kadać emo se baviti projektovanjem digitalnih filtra. U opštem

sluč aju digitalnim filtrima nije zadatak samo klasič no filtriranje već i složeniji procesi.Sa ADi DAkonvertorima smo se već bavili pa zato ih neć emo posebno analizirati.

U sledeć em zadatku (Zadatak 5.3.) za bolje razumevanje ove oblasti pratićemo promenusignala do kraja u jednom zamišljenom sistemu digitalne obrade signala.

Zadatak 5.3. Na slici 5.14. može se videti konfiguracija filtra koju upotrebljavamo za sledeć i periodič ni signal:

Page 89: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 89/264

Analogno/digitalno i digitalno/analogno pretvaranje signala

Slika 5.15.Periodič ni testerasti signal na ulazu u digitalni filtar

idealni niskopropusni (antiallising) filtar može se opisati sa sledeć om funkcijom:

Ω≤Ω<=Ωdrugde

j H LP 040 1)( 0

idealni digitalni opseg filtra u osnovnom opsegu:

Ω<Ω<Ω=drugde

e H t j D 0

2.28.1 1)( 00ω

pretpostavimo da jeΩ s=20Ω 0.

Zadajmo prema slici 5.14. signale u spektralnom i digitalnom domenu, u karakteristič nimtač kama.

Rešenje:Vrednosti koeficijenata Fourier-ovog reda ulaznih signala određ ujemo prema sledeć emizrazu:

dt et xT

A

e At x

t jk T

k

t jk

k k

00

0

)(1

)(

01

0

1

Ω−

Ω+∞

−∞=

=

=

kada smo izrač unali određ ene Fourier-ove koeficijente (prema tablici 2.1) može se pisati u ptač ki, oblik signala razložen u red u vremenskom i spektralnom domenu.

)(2)(

...3sin312sin

21sin1

21)(

01

0001

Ω−Ω=Ω

+Ω+Ω+Ω−=

∑∞+

−∞= k A j X

t t t t x

k k δ π

π

U zadatku definisan niskopropusni antiallising filtar ne propušta jednosmerne kompoUglavnom se ne vrši jednosmerno filtriranje sa antiallising filtrom. Na izlazu antiallisingspektar signala i vremenski oblik:

Page 90: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 90/264

Analogno/digtalno i digitalno/analogno pretvaranje signala

86

Ω+Ω+Ω+Ω=

Ω−Ω=Ω ∑+

≠−=

t t t t t x

k A j X k k

k

00002

0

4

04

2

4sin413sin

312sin

21sin1)(

)(2)(

π

δ π

Na izlazu antiallising filtra pojavljuju se komponente zakjuč no sa č etvrtim harmonikom.

Niskopropusni filtar tako je definisan prema zadatku da propušta spektralne komponente signala č etvrtog harmonika.

Za uzorkovanje su zadati uslovi jer uzorkovana brzina pet puta je veća od najveć efrekvencije spektralne komponente (minimalno mora biti dva puta već a prema teoremiuzorkovanja). Signal na izlazu uzorkivač a:

]4sin413sin

312sin

21[sin1)(

)(4sin413sin

312sin

21sin1)(

00004

0000*3

nT nT nT nT nT x

nT t t t t t t xn

Ω+Ω+Ω+Ω=

−Ω+Ω+Ω+Ω= ∑+∞

−∞=

π

δ π

spektar ovih signala može se opisati u sledeć oj formi:

)(2)()( 04*3 Ω−Ω+Ω== ∑

+∞

−∞=k n A

T e X j X s

nk

T j δ π

ω ω

ako uzmemo u obzir i kvantizacioni postupak (odnosno uzorkovanje prema intenzitetu) zavisnkoliko bitova ima A/D konvertor tako se menjaju vrednosti amplitude. U tablici 5.1. pokazujemo praksi važne bitove, uticaj digitalizacije na tač nost rač unanja. U tablici se vidi da ne svako poveć anje broja bitova donosi poveć anje tač nosti. Ovako na primer izmeđ u 12-to bitne i14-to bitne

rezolucije nema promena vrednosti, sledeće gde

će se desiti promena vrednosti je15-to bitnarezolucija.

Tabela 5.1.Uticaj rezolucije

rezolucija tač an 8 bitni 10 bitni 12 bitni 14 bitni

Vrednost 0.5π=1.57079632679489

71.5703125 1.5703125 1.57077578125 jednak je

sa12 bitnim

Posle digitalnog filtra u spektar signala je samo uzorkovana spektralna komponenta drugoharmonika signala ostali harmonici se filtriraju:

[ ]

[ ]∑

∑∞+

−∞=

+∞

−∞=−

Ω+Ω+Ω+Ω−Ω+Ω=

=Ω+Ω+Ω+Ω−Ω+Ω=Ω=

n s s

n s sT

T j

nnT j

n An A j X e X

)2()2(2

)2()2()()(

00

02022*

65

δ δ

δ δ π ω

Page 91: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 91/264

Analogno/digitalno i digitalno/analogno pretvaranje signala

tako da u vremenskom domenu signal na izlazu iz digitalnog filtra:

nT nT x 05 2sin21)( Ω=π

signal na izlazu iz D/A konvertora:

)()2sin(21)( 0

*6 nT t nT t x

n−Ω= ∑

+∞

−∞=δ

π

Rekonstrukcioni niskopropusni filtar eliminiše pojavu spektralne komponente usled uzorkovanja, spektar signala i vremenski oblik na izlazu iz filtra.

t T

x

T j j X

07

007

2sin2

1

)]2()2([2

)(

Ω=

Ω−Ω−Ω+Ω=

π

δ δ ω

5.5. Interpolacija i decimacija diskretnih signalaU nastavkuć emo razgovarati o osnovi jednog konkretnog uređ aja za digitalnu obradu

signala. U sistemima za digitalnu obradu signala ali naroč ito u telekomunikaciji puno puta sukazuje potreba za promenu uzorkovane brzine. Oni sistemi u kojima upotrebljujemuzorkovane brzine nazivamo ih više brzinski (multirate) sistemi za obradu signala.

Postoje dve moguć nosti za promenu uzorkovane brzine:

- prvi uzorkovani signal sa DA konvertorom pretvorimo u analogni sigzatim AD konvertorom ponovo uzorkujemo željenom brzinom. Ovko pomožemo menjati uzorkovanu brzinu, ali ovaj postupak ima i nedostatkešto znamo DA konvertor odnosno interpolacioni filtar u toku rekonstruunosi deformacije u signal, dok AD konvertor noviju kvantnu grešksignal unosi šum.

- Drugiviše odgovara, jer svaki zadatak izvršava u diskretnom domenu. Jeslaba tač ka ovog postupka je da odnos stare i nove uzorkovane brzine m biti samo racionalni broj, ovu u primeni ne znač i problem. Uzorkovanu

brzinu možemo poveć ati a i smanjiti.Ako smanjujemo uzorkovanu brzinu onda je kompresija ili decimalizacija:

][][][ nMT X nM X n X cd == (5.25)

Pre nego što kompresujemo signal (slika 5.16.), prvo diskretnim filtrom moramo smanspektralnu širinu signala jer ako ne smanjimo onda posle kompresije širina spektra se poveć ava M- puta u odnosu na uzorkovanu brzinu i ovako dolazi do pojave alliasing efekta.

Page 92: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 92/264

Analogno/digtalno i digitalno/analogno pretvaranje signala

88

Slika 5.16.Postupak vremenske kompresije

Prvo ako napišemo x[ n ] =xc(nT s ) spektar uzorkovanog signala:

)2(1)( s sn

c j

T n j

T j X

T e X π ω ω += ∑

+∞

−∞=(5.26)

spektar decimacionog signala xd [ n ] =x[ nM ] =xc(nT ′ ) gde jeT ′ =MT s:

)2(1)( s sk

c j

d MT k j

MT j X

MT e X π ω ω += ∑

+∞

−∞=(5.27)

indexk se može izraziti:k=i+nM (5.28)

gde je0≤ i ≤ M-1i izraz (5.27) može se opisati u sledeć oj formi:

∑ ∑−

=

+∞

−∞=+−=

1

0)22(11)(

M

i s sk c

s

jd T

k jMT

i j X T M

e X π π ω ω (5.29)

i dolazimo do konač nog izraza :

( )∑−

=

−=1

0

)/2/(1)(M

i

M iM j jd e X

M e X π ω ω (5.30)

Izmeđ u izraza (5.26) i (5.30) je evidentan odnos. Sa izrazom (5.26) rač una se x[ n ] vremenski red Fourier transformacije saT uzorkovanom periodom. A sa izrazom (5.30) može serač unati Fourier-ova transformacija u vremenu diskretizovanom xd [ n ] vremenskog reda (uzorkovana periodaM ).

Decimaciju ilustrujemo preko zadatka 5.4. za dva sluč aja kada usled kompresije nastaje alliasingefekat i kada ne nastaje alliasing efekat.

Zadatak 5.4.: Fourier-ova transformacija X [ n ] vremenskog reda može se videti na slici 5.17. Nacrtajmo X s(e jω )

M↓Granič na

frekvencijaniskopropusnog

filtra π/MX nXe [n] Xi

[n]=X [nM]

TT1 =MT

T

Page 93: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 93/264

Analogno/digitalno i digitalno/analogno pretvaranje signala

Slika 5.17.Spektar signala u zadatku 5.4.

i X d (e jω ) za sluč aj ako je M=3 iω h=π /2 iliω h=π /4, gde je:

±±=

inace 0,210 , ,][

][ ,... , ,k=n=Mk n x

Mn x s .

xd [ n ] =x s[ Mn ] =x[ Mn ]

Kolika je maximalna vrednost ω H za sluč aj kada je M=3 i ne javlja se alliasing efekat ?

Rešenje:Ako želimo de zaobiđ emo alliasing efekat onda je maximalna vrednostω H :

3/ Hmaxmax π ω π ω ≤⇒≤M H

Za dva sluč aja diskutujemo promenu spektra, uticajem decimacije i grafič ki se vidi naslikama 5.18 i 5.19. Prvi sluč aj slika 5.18, kada jeω H =π /2.

Slika 5.18.Uticaj decimacije kada jeω H =π /2

1 X(e jω)

-ωH 0 ωH π 2π

1 X (e j ω)

-π 0 π 2π ω=ΩT1

Xd(e j ω)

-ωH 0 ωH π 2π ω

1/3 Xd (e j ω)

-π 0 π 2π ω=ΩT1

-π/3 0 π/3 2π ω=ΩT

Xs (e j ω)

Page 94: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 94/264

Analogno/digtalno i digitalno/analogno pretvaranje signala

90

Jednoznač no se vidi sa slike 5.18 da dolazi do spektralnog preklapanja direktna posledicaovoga je da prvobitni trougaoni spektar kao što je zadat u zadatku postaje plitak zbog ponovnouzorkovanja. Krajnji spektar se već ne slaže sa originalom i ova granič na frekvencija ne odgovara brzini ponovnog uzorkovanja.

Drugi sluč aj se može videti na slici 5.19, kada jeω H =π /4.

U ovom sluč aju ne dolazi do spektralnog preklapanja jer je širina spektra u odnosu na prethodni je manja.

Slika 5.19.Uticaj decimacije kad jeω H=π /4

Suprotni sistem nazivamoexpander ili iterpolator. Uzorkovanu brzinu možemo poveć ati L-

puta sa interpolacijom tako da L-1 novi uzorak smeštamo izmeđ u svakog ulazeć eg uzorka.Jednač ina kojom opisujemo expander:

[ ]inace

,...2,,0 ,0

,/][

L Ln Ln xn xe

±±== (5.26)

ili ekvivalentno:

][][][ ∑∞

−∞=−=

k e kLnk xn x δ (5.27)

ovo je jedan niskopropusni filtar π /L granič nom frekvencijom i L pojač anjem. Ovaj idealniniskopropusni filtar ima slič nu ulogu kao D/A konvertor, niskopropusni filtar prilagođ avagenerisane nove impulse.

Spektar signala posle expander-a:

( ) ( ) L j Lk j

k

n j

n k

je e X ek xekLnk xe x ω ω ω ω δ ==

−= −

−∞=

−∞

−∞=

−∞=∑∑ ∑ ][][][

ω je normalizovan:

Xs (e j ω)

1 X (e j ω)

1/3 Xd (e j ω)

-π/4 0 π/4 π 2π ω

-2π/4 0 3π/4 π 2π ω=ΩT1

-π/4 0 π/4 π 2π ω

Page 95: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 95/264

Analogno/digitalno i digitalno/analogno pretvaranje signala

ω=Ω T’

Posle expandera treba da implementiramo interpolacioni filtar. Interpolacioni filniskopropusni filtar. Interpolacioni filtar prilagođ ava nove uzorke u signalu. Na slici 5.20. se movideti šematska slika prilagođ enog expandera.

Slika 5.20.Poveć avanje uzorkovane brzine

Impulsna fukcija odziva, idealnog niskopropusnog filtra:

Ln Lnnhi /

)/sin(][π

π = (5.27)

Idealni niskopropusni filtar u praktič nim upotrebama teško je egzaktno ostvariti, zbog toupotrebljavamo alternativne postupke, napr. takozvana linearna interpolacija. Graf i izimpulsnu funkcije odziva, linearnog interpolacionog filtra se može videti na slici 5.21.,

[ ]≤

=inace, 0

n ,/n-1 L Lnhlin

Slika 5.21.Impulsna funkcija odziva linearnog interpolacionog filtra

odnosno Fourier-ova transformacija impulsne funkcije odziva linearnog interpolacionog filtra

H e L

Llin

j( ) sin( / )sin( / )

ω ω ω

= 1 22

2

(5.28)

Promena uzorkovanja sa brzinom iznad minimalne brzine uzorkovanja može se vidslici 5.22.

↑ L

Interpolacioni

filtarx n

xe [n/L] xi [n]

TT1 =T/LT1 =T/L

-2 -1 0 1 2 n

a b c

d e

Page 96: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 96/264

Analogno/digtalno i digitalno/analogno pretvaranje signala

92

Slika 5.22.Uticaj poveć anja uzorkovane brzine na spektar signala, x(n) je originalni signal dok je y(n) ponovno uzorkovani signal

Kao što smo dosad videli poveć anje ili smanjenje uzorkovane frekvencije moglo je samo sacelim brojem, ali kombinacijom interpolacije i decimacije može se promenuti uzorkovana brzinasa racionalnim brojem. Ako jeM > L onda se smanjuje uzorkovana brzina, a ako jeM < L onda se poveć ava uzorkovana brzina. Ovaj postupak se može videti šematski na slici 5.23.

Slika 5.23.Promena uzorkovane brzine sa ne celim brojem

↑ L

Interpola-coni

filtar.Granič na

frekvencijaπ/L

x[n]xe [n] xi [n]

TT/L

T/L

M↓

Niskopro-pusnifiltar.

Granič nafrekvencija

π/M

xd1[n]xi1[n]

T/L TM/L

INTERPOLATOR DECIMATOR

Page 97: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 97/264

Page 98: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 98/264

Analogno/digtalno i digitalno/analogno pretvaranje signala

94

Slika 5.26.Sastav rekonstrukcionog sistema

U zadatku, u sluč aju pogrešno zadate uzorkovane brzine (10ksampl/s),diskretizovani signalse nalazi u frekventnom domenu[-π,π], jedan moguć i spektar se nalazi na slici 5.27.

Slika 5.27.Spektarpogrešno uzorkovanog signala prema zadatku

Ako bismo ispravno uzorkovali odnosno6ksampl/s, prema definiciji antiallising filtra isto bise nalazio izmeđ u [-π,π]. Prema zadatom u drugom sluč aju korektnim uzorkovanjem i korektnomdefinicijom antiallising filtra dolazimo do zaključ ka da je spektralna širina korisnog signalamaximalno3Hz. Mera kompresije prilikom obrade je 3/5. Prema ovome prvo moramo izvršititrostruku expanziju zatim na kraju petostruku kompresiju.

Slika 5.27 prikazuje spektar pogrešno uzorkovanog signala. A Slika 5.28. prikazuje spektasignala posle interpolacije.

Slika 5.28.Spektar signala posle interpolacije prema zadatku

L=3

↑ L H1(e j ω) ↓ M se [n] si [n] s1 [n]s[n]

M=5T T/L T/L TM/L=T1

Željenauzorkovana brzina

Page 99: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 99/264

Analogno/digitalno i digitalno/analogno pretvaranje signala

Spektar signala posle interpolacije:)()( L j

c j

e eS eS ω ω =

Filtriranje moramo izvršiti jer u protivnom dolazi do pojave alliasing efekta. Priminterpolacionog digitalnog filtra, prenosna karakteristika u domenu osnovne frekvencije:

<<≤=

51 ,0

51

,1)(π ω π

π ω ω

L Le H L j

spektar signala posle interpolacionog filtra:

)()()( L j L je

L ji e H eS eS ω ω ω =

a spektar izlaznog signala je:)()( //

1M L j

iM L j eS eS ω ω =

Slika 5.29.Spektar signala na izlazu iz sistema prema zadatku

U zadatku 5.7. i 5.8. na slici 5.14. može se videti digitalni sistem, prikazana je mogć nost popravke prenosnog karaktera. U praktič noj upotrebi analogni filtri imaju veliki uticaj kako pre Akonverzije u pripremi signala tako i u rekonstrukciji signala posle D/A konverzije. Pošto u idsluč aju ovi filtri bi trebali biti idealni niskopropusni filtri zato u praktič noj upotrebi zahtevamo daimaju već u selektivnost. Ovakve filtre možemo ostvariti realizacijom aktivnog RC filtr poglavlje), zbog preciznih pasivnih elemenata i specifič nih aktivnih elemenata cena bi im bilvelika. Posebno se javlja problem ako stalno moramo menjati uzorkovanu brzinu. Na osnovu

poželjno bi bilo da u što većoj meri smanjimo zahteve za analognim filtrima, i preko ovoga smanivo zahteva za realizaciju. Ili ako imamo moguć nost da u idealnom sluč aju zanemarimo analogne

filtre u celoj meri. Ovakvu moguć nost daje slika 5.14. modifikovani sistem, koji se vidi na s5.30.

Page 100: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 100/264

Page 101: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 101/264

Analogno/digitalno i digitalno/analogno pretvaranje signala

Slika 5.33.Uticaj antialliasing filtra na signal

Ako uzorkujemo signal xCF (t) sa T uzorkovanom periodom, da bude zadovoljen sledć iuslov:

N C T Ω>Ω−/2π

spektar uzorkovanog signala je na slici 5.34. a). Šum sa ovakvim uzorkavanjem raspolaže sp

preklapanja, odnosno javlja se alliasing uticaj u spektru šum-a, ali spektar korisnog signalošteć uje.

Slika 5.34.a) Uticaj alliasing efekta na spektar šum-a b) Amplitudska karakteristika idealndecimacionog filtra c) Amplitudska karakteristika posle decimacije uzorkovanog signal

Spektar preklapanjašum-a

Page 102: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 102/264

Page 103: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 103/264

Analogno/digitalno i digitalno/analogno pretvaranje signala

Da bi pretransformisali filtriran signal u originalni spektralni domen pre decimaciintrpolacionu konstantu trebamo da odabremo istu vrednost kao što je konstanta decimacijezadatku 5.7. jer onda je konstanta celog sistema:

1= LM

odnosnoM=L=4.

Page 104: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 104/264

Page 105: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 105/264

Page 106: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 106/264

Diskretni signali i sistemi

Matematič ki diskretni signali, vremenski redovi tako su označ eni kao brojni redovi.n-ti č lanvremenskog reda je označ en x(n)-om u sledeć oj formi:

[ ] [ ] x n x n n= − ∞ < < ∞ (6.1)

U ovom sluč aju numerič ka vrednostn-tog č lana podudara se vrednošć u analognog signala xa(t) u nT vremenskom trenutku, odnosno:

[ ] [ ] x n x nT na= − ∞ < < ∞ (6.2)

Vrednost T je uzorkovana brzina, a reciproč na vrednost je uzorkovana frekvencija. mnogim sluč ajevima vremenski red nije rezultat uzorkovanja, ali po dogovorun-ti č lanobeležavamo sa x(n).

6.2. Osnovne operacije i karakteristični vremenski redovi

6.2.1. Osnovne diskretne operacije

U analizi i sintezi diskretnih signala u upotrebi je nekoliko osnovnih operacija,upotrebljavamo za diskretne signale i sa ovim operacijama u celoj meri se može opisati tok dobrade signala. Ovi su:

- sabiranje. Zbir dva vremenska reda x[ n ] i y[ n ] dobijamo sabiranjem dva vremenska red po č lanovima a rezultat je ponovo vremenski red,

- množenje sa konstantom. Ako saα-om pomnožimo jedan vremenski red x(n) onda dobijemovremenski red y(n) a vrednostč lanova se podudara sa proizvodomα i č lanovima vremenskog reda,

- kašnjenje. Vremenski red y(n) je izmenjena varijanta x(n)-a zbog kašnjenja (shitovan ilikorač an), ako se ispuni sledeć i uslov:

[ ] [ ] y n x n n= − 0 (6.3)gde jen0 ceo broj.

Koristeć i jedinstveno kašnjenje, operatore množenja i sabiranja u opštem sluč aju svaki redse može izraziti u sledeć oj formi:

[ ] [ ] [ ] x n x k n k k

= −=−∞

∑ δ (6.4)

6.2.2. Karakteristični vremenski redoviU diskretnim i digitalnim sistemima obrade signala, nekoliko elementarnih digitalnih s

imaju osnovnu ulogu. U nastavku najvažniji diskretni signalić e biti obrađ eni.

Page 107: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 107/264

Diskretni signali i sistemi

104

Jedini č ni impulsni vremenski red δ (n) je definisan u sledeć oj formi:

[ ]δ nn

n=

≠=

0 01 0, ,, .

(6.5)

Red jedini č nih odsko č nih funkcija označ imo sau(n)- om:

[ ]u n nn

= ≥<

1 00 0, ,, .

(6.6)

Vremenski red jedinič ne odskoč ne funkcije, možemo izraziti jedinstvenim impulsnim redomu sledeć oj formi:

[ ] [ ]∑−∞=

−=n

k

k nnu δ (6.7)

Impulsni slejd:

<≥=

000

][n

nannr (6.8)

Slika 6.2. Nekoliko osnovnih vremenskih redova

Jedinični impuls

Jediničniodskok

Realni exponencijalnired

Sinusni red

Page 108: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 108/264

Diskretni signali i sistemi

Oblik exponencijalnih vremenskih redova opisuje sledeć i red:

n Ane α =][ (6.9)

Ako su A i α realni brojevi onda je i red realan. U najosnovnijem sluč aju A i α su komplexni brojevi. Ako jeα jedinič ni onda dobijamo tzv. komplexno exponencijalni red,č iji je realni deokosinusni a imaginarni deo se menja po sinusnom zakonu.

Osnovna forma sinusnog vremenskog reda:

[ ] ( ) x n A n= +cos ω φ0 (6.10)

gde je A realan broj. Sinusni vremenski red ima važnu ulogu kod ispitivanju sistema u spektradomenu. Dve sinusne funkciječ ija se frekvencija razlikuje za2π k vrednosti jedno od druge nemožemo ih razlikovati u tim tač kama u kojima su definisani. Zbog ovoga diskretno sinusne ilikoplexno exponencijalne signale posmatramo samo u tzv. osnovnom opsegu (engleskibaseband).Osnovni opseg je sledeć i domen:

π ω π π ω ≤≤≤≤ - ili 20

Druga važna razlika izmeđ u neprekidnih i diskretno periodič nih ili exponencijalnihvremenskih redova je u definiciji periode. Ono što se odnosi za neprekidne signale je vrem ponavljanje periodeT=2 π / Ω . U sluč aju diskretnih signala periodu definišemo na sledeć i nač in:

)()( N n xn x +=

gde je N ceo broj i N je perioda diskretnog signala. Upotrebljen za diskretnu sinusnu funkciju:

)sin()sin( ϕ ω ω ϕ ω ++=+ N nn

odavde je vrednost periodeω N=2 π k. Odnosno komlexno exponencijalni i sinusni vremenski nisu uvek za2π / ω vrednosti periodič ni, već zavisi i od vrednostiω ( u 5. poglavlju kod obradealliasing efekta knjiga se detaljno bavi ovom problematikom).

Kod neprekidnih signala sa porastom frekvencije raste i brzina promene signala u vrekod diskretnih signala ovo ne znač i zakonitost, kao što se vidi u prethodnom poglavlju kada sobrađ ivali alliasing efekat.

6.2.3. Klasifikacija diskretno vremenskih signalaIz matematič kog oblika posmatramo signale prema različ itim karakteristikama.

Signali energetskog tipa i signali tipa snage.Energiju signala prema definiciji možemo opisatsledeć oj formi:

∑+∞

−∞==

n

n x E 2)( (6.11)

Page 109: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 109/264

Diskretni signali i sistemi

106

ovaj izraz važi i za komlexnih signala a i za realne signale. Energija signala može biti konač na i beskonač na, ako je energija konač na onda je signalenergetskog karaktera.

Energija mnogih signala je beskonač na ali proseč na snaga je konač na i ovakve signalenazivamokarakter snage . Proseč nu snagu diskretnih signala definišemo na sledeć i nač in:

∑−=∞→ +

= N

N k N

n x N

P 2)(

121lim (6.12)

Ako definišemo energiju signala u konač nom domenu-N ≤ n≤ N onda je energija prvog2N č lana:

∑+

−==

N

N n N n x E

2)(

Na osnovu ovoga energija signala može se rač unati kao:

N N E E

∞→= lim (6.13)

u ovom sluč aju proseč na snaga ovog signala je:

N N E

N P

121lim+

=∞→

(6.14)

Ako je E konač an onda je P=0 , a ako je E beskonač an onda proseč na snaga može bitikonač na ili beskonač na. Ako je P konač an (i nije nula) signal nazivamo signal snage.

Od karakteristič nih signala jedinič ni impuls je tipa energije, jedinič na odskoč na funkcija iosnovni exponencijalni signali su tipa snage i funkcija impulsnog slejda nije definisana.

Periodični i neperiodični signali. U pod poglavlju 6.1.2 kod obrade exponencijalnihsignale knjiga se bavila periodič nim signalima a sadać emo ovaj tip signala ispitivati samo premakarakteru snage ili energije. Proseč na snaga periodič nog signala je konač na i jednaka je proseč nojsnazi unutar jedne periode. Odnosno ako x(n) periodič ni signal sa N konač nom periodič nomdužinom njena proseč na snaga se rač una na sledeć i nač in:

∑−

==

1

0

2)(1 N

k

n x N

P (6.15)

Na osnovu ovoga periodič ni signali su signali snage.Simetrični (parni) i antisimetrični (neparni) signali.Za signale sa realnom vrednošć u

kažemo da su simetrič ni ako: x(-n)=x(n)

sa druge strane signali su antisimetrič ni ako: x(-n)= -x(n)

za osnovni sluč aj je istina, da se svaki signal može opisati kao zbir parnog i neparnog signala:

Page 110: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 110/264

Diskretni signali i sistemi

x(n)=x e(n)+x o(n)

parni deo iz originalnog signala se lako rač una:

xe(n)=0.5*[x(n)+x(-n)]neparni deo signala:

xo(n)=0.5*[x(n)-x(-n)]

Zadatak 6.1.: Data su č etiri vremenska reda. Izvršite klasifikaciju DFT-a kao realni, imaginarniodnosno komplexni. Pretpostavimo da je u svakom slu č aju N=10.

a)

b)

c)

Slika 6.3.Pod a), b) i c) na osnovu istaknutog zadatka

Rešenje: a) Kao prvo možemo odrediti da je vremenski red paran, pa za rezultat dobijemo DFT.

Koristeć i izraz za rač unanje DFT-a:

[ ] [ ]∑−

=

− −==1

0

/2 1,...3,2,1,0 N

n

N kn j p p N k e x X nk π

rezultat je:

Page 111: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 111/264

Diskretni signali i sistemi

108

XP[k ]=0.2e-jπk/5+0.4e-j2πk/5+0.6e-j3πk/5+0.8e-j4πk/5+e-jπk +0.8e-j6πk/5+0.6e-j7πk/5+0.4e-j8πk/5+0.2e-j9πk/5

Uparivanjem određ enihč lanova i sređ ivanjem izraza:

0.2(e -jπ k/5+e -j9π k/5 )=0.4e -j5π k/5

+ π−π

2

ee 5/k4j5/k4j

=0.4e -jπ k cos(4 π k/5)

0.4(e -j2π k/5+e -j8π k/5 )=0.8e -j5π k/5

+ π−π

2ee 5/k3j5/k3j

=0.8e -jπ k cos(3 π k/5)

0.6(e -j3π k/5+e -j7 π k/5 )=1.2e -j5π k/5

+ π−π

2e 5/k2j5/k2j

=1.2e -jπ k cos(2 π k/5)

0.8(e -j4π k/5+e -j6 π k/5 )=1.6e -j5π k/5

+ π−π

2ee 5/kj5/kj

=1.6e -jπ k cos( π k/5)

posle uparivanja:

X P [ k ] =0.4e -jπ k cos(4 π k/5)+0.8e -jπ k cos(3 π k/5)+1.2e -jπ k cos(2 π k/5)+1.6e -jπ k cos( π k/5)+e -jπ k =

= e -jπ k [ 0.4cos(4 π k/5)+0.8cos(3 π k/5)+1.2cos(2 π k/5)+1.6cos( π k/5)+1 ]

sređ en izraz:

X P [ k ] = (-1) k [ 0.4cos(4 π k/5)+0.8cos(3 π k/5)+1.2cos(2 π k/5)+1.6cos( π k/5)+1 ]

Kao što smo pretpostavili dobili smo realan spektar odnosnoč isto samo realneč lanove zaDFT. Sadać emo odrediti amplitudski i fazni spektar prema sledeć im izrazima:

[ ]kX p =A[k ]= 22 ImRe + [ ]ReImarctgk −=θ

U sledeć oj tabeli se mogu videti pojedine spektralne komponente amplitudnog i faznogspektra koji su numerič ki rač unati.

Tabela 6.1. Numerič ke vrednosti amplitudnog i faznog spektra

k A[k ] θ[k ] k A[k ] θ[k ]0 5 00 5 0.2 -1800

1 2.09 -1800 6 0 00

2 0 00 7 0.31 -18003 0.31 -1800 8 0 00

4 0 00 9 2.09 -1800

Pošto u ovom sluč aju faznog kašnjenja za imaginarni deo uvek dobijemo nulu, za negativnevrednosti uvek trebamo da dodamo za faznu vrednost±π vrednost. Na osnovu vrednosti iz tabelecrtamo amplitudni i fazni spektar.

Page 112: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 112/264

Page 113: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 113/264

Diskretni signali i sistemi

110

c)

x p[ n ] ==−−

≤≤≤≤

98 1073 020

,nn)(

n

nn

Slika 6.6.a) amplitudski i b) fazni spektar vremenskog reda

Slič no prethodnim sluč ajevima mogu se izrač unati spektralne komponente:

X p[ k ] = ∑−

=

1N

0n x p[ n ] e-j2π kn/N =e -jπ k/5+2e -j2π k/5-2e -j8π k/5-e -j9π k/5

Posle uparivanja i sređ ivanja dolazimo do sledeć eg izraza.

X p[ k ] = (-1) k 2j[ sin(4 π k/5)+2sin(3 π k/5) ]

Brojč ane vrednost amplitudskog i faznog spektra smo sredili u tabeli 6.3. Slikaamplitudskog i faznog spektra vremenskog reda se može videti na slici 6.6.

Tabela 6.3.Amplitudski i fazni spektar vremenskog reda

K A[k ] θ[k ] k A[k ] θ[k ]0 0 00 5 0 00

1 4.98 900 6 2.63 9002 4.25 900 7 0.45 9003 0.45 -900 8 4.25 -9004 2.63 -900 9 4.98 -900

Page 114: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 114/264

Diskretni signali i sistemi

6.3. Opis diskretno vremenskih sistemaDiskretno vremenski sistemi su matematič ki definisani kao transformacija ili operator, ko

preslikavaju vrednost x(n) u vrednost y(n). Ovo možemo opisati u sledeć oj formi i šematski prikazati.

[ ] [ ] Kn xT n y = (6.16)

Slika 6.7.Šematski prikaz diskretno vremenskog sistema

Operator T određ uje nač in, da na kakav nač in se može odrediti vrednosti pojedinihč lanova

izlaznog signala na osnovu ulaznog signala. Operator T može biti jako različit. Definicija operatorase može zadati u vremenskom i frekventnom domenu, matematič kim izrazom, grafikonom, tabelom

itd. Operatori mogu imati ogranič en uslov, sa ovim zanimljivostima se bavi knjiga u nastavku.

6.3.1. Vremenski invarijantni (nepromenjeni) sistemiZa jedan sistem kažemo da je vremenski invarijantan ako za svaku vrednostn0 ulazni

vremenski redx1(n)=x(n-n 0 ) generiše vremenski red y1(n)=y(n-n 0 ), gde je y(n)=f(x(n)).

Najveć i deo sistema za digitalnu obradu signala pripadaju u vremenski nepromesisteme. Izuzetak č ine adaptivni sistemič ija prenosna funkcija se adaptira za promene ulaznsignala i ovako se koeficijenti u vremenu neprestano menjaju.

6.3.2. Linearni sistemiZa linearne sisteme se ispunjava se uslov za aditivnost i homogenost. Teorema sabira

može opisati sa sledeć im izrazom:

[ ] [ ] [ ] [ ] [ ] [ ]T x n x n T x n T x n y n y n1 2 1 2 1 2+ = + = + (6.17)

odnosno teorema homogenosti:

[ ] [ ] [ ]T ax n aT x n ay n= = (6.18)

Gore navedene dve osobine se mogu spojiti teoremom superpozicije:

[ ] [ ] [ ] [ ] T ax n bx n aT x n bT x n1 2 1 2+ = + (6.19)

gde sua i b proizvoljne konstante.

Page 115: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 115/264

Page 116: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 116/264

Page 117: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 117/264

Page 118: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 118/264

Diskretni signali i sistemi

6.4.1. Nekoliko osobina konvolucionog zbiraKonvolucioni operator jekomutativan:

[ ] [ ] [ ] [ ] [ ] )(*)()(*)( 00 n xnhk n xk hk nhk xnhn xn y

n

k

n

k =−=−== ∑∑ == (6.30)

Konvolucioni operator je iasocijativan:

[ ] )](*)([*)()(*)](*)([ 2121 nhnhn xnhnhn xn y == (6.31)

Konvolucioni operator jedistributivan za sabiranje:

[ ] )](*)([*)()(*)](*)([ 2121 nhnhn xnhnhn xn y == (6.32)

Slika 6.8.a) Kaskadna i b) paralelna veza

U sluč ajukaskadne veze sistema vrednost ekvivalentne prenosne funkcije (slika 6.8. a):

[ ] )(*)( 21 nhnhnh = (6.33)

A u sluč aju paralelne veze sistema vrednost ekvivalentne prenosne funkcije (slika 6.8. b

[ ] )()( 21 nhnhnh += (6.34)

6.5. Klasifikacija LTI sistemaU prethodnim poglavljima smo definisali i upotrebljavali smo pojam impulsnog o

funkcije diskretnih sistema. LTI sistemeć emo podeliti u dve grupe. U prvu grupu spadaju diskresistemič iji je impulsni odziv funkcije sa kona č nom dužinom (engleski Finite Impulse Response – FIR ) koje možemo opisati sa sledeć im izrazima:

Page 119: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 119/264

Diskretni signali i sistemi

116

∑−

=−=

≤≤≠≥<=

1

0k )()(y(n)

1-Mn0 0,h(n)Mn0,n ,0)(

M

k n xk h

nh

prilikom izvođ enja, pretpostavljamo da je sistem kauzalan. Kao što se vidi izlaz FIR sistema jelinearna kombinacijaM ulaznih uzoraka i težinskih koeficijenata, odnosno uzorcih(n) impulsnog

odziva funkcije. FIR sistem se ponaša kao ' prozor ' preko koga zadnji uzorak M iz ulaznog signala i pomnožen su sa težinskim koeficijentima i tako nastaje izlazni signal.

Drugu grupu LTI sistemač ine beskona č ni impulsni odzivi (engleski I nfinite I mpulse Response – IIR) č iji je izlazni konvolucioni zbir:

∑∞

=−=

0k )()(y(n) k n xk h (6.35)

u izrazu je pretpostavljeno da je sistem kauzalan.

Praktič na realizacija IIR sistema na osnovu izraza konvolucije se ne može realizovati, aliima alternativni izraz.

∑∑=

=−+−=

N

k

M

k k n yak n xb1k

1

0k )()(y(n) (6.36)

Ovakvi diskretni sistemi su rekurzivni.

6.6. Diskretni vremenski redovi i sistemi u frekventnom domenu

Kod neprekidnih signala i sistema mogli smo videti značaj tansformacionih postupaka kodanalize i sinteze LTI sistema. Mnoge osobine signala i sistema mnogo lakše možemo analizirati ak

vršimo na njima razne transformacije. U neprekidnoj oblasti veliki znač aj imaju Fourier-ova iLaplace-ova transformacija.

Kod diskretnih sistema i signala razne transformacije imaju veliki znač aj.

Ako posmatramo sledeć i ulazni vremenski red x(n)=e jω n , onda je impulsni odziv funkcije:

[ ] [ ] ( ) y n h k e j n k

k

= −

=−∞

∑ ω [ ]=

=−∞

∑e h k e j n j k

k

ω ω (6.37)

Ako u izrazu 6.27. vrednost koja se nalazi u zagradi označ imo:

( ) [ ] H e h k e j j k

k

ω ω= −

=−∞

∑ (6.38)

gde e jω predstavlja karakteristič nu funkciju diskretnog sistema i dodata karakteristič na vrednost H(e jω ). Kod diskretnih sistema komplexno exponencijalna funkcija pobude ostvaruje komplexnexponencijalni odziv na istoj frekvenciji.

Page 120: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 120/264

Diskretni signali i sistemi

[ ] ( ) y n H e e j j n= ω ω (6.39)

Iz jednač ine (6.39) vidimo da H(e jω ) u ω frekventnom domenu opisuje promenu komplexamplitude i označava sopstvenu vrednost sistema. H(e jω ) prema ovome nazivamo frekventni odzfunkcije na slič an nač in kao ko analognih sistema.

U osnovnom slučaju prenosna funkcija je komplexna i može se izraziti u realnoimaginarnom delu ili preko svoje amplitude i faze:

)(arg)()()()(ω

ω ω ω ω je H j j j

I j

R j ee H e jH e H e H =+=

Kod neprekidnih i diskretnih sistema izmeđ u odziva funkcija ima velike razlike. Kovremensko diskretnih i invarijantnih sistema frekventni odziv funkcije u frekventnom dom periodič an ovo se lako može dokazati ako umestoω uvrstimoω +2 π :

( ) [ ] [ ] [ ] ( )ω ω π ω π ω π ω j

k

k jk j

k

k j

k

k j j e H ek heek hek he H ==== ∑∑∑∞

−∞=

−−∞

−∞=

−∞

−∞=

+−+ 2)2()2(

Ovaj rezultat nije oč ekivan jer i prethodno smo videli kod alliasing efekta da diskrsignali prema2π su periodič ni.

Odzivi funkcije takođ e su periodič ni i ne možemo ih razlikovati unutar različ ite dužine2π periode.

Kao što smo videli kod diskretnih signala, i diskretne sisteme je dovoljno ispidomenima od0≤ ω≤ 2π ili -π ≤ ω≤ π .

6.7. Opis vremenskih redova Fourier-ovom transformacijomIzrazi (6.40) i (6.41) zajednoč ine Fourier-ov transformacioni par diskretnog vremensk

reda:

[ ] ( ) x n X e e d j j n=−∫

12π

ωωπ

π ω (6.40)

( ) [ ] X e x n e j

n

j nω ω==−∞

∞−∑ (6.41)

Jednač ina (6.41) je inverzna Fourier-ova transformacija i predstavlja sintetizaciju. Od prema ovom izrazu x(n) je sastavljen od mnogo malih komplexnih sinusnih signala sa beskonč nomnogo određ enih komplexnih amplituda, gde se u( ω ,2π ) intervalu menja i X(e jω ) predstavljaamplitudu sinusnih komponenata, i pojedine sinusne komponente možemo karakterisati sa slć imizrazom:

( )12π

ωω ω X e e d j j n

Page 121: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 121/264

Page 122: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 122/264

Diskretni signali i sistemi

Zadnji izraz je paran to je jako važno i ukazuje na to da je moguć e vraćanje na prethodnostanje periodič nog vremenskog reda x p(n) iz uzorkovanog spektra X(e jω ).

Na slič an nač in kao kod vremenskog uzorkovanja u spektru moralo se paziti na spekt preklapanje pa tako ako uzorkujemo u spektralnom domenu, pošto su vreme i spektar međ usobnodualni, onda moramo paziti na vremensko preklapanje prilikom stvaranja periodič nog vremenskogreda.

Preklapanje u vremenskom domenu ne dolazi ako je vremenski red x(n) krać i nego N . Naslici 6.9. prikazujemo realizaciju ispravnog periodič nog vremenskog reda i neadekvatnog.

Sa slike 6.9. b) pravilno možemo izdvojiti iz periodič nog vremenskog reda x p(n) vremenskired x(n) jer nema preklapanja u vremenskom domenu , dok sa slike 6.9. c) ne možemo jednozč noizdvojiti vremenski red x(n) prema slici 6.9.a).

Uzorkovani DFT u frekventnom domenu se može koristiti u rač unarskim upotrebama jerobe oblasti vreme i spektar sadrže konač an brojč lanova i diskretan je.

Slika 6.9.Periodič an nastavak konač nog vremenskog reda a) originalni vremenski red L=6 b) periodič an nastavak bez preklapanja N=7 > L c) periodič an nastavak sa preklapanjem N=4 < L

U dosada reč enima i u nastavku zbog sistematizovanja još jednom opisać emo dva izraza, prvo za izrač unavanje Diskretne Fourier-ove Transformacije vremenskog reda x(n):

( ) [ ] .0,1,2,3,..k ,/2 == −∞

−∞=∑ N kn j

n

en xk X π (6.43)

odnosno za vrać anje vremenskog reda x(n) na prethodno stanje koristimo Inverznu Diskretnu Fourier-ovu Transformaciju:

Page 123: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 123/264

Page 124: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 124/264

Page 125: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 125/264

Diskretni signali i sistemi

122

Slika 6.12.Vremenski redovi zadatka

Rešenje: a) Linearne konvolucije vremenski reda x1[n]*x2[n], dužina100 + 10 – 1 =N

[ ] [ ] [ ]∑ −= :~~~21 mn xn xn xa

[ ] [ ] x xa a0 109 1= =[ ] [ ] x xa a1 108 2= =…

[ ] [ ] x xa a9 100 10= =

gde je xa[i]=10, 10≤ i ≤ 99

b) Vrednosti cirkularne konvolucije vrmenskog reda su

N = 100[ ] [ ] [ ] x x xb a a0 0 100 10= + =[ ] [ ] [ ] x x xb a a1 1 101 10= + =

M

[ ] [ ] [ ] x x xb a a9 9 109 10= + =[ ] x i ib = ≤ ≤10 10 99;

Page 126: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 126/264

Diskretni signali i sistemi

Slika 6.13.a) Vremenski red linearne konvolucije b) Vremenski red cirkularne konvolucij

6.10. Simetrične osobine diskretne Fourier-ove transformacijePonavljamo reč eno u podpoglavlju 6.2.3. sortirano u tabele, sažimamo celinu de

zbirova vremensko diskretnih signala u odnosu na spektralne komponente. Svaki vremenskmože izraziti kao zbir jednog konjugovano simetrič anog i zbir konjugovano antisimetrič nog reda. Utom sluč aju taj red se može opisati na sledeć i nač in:

[ ] [ ] [ ] x n x n x ne o= + (6.49)

gde je:

xe[ n ] - konjugovano simetrič ni red u sledeć oj formi

[ ] [ ] [ ] x n x n x ne = + −∗

12 (6.50)

x0[ n ] - konjugovano antisimetrič ni reda u sledeć oj formi

[ ] [ ] [ ] x n x n x no = − −∗

12 (6.51)

Realni red je konjugovano simetrič an ako je xe[ n ] = x e[ -n ] i nazivamo ga parni red;a realni red je konjugovano antisimetrič ni ako je x0[ n ] = - x 0[ -n ] i nazivamo ga neparni red.

Fourier-ovu transformaciju možemo rastaviti na jednu konjugovanu simetrič nu funkciju ikonjugovanu antisimetrič nu funkciju:

[ ] [ ] [ ] x n x n x no = − −∗

12 (6.52)

Page 127: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 127/264

Diskretni signali i sistemi

124

gde je ( ) ( ) ( )[ ] X e X e X ee

j j jω ω ω= + ∗ −12 (6.53)

( ) ( ) ( )[ ] X e X e X eo j j jω ω ω= − ∗ −1

2 (6.54)

X e(e jω ) je konjugovano simetrič an č lan (oznakać e biti KoS ), a X o(e jω ) je konjugovano

antisimetrič

anč

lan (oznaka KoAS ) odnosno: ( ) ( ) X e X ee

je

jω ω= ∗ − (6.55)

( ) ( ) X e X eo j

o jω ω= − ∗ − (6.56)

U tabeli 6.3. su pokazane neke važne simetrič ne osobine Fourier-ovog reda.

Tabela 6.4.Simetrič ne osobine Fourier-ove transformacije

Vremenski red [ ] x n Fourier-ova transformacija( )

X e j ω

1. [ ] x n∗ ( ) X e j∗ − ω

2. [ ] x n∗− ( ) X e j∗ ω

3. [ ] Re x n ( ) X ee j ω ( KoS od ( ) X e jω )

4. [ ] j x nIm ( ) X eo jω ( KoAS od ( ) X e j ω )

5. [ ] x ne ( KoS od [ ] x n ) ( ) X e R jω

6. [ ]

x no

( KoAS od[ ]

x n )( ) jX e I

j ω

Slede ć e osobine upotrebljavamo samo ako je [ ] x n realan:

7. [ ] x n ( ) ( ) X e X e j jω ω= ∗ − ( Fourier transformacioni KoS )

8. [ ] x n ( ) ( ) X e X e R j

R jω ω= − (realani deo paran)

9. [ ] x n ( ) ( ) X e X e I j

I jω ω= − − (imaginarni deo neparan)

10. [ ] x n ( ) ( ) X e X e j jω ω= − (magnituda parna)

11. [ ] x n ( ) ( )∠ = −∠ − X e X e j jω ω (faza je neparna)

12. [ ] x ne ( [ ] x n parni deo) ( ) X e R jω

13. [ ] x no ( [ ] x n neparni deo) ( ) jX e I j ω

Page 128: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 128/264

Page 129: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 129/264

Diskretni signali i sistemi

126

6.12. Karakteristični Fourier transformacioni paroviU tabeli 6.7. nabrojać emo nekoliko mnogo upotrebljavanih diskretnih Fourier-ovih

transformacionih parova.

Tabela 6.7.Fourier-ovi transformacioni parovi

Vremenski red Fourier-ova transformacija

[ ]δ n 1

[ ]δ n n− 0 e j n− ω 0

1 − ∞ < < ∞n ( )2 2πδ ω π+=−∞

∑ k k

[ ] ( )1 <anua n1

1−−

ae j ω

[ ]u n ( )11

2−

+ +−=−∞

∑ek j

k ω πδ ω π

( ) [ ]( )11 <+ anuan n

( )1

1 2− −ae j ω

( )[ ] ( )

r nu n r

n p

p

sinsinω

ω+

<1

11

1 2 2 2− +− −r e r e p j jcosω ω ω

sinω

πcn

n ( ) X e j c

c

ω ω ω

ω ω π=

<

< ≤

1

0

, ,

,[ ] ≤≤=

inace

M nn x

,00,1 ( )[ ]

( )sin

sinω

ωωM

e j M + −1 22

2

e j nω0 ( )2 20πδ ω ω π− +=−∞

∑ k k

( )cos ω φ0n + ( )cos ω φ0n + ( ) ( )[ ]π δ ω ω π δ ω ω πφ φe k e k j j

k

− + + + +−

=−∞

∑ 0 02 2

6.13. FFT- brzi Fourier-ov transformacioni algoritamDo sada smo obrazložili znač aj Diskretne Fourier-ove Transformacije kod obrade diskretnih

i digitalnih signala, zbog toga ima veliki znač aj moguć nost izrač unanja DFT efektivno i brzo. Prvoć emo kratko ukazati na teškoć e izrač unavanja DFT-a prema definiciji i ukazać emo na nekoliko jednostavnih moguć nosti sač ime je moguć e poveć ati brzinu rač unanja. Ove postupke uglavnomnazivamo brze Fourier-ove transformacije (engleskiFast Fourier Transformation-FFT).

Page 130: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 130/264

Diskretni signali i sistemi

6.13.1. Aritmetička složenost računanja DFT-aPrema definiciji DFT rač unamo prema sledeć em izrazu:

( ) [ ] .0,1,2,3,..k ,/2 == −∞

−∞=∑ N kn j

n

en xk X π

U ovom izrazu moramo izvršitič etiri operacije: rač unanje trigonometrijske funkcijemnoženje, sabiranje i rač unanje indexa. Postavlja se pitanje koliko puta trebamo izvršiti rač unske operacije:

- Broj rač unanja trigonometrijskih operacija je2N 2.- Broj množenja realnih brojeva je4N 2.- Broj potrebnih realnih sabiranja je2N(2N-1) ≈ 4N 2.

Direktan nač in rač unaja kao što se vidi nije efektivno ali se lako se može poboljšati.Ako unapred izrač unamo vrednosti trigonometrijskih funkcija za važne vrednosti uglo

ove vrednosti rasporedimo u tabelu. Smestimo ih u rač unar ili u memoriju procesora i odavde

vadimo prilikom upotrebe. Već tada se u velikoj meri smanjuje broj potrebnih operacija. O postupak se upotrebljava samo u sistemima realnog vremena.

Jedan od postupaka za poveć anje efektivnosti je rač unanje vrednosti trigonometrijskihfunkcija rekurzivnim postupkom. Odnosno za dve sukcesivne vrednosti koje se razlikuju odkonstantnog faktorae-j2π k / N za ove koristimo sledeć e rekurzivne izraze:

N k j N kn j N nk j eee /12/2/)1(2 π π π −−+− =

Ako prvo za svakik -ti DFT koeficijent prvo izrač unamo vrednost konstante:

)/2sin()/2cos(/2 N k j N k e N k j π π π −=−

posle ovoga rekurzijom se mogu izrač unati sinus-ne i kosinus-ne vrednosti:

)/2cos()/2sin()/2sin()/2cos(]/)1(2sin[

)/2sin()/2sin()/2cos()/2cos(]/)1(2cos[

N k N kn N k N kn N nk

N k N kn N k N kn N nk

π π π π π

π π π π π

+=+

−=+

Rekurzivni postupak poč inje sa cos(0)=1 odnosno sin(0)=0. Sa ovim postupkomtrigonometrijsko rač unanje 2N 2 smo smanjili na2N , odnosno na4N 2 množenje i2N 2 realnosabiranje. Rekurzivni postupak ima veliku manu, akumuliše grešku i ovaj postupak se upotrsamo kod opštih rač unara gde su promenljive zadate sa velikim brojem bitova u floating pobliku.

Periodič nost DFT koeficijenata i simetrič nost još više se može iskoristiti za smanjenje broaritmetič kih operacija, ako upotrebljavamo dekompozicioni postupak i to nas vodi doalgoritma.

Page 131: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 131/264

Diskretni signali i sistemi

128

6.13.2. FFTAko podelimo ulazni vremenski red na dva parcijalna vremenska reda i za oba odredimo s

DFT direktnim postupkom, broj operacija množenja je2N 2 , odnosno na pola se smanji nego uoriginalnom sluč aju. Rezultat parcijalnog DFT-a nije krajnji rezultat pa zbog toga moramoizvršavati rač unske operacije ali njihov broj je mnogo manji od broja2N 2 ovako već je velikadobit. Ako ovo dalje nastavimo i parcijalnu granu dalje razlažemo, svaku granu još na dve, i dalnastavimo ovu zamisao sve dok u jednoj grani ne ostane dva-dva elementa i samo za ove elemen bi izvršili DFT. Na ovoj dekompoziciji ili separacionom postupku se gradi brzi Fourier-ov postupodnosno FFT.

U nastavku kratkoć emo opisati algoritame. Pretpostavimo, da analizirani vremenski reddužine2r što nije zakonitost jer imamo algoritme koji ne zahtevaju ove vrednosti (pr. Winogradowalgoritam). Ali zbog jednostavnosti algoritma ostajemo u objašnjenju sa dvostrukim eksponentomOvako u već ini sluč aja vremenski red možemo razložiti na dve grane. Ako sad ulazni vremenski redrazložimo na dva vremenska reda da parni indexi stvaraju x10(n) vremenski red a neparni indexi

x11(n) vremenski red onda:

N kn jkn N

N

mn

kn N

N

mn

kn N

N

n

kn N eW W n xW n xW n xk X /2

1

12

1

2

1

0 ahol )()()()( π −

+=

=

==+== ∑∑∑

pošto:ik

N ik

N N N j N j

N W W W eeW 2/2

2/)2//(2/42 =⇒=== −− π π odnosno

ik N

k k i N W W W N 2/

)12( =+

posle uvrštavanja x10(m)=x(2m) és x11(m)=x(2m+1)

12/,...,2,1,0 )()()(12/

0

12/

02/112/10 −=+=

∑ ∑

=

= N k W n xW W n xk X

N

m

N

m

km N

k N

km N

u zadnjem izrazu se mogu videti dve sume koje su DFT-i vremenskih redovax10(n) i x11. Znač i:

12/,...,2,1,0 ,(k))( 1110 −=+= N k (k) X W X k X k N

č lanove sa već im indexom od N/2 rač unamo na sledeć i nač in:

12/,...,2,1,0 ,2/)2()2/( 112/

10 −=+++=+ + N k ) N (k X W N/ k X N k X N k N

pošto je X 10(k) i X 11(k) periodič an sa N /2, ik

N N k

N W W −=+ 2/

:

12/,...,2,1,0 ,)()2/( 1110 −=−=+ N k (k) X W k X N k X k N

na osnovu ovoga DFTč lanovi sa indeximak i k+N/2 se razlikuju jedan od drugog u predznakuelemenata sa sabiranje i ovaj postupak nazivamo prorač un leptirne strukture.

Ako sa ovim postupakom, nastavimo dekompoziciju, X 10(k) i X 11(k) onda na kraju, redovi uceloj meri se mogu razložiti nač lanove i mogu se izrač unati spektralne komponente. Na slici 6.14. pokazujemo dekompozicioni postupak za N=8 .

Page 132: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 132/264

Diskretni signali i sistemi

Slika 6.14.Kompletan FFT rač unski proces za N=8

Postoje i druge premeštajuć i postupci ali nije cilj ove knjige opis gradnje detaljnog Falgoritma.

6.14. Prozorske funkcije

Uzmimo u obzir vremenski red x(n)=e jω n, č ija je frekvencija proizvoljna i ne treba da podudara sa diskretnim frekvencijama na kojima smo izrač unali DFT. Ako izrač unamo DFT za ovajsignal onda se pojavljuju ne nula vrednosti za svaki DFT red. Za ovo razlog moramo traosnovu Parseval-ove teoreme, energije koju smo izrač unali u frekventnom i spektralnom domentreba da se slažu. Na osnovu ovoga ako se frekvencija signala ne slaže sa frekvencijom rač una na osnovu DFT-a onda dolazi do raspodele energije izmeđ u spektralnih komponenata.r -tikomponent DFT-a:

∑−

=−−

−−

−−==

1

0/2

2

11),(

N

n j N r j

N jr jnr

N n j

ee

W e F r X ω π

ω π ω (6.58)

odavde sa određ enim uvrštavanjem:ω π −=Ω N k r /2

r-ti č lan DFT reda:2/)1(

)2/sin()2/sin(1)( −Ω−

ΩΩ=Ω N j

r

r r

r e N

N X (6.59)

amplitudna karakteristika DFT-a se može videti na slici 6.15 u decibelima, u sluč ajevima N=6, r=2i r=4 . Fazna karakteristika DFT-a je linearna funkcija.

Amplitudna karakteristika maximuma r-tog DFTč lana jeΩ r =0 odnosno F=rF s / N (glavnisnop), nule su F=(r-n)F s / N, a n se razlikuje od nule. Delovi karakteristike koje se nalaze izmđ unule su manji (sporedni list), ali oni raspolažu sa ne zanemarujuć om amplitudom. Ovu pojavunazivamo spektralno curenje.

Page 133: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 133/264

Diskretni signali i sistemi

130

Slika 6.15.Amplitudna karakteristika DFT u sluč aju N=6, r=2 i r=4

Spektralno curenje nije poželjana pojava jer utič e na kvalitet analize. Za smanjenjespektalnog curenja upotrebljavaju se više modifikacije vremenskog reda x(n).

Na grafikonima slike 6.15. vidi se, da je širina glavnog snopa dva puta već a od onograstojanja gde se oč ekuju spektralne komponente prema DFT-u i ovako ako je reč o susednimspektralnim komponentama ( pr. ako jer=2 i ako je r=3 ili druga susedna vrednost) onda se preklapaju sa pola njenih širina. Posledica oveč injenice je, da ako se neke spektralne komponentenalaze u preklopnom domenu onda njihovu amplitudu ne možemo precizno detektovati, poštvelikim delom uč estvuje u oba DFT komponenta.

Page 134: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 134/264

Diskretni signali i sistemi

Slika 6.16.Upotreba DFT algoritma u tom sluč aju kada nastaje spektralno curenje u sluč aju a) i b) je spektar, a c) kada iz a) spektra rekonstruišemo vremenski signal

Spektralno curenje je posledica, da spektralne komponente ulaznog signala i sa DFizrač unate spektralne komponente se ne slažu, posledica ovoga je da u toku periodč nognastavljanja vremenskog reda na kraju periode dolazi do pojave diskontinuiteta. Sam diskondonosi širok spektar i na osnovu ovoga pojavljuju se takve spektralne komponente koje se neu originalnom signalu. Za ovaj sluč aj možemo videti jedan primer na slici 6.16.

Na slici 6.16. prikazujemo uticaj spektralnog curenja na spektar a) i b) odnos pretransformisan signal c). U sluč aju pod a) uzeli smo N=64 uzorka i signal za koji rač unamo DFT je kosinusni signal sa relativnom frekvencijom f 1=17.2/64 , u sluč aju pod b) isto se radi o jednomkosinusnom signalu približnom frekvencijom f 2=17.5/64. U oba sluč aja sa rač unanjem DFT-amožemo izrač unati takve spektralne komponenteč ija je vrednost brojioca ceo broj pr. : f 3=17/64 ili

f 4=18/64 kao što se može videti na slici 6.9c), za ove vrednosti spektralne komponente se jednoznač no izrač unati DFT-om.

DFT selektivnost u frekventnom domenu definišemo kao moguć nost razdvajanja spektralnihkomponenata. One komponente koje spadaju u domen glavnog snopa ne možemo razdvo

osnovu ovoga DFT selektivnost zavisi od toga, da u koliko tačaka ra

čunamo DFT, ali zavisi i odtoga da na kakav nač in menjamo ulazni vremenski red, da bi smanjili spektralno curenje.

modifikacija ima uticaja na širinu glavnog snopa.

Slika 6.17.Uticaj prozorske funkcije na vremenski red a) ulazni vremenski red b) prozorsvremenski red c) uticaj prozorskog vremenskog reda na ulazni signal

Page 135: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 135/264

Diskretni signali i sistemi

132

Na kraju ekvivalantna širina opsega šum-a (engleski equivalent noise bandwidth – ENBW ). ENBW definišemo kao širinu opsega jednog idealnog filtra koji propušta beli šum, ič ija snaga seslaže sa snagom koja se javlja na određ enom DFT izlazu. Ekvivalentna širina opsega šum-a može sekoristiti kao mera kvaliteta za različ ite promene modifikacionih funkcija ulaznih signala.

Prozorisnje vršimo tako da, u vremenskom domenu vremenski red koji pripada u″ prozor uvremenskom redu″ pomnožimo sa konstantama prozorske funkcije. Ovo se može videti na slici6.17.

)()()( nwnhnh i=

U spektralnom domenu ovo množenje je konvolucija, odnosno:

( ) ( ) ( )T jT ji

T j eW e H e H ω ω ω ∗=

6.14.1. Pravougaona prozorska funkcija

Najpoznatija prozorska funkcija je tzv. pravougaona prozorska funkcija, koji praktič no nemenja vrednost uzoraka koje se nalaze unutar prozora. Pravougaona prozorska funkcija:

== izvan0,

1- N0,1,...,n ,1)(nw R (6.60)

može se videti na slici 6.17. sa dužinom N=18. Sa slike se može videti da pravougaona prozorskafunkcija ne menja na vremenskom redu nego u potpunosti propušta ili u potpunosti pomera, dosareč eno o prozorskim funkcijama važi i za pravougaone prozorske funkcije. Spektar pravougaon prozorske funkcije:

2/)1(1

0 )2/sin()2/sin()( −−

=

−∑ == N j N

n

n j j R e

N eeW ω ω ω

ω ω (6.61)

na slici 6.18 a) N=16 i na slici 6.18 b) N=64 vrednosti je predstavljen spekter prozorske funkcije.

Na slici 6.18. može se videti spektar pravougaone prozorske funkcije za dve različ itevrednosti N, N=16 i N=64 . Širina glavnog snopa je4π /N , sa N porastom, širina glavnog boč nogopsega se smanjuje. Sa druge strane boč ni opsezi su relativno veliki, nezavisno od N vrednosti, a to je jedan veliki nedostatak pravougaone prozorske funkcije. Pojač anje prvog sporednog lista je22%od glavnog snopa pojč anja (-13dB ). Pojačanje viših sporednih snopova se smanjuje brzinom

6dB/oktavu. Pošto su dosta veliki sporedni listovi, u velikoj meri se menja spektar ulaznog signalaZbog ovakvog karaktera pravougaona prozorska funkcija nije pogodna za izrač unavanje

DFT-a jer praktič no nemoguć a je detekcija malih amplituda spektralnih komponenti pored velikihamplituda spektralnih komponenti. Krajnje sporedni listovi imaju relativno velike amplitude a to posledica korišć enja pravougaone prozorske funkcije, jer brzo prekida vremenski red. Svaka dobra prozorska funkcija ima jednu važnu osobinu a to je da od sredine prozorske funkcije, pa do krajev postepeno smanjuje pojač anje.

Page 136: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 136/264

Diskretni signali i sistemi

U nastavkuć emo obraditi nekoliko zanimljivih prozorskih funkcija, uzeć emo u obzir bitne parametre i na osnovu ovogać emo suditi pod kakvim okolnostima i za kakvo rešenje problem pogodne pojedine prozorske funkcije.

Slika 6.18.Spektar pravougaone prozorske funkcije u sluč ajevima a) N=16 i b)N=64

6.14.2. Druge puno upotrebljavane prozorske funkcijeProzorske funkcijeć emo podeliti na prozorske funkcije sinusnih komponenata i ne sinu

komponenata.Slika 6.19 pokazuje osnovne parametre prozorske funkcije:

Slika 6.19.Glavne karakteristike spektra prozorske funkcijea- prigušenje prvih sporednih listova, B/2 je širina glavnog snopa iδ je gradijent prigušenja sporednih listova višeg reda odnosno

∆ maximalno scaloping prigušenje

Page 137: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 137/264

Diskretni signali i sistemi

134

Kod ne sinusnih tipova najednostavniji predstavnik prozorskih funkcija je trougaona ilBartlett prozorska funkcija, sledeć i izraz ga opisuje u vremenskom domenu:

Slika 6.20.Trougaona prozorska funkcija a) vremenski red b) spektar

++=−

==

1- N2,...,(N/2)1,(N/2)n ),(

N/20,1,2,...,n ,/2)(

n N w

N nnw

T

T (6.62)

Prozorska funkcija je simetrič na. Spektar trougaone prozorske funkcije dobijamo sawT (n)direktnom upotrebom Fourier-ove transformacije u sledeć oj formi:

)12/(2

)4/sin()4/sin(2)( −−= N j j

R e N

N eW ω ω

ω ω (6.63)

ovaj rezultat nije sluč ajan jer trougaonu prozorsku funkcije možemo shvatiti kao konvolucije dve pravougaone funkcije dužine N/2 u vremenskom domenu (koje u spektralnom domenu znač imnoženje odnosno dizanje na kvadrat). Amplitudski spektar trougaone prozorske funkcije se movideti na slici 6.20 b).

Maximalna amplituda sporednog lista je –26dB što se može videti i sa slike 6.20. u odnosuna glavni snop. Širina glavnog snopa je porasla za dvostruku vrednost u odnosu na pravougao prozor, što se može i oč ekivati jer autokonvolucija duplira širinu izmeđ u referentnih tač aka.Maximalno scaloping prigušenje koja se javlja na sredini dva uzorka (vidi sliku 6.19.) kotrougaonog prozora je velič ine –1.82 dB i gradijent prigušenja boč nog opsega je –12 dB.

Page 138: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 138/264

Diskretni signali i sistemi

Da bi još više smanjili intenzitet sporednih listova moramo obezbediti još blaži prelkrajevima prozora. Ovo se može postić i na više nač ina, najviše upotrebljavan nač in je sinusna ilikosinusna funkcija, kombinacija eksponenata sa različ ito težinskim koeficijentima. Osnovni oblovakvih prozorskih funkcija:

1- N0,1,2,...,n ,sin)1()( =

−=∑

ii

r

N n

Anw iπ α (6.64)

uslov za upotrebu je da:

∑=1i A (6.65)

U prethodnim izrazima najč ešć e upotrebljavane vrednosti:r=0, i=1, A i=1 i α =2 u ovomsluč aju dobijamo Hann-ovu prozorsku funkciju, ovu prozorsku funkciju nazivamo još i kkosinusa ili podignuta kosinusna prozorska funkcija.

Vremenski red Hann-ove prozorske funkcije:

1- N0,1,2,...,n , N2ncos-10.5sin)( 2 =

=

= π π N n

nw (6.66)

spektar Hann-ove prozorske funkcije:

)()(25.0)(5.0)( )/2()/2( N j R

N j R

j R

j HM eW eW eW eW π ω π ω ω ω +− +−= (6.67)

Na krajevima Hann-ove prozorske funkcije nema prekida jer je gladak prelaz a kosporednih listova gradijent smanjenja pojač anja je18dB/oktavu. Najveć i sporedni list je za32dB- a pomeren u odnosu na centralni snop. Širina centralnog snopa je8π /N. Mera scaloping prigušenja je1.42dB. Vremenski red i spektar Hann-ove prozorske funkcije može se videti na slici 6.21.

Slika 6.21.Hann-ov prozor a) vremenski red b) spektar

U nastavku detaljnoć emo obraditi eliminaciju sporednih listova iz pravougaone prozofunkcije upotrebljavajuć i izraz za rač unanje Hann-ove prozorske funkcije. Grafič ki upotrebljavamoizraz za Hann-ovu prozorsku funkciju polazeć i od pravougaone prozorske funkcije prema slici 6. Na ovoj slici ne crtamo vrednosti u logaritamskoj razmeri da bi lakše bilo tumač enje rezultata.

Page 139: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 139/264

Diskretni signali i sistemi

136

Slika 6.22.Realizacija Hann-ove prozorske funkcije iz pravougaone prozorske funkcije

Na slici 6.22 a) može se videti spektar pravougaone prozorske funkcije za sluč aj N=6 ,odnosno sa b) i c) slike smo prekvalifikovali samo glavne snopove. Nule sporednih snopova slažu sa nulama spektralnih komponenata nešiftovanih pravougaonih prozorskih funkcija, i ovakza svođ enje zaključ aka ne trebamo ponovo obrađ ivati spektralne komponente. Nezavisno sa kakvimse predznakom sabiraju snopovi, i sa slike se dobro vidi da prvi prelaz nule prestaje jer spekt pomerenih snopova se nalaze tamo gde su prvi nula prelazi u ne pomerenim spektrima. Pa ovaHann-ova prozorska funkcija raspolaže dva puta širim glavnim snopom nego pravougaon prozorska funkcija.

Direktna posledica je da umesto množenja u vremenskom domenu, Hann-ovu prozorkufunkciju saberemo sa spektralnim komponenetama u spektralnom domenu:

[ ] )1()1(5.0)(5.0)( ++−−= k X k X k X k Y (6.68)

gde je X(k) spektralna komponenta ulaznog vremenskog reda, odnosnoY(k) spektralna komponentaizlaznog vremenskog reda. Sa ovim rešenjem operaciju množenja smo smenili na šiftovanje što mnogo lakše ostvariti.

Druga mnogo korišć ena prozorska funkcija je Hamming-ova prozorska funkcijaw HM (n).Glavni cilj uvođ enja Hamming-ove prozorske funkcije je da se u velikoj meri smanji pojač anjesporednih listova.

≤≤−−= in vrednostdrugeza 0,

1- Nn0 ),cos()1()( rel

HM

n A Anw

ϕ (6.69)

Page 140: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 140/264

Page 141: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 141/264

Diskretni signali i sistemi

138

Slika 6.24.a) vremenski red i b) spektar Blackmann-ove prozorske funkcije

6.14.3. Parametarske prozorske funkcijeKaiser prozorska funkcija pripada porodici ne kosinusnih tipova prozorskih funkcija

Problem projektovanja dobre prozorske funkcije je da moramo nać i po vremenu kona č nu funkcijuč ija je energija maximalnau unapred zadatom frekventnom domenu. Ovaj problem smo rešili saupotrebom Bessel I 0(x) funkcije prvog tipa nultog reda. Sa ovakvim optimizacionim postupkomdobijenu prozorsku funkciju nazivamo Kaiser-Bessel prozorska funkcija. Sa ovim, prozorskfunkcije blizu su idealnosti jer pored nivoa zadatog maximalnog boč nog opsega, koncentrišuenergiju ispod glavnog snopa.

Bessel funkcija se može rač unati na sledeć i nač in:

( )∑∞

=+=

1

2

0 !2/1

m

m

m x

x I (6.73)

ova funkcija jako brzo konvergira i pogodna je za rač unarsko rač unanje, sabiranje prema iskustvudosta je izvršiti dom=15 . Prilikom upotrebe Kaiser-prozorske funkcije parametar β označ avakompromis izmeđ u maximalnog nivoa širine-glavnog snopa i sporednih listova. Upotrebeć i Besselfunkciju,č lanovi vremenskog reda Kaiser prozorske funkcije se mogu rač unati iz sledeć eg izraza:

( )[ ] 10 ,)(

1/211)(0

20 −≤≤−−−= N n

I nn I

nw K β β

(6.74)

gde je β =0.5T K B K ,T K je širina prozora odnosno B K širina glavnog snopa.

Page 142: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 142/264

Diskretni signali i sistemi

Spektar Kaiser prozorske funkcije se može rač unati iz sledeć eg izraza:

>

−−

−−−

−≤

−−−−−

=

−−

−−

1

2 ,

]2/)1[(

]2/)1[(sinh

)(

1

12 ,

]2/)1[(]2/)1[(sinh

)(1

)(

2/)1(22

22

0

2/)1(22

22

0

N

e

N

N

I

N

N e

N

N I N

eW

N j

N j

j K

β ω

β ω

β ω

β

β ω

ω β

ω β β

ω

ω

ω (6.75)

U praktič nim primenama u spektralnoj analizi signala ili u projektovanju digitalnih funapred je zadato prigušenje boč nih opsega Aol (od čega zavisi spektralno curenje) i pored širinglavnog snopa∆ω 0 ( odč ega zavisi spektralna selektivnost) određ uju karakteristič ne koeficijente N i β . N i β -tu možemo odrediti iz sledeć eg izraza:

<<−+− ≥−=

+∆

−≥

50A21 ),21(07886.0)21(5842.0 50A ),7.8(1102.0

136.14

95.7

ol4.0

ol

ol ol

ol

ol

A A A

A N

β

ω (6.76)

Prigušenje prvog boč nog opsega pravougaone prozorske funkcije je Aol =13.26dB. Ako kodupotrebe Kaiser prozorske funkcije rač unamo saβ=0 ondać e prigušenje prvog boč nog opsegaKaiser prozorske funkcije biti 13.26dB. Kao što se vidi iz tabele 6.8. biramo određ enu β vrednost,onda bi sve dosadašnje obrađ ivane prozorske funkcije mogli simulirati sa Kaiser prozorskfunkcijom. Sa Kaiser prozorskom funkcijom dosta elastič no se mogu realizovati traženi uslovi.βvrednost u upotrebama tipič no se nalazi izmeđ u 2 i 10.

Tabela 6.8. prikazuje karakteristič ne parametre Kaiser prozorske funkcije.

Tabela 6.8.Karakteristič ni parametri Kaiser prozorske funkcije

β PRELAZNIDOMEN

ωs/N

MAXIMALNOPRIGUŠAVANJEPRVOG BOČNOG

OPSEGA [dB]

A[dB]

2.0 1.5 -19 293.0 2.0 -24 374.0 2.6 -30 455.0 3.2 -37 546.0 3.8 -44 637.0 4.5 -51 728.0 5.1 -59 819.0 5.7 -67 9010.0 6.4 -74 99

Na sledeć oj slici prikazujemo vremenski red odnosno spektar Kaiser prozorske funkcvrednostβ=8.

Page 143: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 143/264

Diskretni signali i sistemi

140

Slika 6.25.a) vremenski red i b) spektar Kaiser prozorske funkcije za N=32 i β =8

Na slici 6.26. upoređ eno je nekoliko sporednih listova spektra Kaiser prozorske funkcije zarazne vrednostiβ.

Slika 6.26.Spektar Kaiser-Bessel prozorske funkcije u okolini glavnog snopa za razne vrednostiβ

Druga važna parametarska prozorska funkcija je Csebisev prozorska funkcija. Parametarskoptimalizaciju možemo postaviti i prozorskoj funkciji, da takvu prozorsku funkciju tražimoč ija ješirina glavnog snopa minimalna pored datog prigušenja sporednih listova. Ovako definisan prozorsku funkciju nazivamo Csebisev prozorska funkcija.

Spektralne uzorke Csebisev prozorske funkcije rač unamo sa sledeć im izrazom:

Page 144: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 144/264

Diskretni signali i sistemi

[ ] [ ]

=

=−=

)10(cosh1cosh

1- N0,1,2,...,k ,)(coshcosh

)/cos(coscos)1()(

20/1

1

1

ool A

k C

N

N N k N

k W

β

β π β

(6.77)

Slika 6.27.a) vremenski red i b) spektar Csebisev prozorska funkcija za N=32 i pored prigušenja boč nog opsega od100dB

gde je Aol prigušenje sporednog snopa u odnosu na glavni snop izražen u dB-ma. Vremenssemože rač unati inverznim DFT-om. Na sledeć oj slici može se videti promena širine glavnog snou odnosu proizvoljnog pomeranja sporednih listova.

Slika 6.28.Spektar Csebisev prozorska funkcija u okolini glavnog snopa za različ ite β vrednosti

Page 145: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 145/264

Diskretni signali i sistemi

142

6.15. Auto- i unakrsna korelacijaPretpostavimo da su x(n) i y(n) vremenski redovi sa konač nom energijom. Unakrsnu

korelacijur xy(l), x(n) i y(n) vremenskog reda na sledeć i nač in definišemo:

∑∑+∞

−∞=

+∞

−∞=±±=+=−=

nn xy n yl n xl n yn xl r 2,..1,0,l ),()()()()( (6.78)

gde jel vremensko pomeranje, a red indexa označ ava da vremenski redovi jedan od drugog kako suse pomerili. Prema prethodnom izrazu x(n) se nije pomerio dok y(n) se pomerio zal vrednost uvremenu. Ako jel pozitivan onda se pomera ulevo a ako je negativan onda udesno.

Na slič an nač in definišemo par unakrsne korelacije:

∑∑+∞

−∞=

+∞

−∞=±±=+=−=

nn yx n xl n yl n xn yl r 2,..1,0,l ),()()()()( (6.79)

ako izrač unamo dve korelacije, može se videti sledeć i odnos izmeđ u dve korelacije:)()( l r l r yx xy −= (6.80)

Na slič an nač in kao kod rač unanja konvolucije i kod rač unanja unakrsne korelacije imamo potrebu za dva vremenska reda. Kod rač unanja konvolucije jedan vremenski red smo okrenuli izatim smo pomerali (šiftovali), a zatim ovako dobijeneč lanove vremenskog reda smo pomnožili pana kraju ih sabrali. Kod rač unanja unakrsne korelacije ne okreć emo ni jedan vremenski red samo ga pomeramo u vremenu. Kao rezultat okretanje (ogledalo) konvolucija je parna funkcija. Ako imamkonvolucioni program za neki procesor onda ga možemo upotrebiti i za rač unanje unakrsnekorelacije samo kod pomerenog vremenskog reda moramo izvršiti sledeć u smenu umesto y(n)uzimamo y(-n), odnosno:

)(*)()( n yn xl r xy −= (6.81)

Specijalni sluč aj ako je x(n)=y(n) onda je to autokorelacija, koju definišemo sa sledeć imvremenskim redom:

∑∑+∞

−∞=

+∞

−∞=±±=+=−=

nn xx n xl n xl n xn xl r 2,..1,0,l ),()()()()( (6.82)

Zadatak 6.4. Odredite unakrsnu korelaciju slede ć ih vremenskih redova:

,...0,1,1,1,1,1,1,1,1,0...,)(

,...0,1,1,1,1,1,1,1,0...,)(

=

=

n y

n x

vremenski redovi se mogu videti na slici 6.29.

Page 146: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 146/264

Diskretni signali i sistemi

Slika 6.29.Prikaz x(n) i y(n) vremenskih redova

Rešenje: Upotrebeć i izraz za izrač unavanje korelacije:

∑∑ +∞

−∞=

+∞

−∞=±±=+=−=

nn xy n yl n xl n yn xl r 2,..1,0,l ),()()()()(

može se videti da u zadatku, za zadati vremenski red od prve nule različ itirezultat dobijemo zal= -7 jer onda poč inju brojevi različ iti od nule da se poklapaju kao što se može videti na animaslike 6.30.

Slika 6.30.Prikaz mechanizma korelacionog rač unanja

Page 147: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 147/264

Diskretni signali i sistemi

144

Slika 6.31.Rezultat korelacionog rač unanja

U tom sluč aju ako autokorelaciju rač unamo za vremenski red sa konač nom dužinom iunakrsnu korelaciju sa sabiranjem konač ne dužine, za rezultat dobijamo konač nu dužinu. Naroč itoako su x(n) i y(n) kauzalni vremenski redovi sa N dužinom onda unakrsnu korelaciju iautokorelaciju rač unamo na sledeć i nač in:

∑−−

=−=

1

0)()()(

l N

n xy l n yn xl r (6.83)

Autokorelacioni i unakrsno korelacioni redovi imaju nekoliko zanimljivih osobina, nekolikć emo nabrojati u nastavku. Pretpostavimo da imamo vremenske redove x(n) i y(n) sa dva energetskatipa. Linearna kombinacija dva vremenska reda je jedan treć i vremenski red:

)()( l nbynax −+ (6.84)

gde sua i b proizvoljne konstante. Energija ovog vremnskog reda:

)(2)0()0(

)()(2)()()]()([

22

22222

l abr r br a

n yn xabl n ybn xal nbynax

xy yy xx

nnnn

++=

=+−+=−+ ∑∑∑∑+∞

−∞=

+∞

−∞=

+∞

−∞=

+∞

−∞=

(6.85)

Prvo pretpostavimo da je E x=r xx(0) energija vremenskog reda x(n) a E y=r yy(0) energijavremenskog reday(n).

0)(2)0()0(22

≥++ l abr r br a xy yy xx (6.86)

pretpostavimo dab≠ 0, onda sledeć i izraz podelimo sab:

0)(2)0()0(2

≥++

l r

ba

r r ba

xy yy xx (6.87)

pošto je ovaj izraz rezultat dizanja na kvadrat i nikad nije negativan, zbog toga diskriminanta ovizraza mora da bude negativna, odnosno:

Page 148: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 148/264

Diskretni signali i sistemi

0)0()0()(4 2 ≤− yy xx xy r r l r (6.88)

unakrsna korelacija dva vremenska reda zadovoljava sledeć i uslov:

y x yy xx xy E E r r l r =≤ )0()0()( (6.89)

U tom sluč aju ako je y(n)=x(n) prethodni izraz se redukuje u sledeć i izraz:

x xx xx E r l r =≤ )0()( (6.90)

to znač i da maximum autokorelacione funkcije sa nalazi pored nula pomeraja.

U praktič nim upotrebama najč ešć e upotrebljavamo normalizovanu autokorelaciju i izunakrsne korelacije, normalizacija se vrši u domenu–1 i 1.

U sluč aju autokorelacije jednostavno normalizujemo nar xx(0), odnosno:

)0(

)()( xx

xx xx

r

l r l = ρ (6.91)

na slič an nač in definišemo normalizovanu funkciju unakrsne korelacije:

)0()0()(

)( y x

xy xy

r r

l r l = ρ (6.92)

U nastavku definišimo unakrsnu korelaciju i autokorelaciju vremenskih redova tipa snaroč ito periodič ne vremenske redove.

Pretpostavimo da su x(n) i y(n) vremenski redovi tipa snage, onda unakrsnu korelacdefinišemo na sledeć i nač in:

∑+

−=∞→ −+=M

M nM xy l n yn x

M l r )()(12 1lim)( (6.93)

u tom sluč aju ako je y(n)=x(n) onda autokorelaciju vremenskih redova tipa snage na sledeć i nač indefinišemo:

∑+

−=∞→−

+=

M

M nM xx l n xn x

M l r )()(

121lim)( (6.94)

Ako je x(n) i y(n) periodič an sa istom N periodom, onda srednje vrednosti u beskonač nomdomenu i u periodič nom domenu se podudaraju, pa se tako prethodni izrazi redukuju u sledeć i izraz,unakrsna korelacija:

∑=

−= N

n xy l n yn x

N l r

0)()(1)( (6.95)

odnosno autokorelacija:

∑=

−= N

n xx l n xn x

N l r

0)()(1)( (6.96)

ovde moramo zapamtiti dar xx(l) i r xy(l) su periodič ni prema N . Normalizacioni faktor je1/N .

Page 149: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 149/264

Page 150: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 150/264

Page 151: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 151/264

Page 152: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 152/264

Z-transformacija i njena primena

U analizi i sintezi neprekidnih signala i sistema važnu ulogu imaju Laplace-ova i Fourier-ova transformacija. Laplace-ova transformacija je uopštenje Fourier-ove transformacije. Pomo ć uLaplace-ove transformacije moge se analizirati prelazne pojave, odnosno mogu se analizirati LTIsistemi ako pobuda nije sinusna. Sli č no u diskretnom domenu je mogu ć e sa uopštenjem Laplacetransformacije, realizovati novu transformaciju za opis diskretnih signala, koje nazivamo z-transformacija.

Kod upotrebe z-transformacije, konvolucija dva vremenska reda jednak je sa proizvodomz-transformacije u z-domenu. Ova osobina u velikoj meri pojednostavljuje analizu diskretnih LTIsistema ( kasnije samo LTI sistemi) za uticaj raznih signala. U nastavku z-transformaciju ć emoupotrebljavati za opis i analizu LTI sistema, sa raznim lokacijama nule-polovi.

7.1. Z-transformacija

z-transformaciju jednog diskretnog vremenskog reda x(n) definišemo kao zbir geometrijskogreda:

( ) ( ) n

n

z n x z X −∞

−∞=∑= (7.1)

mnogo puta se simboli č ki obeležava u slede ć oj formi:

)()( z X n x z ↔

Page 153: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 153/264

Z-transformacija i njena primena

151

gde je z komplexno promenljiva. Jedna č ina (7.1) predstavlja beskona č an red. X(z) postoji samo zaone z vrednosti za koje konvergira. Definišimo Z operator ( ovo je jedna dvostrana kolinearna

z-transformacija ).

( ) ( ) ( ) z X z n xn x Z n

n

== −∞

−∞=∑ (7.2)

Druga transformacija je jednostrana z-transformacija, koju možemo definisati na slede ć inač in:

( ) ( ) n

n

z n x z X −∞

=∑=

0

(7.3)

Unilateralna (jednostrana) i bilateralna (dvostrana) transformacija samo onda je ekvivalentnaako je x(n)=0, n < 0 . Pošto je z-transformacija funkcija komplexne promenljive, preporu č eno je zaopisivanje i za interpretaciju koristiti komlexnu z-ravan. U z-ravni kontura koja odgovara z =1 jekrug jedini č nog polupre č nika koji je prikazan na slici 7.1.

Slika 7.1. Jedini č ni krug u komplexnoj z-ravni

Z-transformacija izražena ovim jedini č nim krugom (slika 7.1) odgovara diskretnoj Fourier-ovoj transformaciji odnosno sa DFT-om. Z transformacija ne konvergira za svaki vremenski red,odnosno za svaku z vrednost. Za svaki zadati vremenski red z vrednosti za koje z transformacijakonvergira, nazivamo konvergentna transformacija, kratko upotrebljavamo englesku skra ć enicu

ROC ( Region o f C onvergence). Red konvergencije u jedna č ini 7.1. jedino zavisi od z , odnosno X(z) < ∞ ako

( ) ∞<−∞

−∞=∑ n

n

z n x (7.4)

Ako jedna vrednost, neka je z=z 1 unutar ROC, onda svaka vrednost koja se nalazi na krugu z = z 1 nalazi se u ROC. Posledica ovoga je površina jednog kružnog prstena ROC unutar z ravnioko centra kordinatnog sistema. Ako ROC u sebi sadrži i jedini č ni krug onda konvergira na nivouekvivalentne diskretne Fourier-ove transformacije. Obrnuto ako ROC ne sadrži jedini č ni krug, ondane konvergira ni diskretna Fourier-ova transformacija.

Page 154: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 154/264

Z transformacija i njena primena

152

Slika 7.2. Oblast konvergencije

z transformacija se može izraziti u formi racionalnih funkcija.

( ) ( )( ) z Q z P

z X = (7.5)

gde su P(z) i Q(z) polinomi po z -tu. One z vrednosti za koje je X(z)=0 nazivamo nulama, a onevrednosti za koje je X(z) teži beskona č nosti nazivamo polovima.

7.1.1. z-transformacija kauzalnih i nekauzalnih redova

U nastavku preko jednog zadatka ć emo analizirati nedostatke kauzalnosti u ra č unanjuz-transformacije.

Zadatak 7.1. Odredimo z-transformaciju slede ć ih vremenskih redova i oblast konvergencijeodnosno ROC:

a) ( ) ( ) <≥==

00

0

n

nanuan x

nn

b) ( ) ( )1

0

01 −≤

≥−

=−−−=n

n

bnubn y n

n

c) ( ) ( ) ( ) 1−−+= nubnuan z nn

Rešenje: a) Prema definiciji:

( ) ( )∑ ∑∞

−∞=

=

−− ==n n

nnn az z nua z X 0

1)(

Da bi X(z) konvergirao moraju se ispuniti slede ć i uslovi:

az n

n

=

∞∑ < ∞1

0

Page 155: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 155/264

Z-transformacija i njena primena

153

odavde sledi az -1 < 1 i može se odrediti konvergentna oblast odnosno ROC a to je z < a .Unutar ROC konvergiraju beskona č ni redovi:

( ) a z a z

z az

az z X n

n >−

=−

==∑∞

=−

01

1 ,1

1)(

z-transformacija prema ovome konvergira za svaku z vrednost koja je ve ć a od a . Ako je a <1onda vremenski red x(n) Fourier-ove transformacije konvergira .

Slika 7.3. Konvergentna oblast

Na slici 7.3. se može videti ROC ako a > 1 i ROC ne sadrži jedini č ni krug i u tom slu č ajuFourier-ova transformacija ne konvergira za vremenski red a nu(n).

b) Upotrebljavamo definicioni izraz za izra č unavanje z-transformacije:

( ) ( )∑ ∑∑∞

−∞=

=

−−

−∞=

−− −=−=−−−=n n

nn

n

nnnn z b z b z nub z Y 1

1

1

u tom slu č aju ako je b-1 z <1 ili z <b zbir konvergira:

( ) ( )∑∞

=−

−−=−−=−=

01

1

1111

n

n

b z z

z b z b z Y

ROC za ovaj slu č aj se može videti na slici 7.4. Ako je b < 1 za vremenski red –b nu(-n-1), n → -∞ ne postoji Fourier-ova transformacija.

Slika 7.4. Oblast konvergencije

c) Poč etni vremenski red z(n) možemo shvatiti kao zbir dva vremenska reda onda ć ezbir Z(z) biti delovi zbirova z-transformacije. Delovi zbira ROC ć e biti presek ROC, odnosno onez vrednosti za koje svaki deo zbira konvergira. Formalno izra č unata vrednost Z(z), da bi ova stvarnoz-transformacija bila za to moramo odrediti ROC.

Page 156: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 156/264

Z transformacija i njena primena

154

( ) ( )baabz z

babz az

bz az z Y z X z Z n n

nn

−−+−=

−−

−=−=−= −−

=−

−∞=

−−∑ ∑ 110

1

111

11

11

)()()(

Prvi red konvergira u oblasti z > a dok drugi red konvergira u oblasti b-1 z <1 ili z <b . Kod odre đ ivanja Z(z) konvergentne oblasti razlikujemo dva pod slu č aja, u zavisnosti odtoga da definisani oblasti se poklapaju ili ne.

1. slu č aj: kada je b > a postoji preklopna oblast koja se može videti sa slike 7.5.:

Slika 7.5. Prikaz preseka konvergentne oblasti

Pošto postoji jedan ROC za koje oba dela zbira konvergiraju pa ovako cela Z(z)transformacija postoji u ovoj oblasti prstena.

2. slu č aj: kada b < a ne postoji preklopna oblast što se i može videti sa slike 7.6. Akonema preklopne oblasti onda se ne može odrediti ni z transformacija.

Konvergentnim oblastima se izgubi presek ako je a=b , i kako pove ć avamo a u odnosu na bstvara se jedna oblast prstena gde ni jedan ni drugi parcijalni red ne konvergira.

Slika 7.6. Kao što se vidi konvergentne oblasti nemaju presek

Kao što se vidi iz zadatka 7.1 kauzalni redovi i nekauzalni redovi daju za rezutat istuzatvorenu formu z-transformacije. Slika 7.7 prikaziva vremenske redove z-transformacije.

Page 157: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 157/264

Z-transformacija i njena primena

155

Slika 7.7. Dva vremenska reda iz zadatka 7.1. pod a) i pod b)

Kauzalnom redu beskona č nog vremenskog trajanja, z-transformacija uvek je jedna oblast izvan ρ kruga, koja se može videti i na slici 7.3.

Nekauzalnom redu beskona č nog vremenskog trajanja, z-transformacija uvek je jedna oblast unutar ρ kruga, koja se može videti i na slici 7.4.

Slika 7.8. Jedan primer za dvostrani beskona č ni vremenski red

Na slici 7.8. se može videti vremenski red zadatka 7.1 c). Ovaj vremenski red je dvostranidok su prethodni signali bili jednostrani.

Z-transformacija dvostranog vremenskog reda beskona č nog vremenskog trajanja, ROC je uvek jedna oblast u obliku prstena kao što se i moglo videti u zadatku.

U nastavku ć emo grupisati kauzalne vremenske redove prema polovima. Vremenski redovi i polovi z-transformacije u pogledu njihovih smeštanja imaju uzajamnu povezanost, ovu povezanostć emo predstaviti.

Jedino je realna ona grupa koja ima jedan realan pol , a to je realni exponencijalni vremenskired:

( ) ( ) a z a z

z z X nuan x n >

−=↔= :ROC ,)(

z

(7.6)

jedna nula z 1=0 i jedan pol p1=a na realnoj osi. Na slici 7.9. za razli č ite vrednosti a prikazan jevremenski red i položaj polova.

Page 158: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 158/264

Z transformacija i njena primena

156

Slika 7.9. Realni exponencijalni vremenski redovi i položaj polova z-transformacije u odnosu na jedini č ni krug ako je a <1 i a) ako je a >0 odnosno b) ako je a <0

Nastave ć i zamisao sa slikom 7.9. ako je a =1 u tom slu č aju pol se nalazi na jedini č nomkrugu. Ako je a=1 onda vremenski red prema slici 7.9a) odgovara vremenskom redu u(n). Ako jea= -1 prema slici 7.9b) jedini č nim impulsima alternativno se menja predznak u zavisnosti od

parnosti n vrednosti. Ako je a > 1 onda se pol nalazi izvan jedini č nog kruga, a odre đ en vremenskired je exponencijalno rastu ć eg karaktera. Ako je a >1 onda prema slici 7.9a) za rezultat dobijemoexponencijalno rastu ć i vremenski red. Odnosno ako je a < -1 onda prema slici 7.9b) dobijamoexponencijalni vremenski red sa alternativno menjaju ć im predznakom.

Uglavnom negativni pol ozna č ava promenu predznaka vremenskog reda. Važno je da onekauzalne vremenske redove kod kojih se pol nalazi izvan jedini č nog kruga, ovi vremenski redovizasi ć uju digitalni sistem i zato ih ne koristimo.

Drugu grupu č ine oni vremenski redovi č ija z-transformacija ima dvostruki realni pol. Opštioblik ovih vremenskih redova:

( ) ( )nunan x n= (7.8)

Sa dvostrukim realnim polom raspolagaju ć i vremenski red za razne a vrednosti. Na slici7.10. mogu se videti vremenski redovi odnosno položaj odre đ enih polova.

Page 159: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 159/264

Z-transformacija i njena primena

157

Slika 7.10. Realni exponencijalni vremenski red koji za z-transformaciju ima dvostruki realni pol,ako je a <1 i a) ako je a > 0 odnosno b) akoje a < 0

Na sli č an na č in kao kad je jednostruki bio realni pol i sada možemo razlikovati tri razli č itaslu č aja. Prvi slu č aj je kada a <1 može se videti na slici 7.10. Ako je a =1 i ako je a pozitivandobijemo ravnomerno rastu ć i linearni vremenski red. A ako je a negativan isto tako za rezultatdobijemo ravnomerno rastu ć i vremenski red sa alternativno menjaju ć im predznakom. Tre ć i slu č ajkada je a >1 vremenski red brže raste kao i exponencijalni vremenski red jer se još množi sa nvrednoš ć u.

Na kraju ć emo se baviti slu č ajem kada z-transformacija ima komplexno konjugovan par polova. Rešenje u sva tri slu č aja oscilacioni vremenski red (sinusni) ako je p <1 prigušenaoscilacija se vidi na slici 7.11. Ili ako je p =1 onda je vremenski red harmonijske oscilacije(sinusni), a ako je p >1 onda je rezultat, poja č aju ć a sinusna vibracija.

Slika 7.11. Komplementarnim parom polova raspolagaju ć i vremenski red i položaj polova

Unilateralnu z-transformaciju uglavnom koristimo sa konstantnim koeficijentima i ne nula po č etnim vrednostima kod rešavanja linearnih diferencijalnih jedna č ina. Malu ulogu ima

Page 160: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 160/264

Z transformacija i njena primena

158

unilateralna z-transformacija kod digitalne obrade signala. Ako je vremenski red kauzalan onda obevarijante z-transformacije se slažu.

7.2. Najpoznatiji z-transformacioni parovi

U ve ć ini slu č aja vremenske redove možemo razložiti na parcijalne zbirove vremenskihredova. Ponovi ć emo, da vremenski red x(n) je zbir dva ili više vremenskih redova, onda je ROC zaovu složenu funkciju presek delova funkcije ROC-a. Prese č na oblast za z vrednosti oba individualnadelova funkcije konvergira. U tabeli 7.1. mogu se videti najviše upotrebljavani z-transformacioni

parovi.Tabela 7.1. Karakteristi č ni z-transformacioni parovi

Diskretni vremenskired

Trnsformacija ROC

1. ( )n δ 1 Svaki z

2. u (n) ( )111 −− z z >1

3. -u (-n-1) ( )111 −− z z <1

4. ( )mn − δ z m−

;0

ili 0 0<∞≠∀

>≠∀

m z

m z

5. ( )nua n ( )111 −−az z a>

6. ( )1−−− nua n ( )111 −−az z a<

7. ( )nuna n ( )211 1 −− −az az z a>

8. ( )1−−− nuna n ( )211 1 −− −az az z a<

9.( ) ( )nun0cos ω 1

1 20

1

01 2

−− +

− −cos

cos

ω

ω

z

z z

z >1

10.( ) ( )nun0sin ω sin

cos

ω

ω 0

1

01 21 2

z

z z

− −− + z >1

11.

( )( )nunr n 0cos ω 1

1 2

01

0

1 2 2

−− +

− −r z

r z r z

cos

cos

ω

ω

z r >

12.( )( )nunr n 0sin ω r z

r z r z

sin

cos

ω

ω 0

1

01 2 21 2

− −− + z r >

13.−≤≤

inace

N na n

0

10 11

− −

−a z N N z > 0

Page 161: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 161/264

Page 162: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 162/264

Z transformacija i njena primena

160

Ove osobine možemo upotrebiti i ako inverznu z-transformaciju treba da odredimo izkomplikovanijeg izraza.

( ) ( ) x z R ROC z X n x = →← (7.9)

U tabeli 7.2. X(z) ozna č ava z-transformaciju vremenskog reda x(n) unutar jednekonvergentne oblasti r r < z < r 2. U tom slu č aju ako imamo dva reda onda pojedine transformacione

parove na slede ć i na č in obeležavamo:

( ) ( ) 111 Rx ROC z X n x z = →←

( ) ( ) 222 Rx ROC z X n x z = →← (7.10)

7.4. Inverzna Z-transformacija

Diskretne signale uglavnom obra đ ujemo u z-domenu. Ako posle završetka operacijemoramo odrediti vrednosti vremenskih domena onda koristimo inverznu z-transformaciju. Onaj

postupak sa kojom z-transformaciju transformišemo u vremenski red nazivamo inverzna z-

transformacija. Inverzioni izraz za odre đ ivanja x(n) vremenskog reda, izvodimo iz Cauchy integralteoreme. Cauchy teorema je jedna od najvažnijih teorema u komplexnoj analizi.

Polaze ć i od Cauchy teoreme:

≠=

=∫ −

10

11

21

k

k dz z

j c

k

π (7.11)

gde je c kontura i u celoj meri se nalazi u konvergentnoj oblasti, i obuhvata centar kordinatnogsistema.

Realizacija z-transformacije:

( ) ( )∑∞

∞−

−= n z n x z X (7.12)

obe strane izraza 7.12. pomnožimo z k-1 i integrišemo sa konturnim integralom, gde izabrana konturau sebi sadrži centar kordinatnog po č etka i celu oblast konvergencije X(z), sređ uju ć i izraz dobijamoslede ć u jednakost:

( ) ( ) dz z n x jdz z z X j c c n

k nk

∫ ∫ ∑∞

−∞=

−+−− = 11

2

1

2

1

π π (7.13)

upotrebe ć i Cauchy integralnu teoremu 7.11.:

( ) ( )k xdz z z X j

k

c

=−∫ 1

21π

Page 163: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 163/264

Z-transformacija i njena primena

161

na osnovu ovoga inverznu z-transformaciju možemo opisati u slede ć em obliku:

( ) ( ) dz z z X j

n xc

n∫ −= 1

21π

(7.14)

Moramo naglasiti da u izrazima 7.13. i 7.14. nema privezivanja, vrednosti k i n mogu bitinegativni i pozitivni. Rešenje izraza 7.14. tražimo u polovima u vidu ostataka unutar c konture:

( ) ( )∫ −=c

n dz z z X j

n x 1

21π

(7.15)

( )∑ −−= konturecunutar polovimauostaci 1n z z X

izraz 7.15. važi za svaku z-transformaciju X(z).

U posebnoj prilici ako je X(z)z n-1 racionalna funkcija po z-tu, onda se može opisati uslede ć oj formi:

( ) ( )( ) sn

d z z z z X

0

1

−Ψ=− (7.16)

ako su X(z)z n-1 polovi u z=d 0 a Ψ (z) nema polove u z=d 0. X(z)z n-1 rezidijumi, ostatci ako imavišekratne polove u z=d 0 odre đ ujemo na slede ć i na č in:

( )[ ]( )

( )0

1

1

01

!11

zaResd z

s

sn

dz z d

sd z z z X

=−

−− Ψ

−=== (7.17)

Ako ima jedinstvene polove odnosno s=l i z=d 0 onda:

( ) ( )001 zaRes d d z z z X n Ψ==− (7.18)

Postoji jedan odre đ en broj formalnih i neformalnih postupaka za odre đ ivanje inverznez-transformacije, i ovi odre đ eni algebarski izrazi se upotrebljavaju unutar ROC mreže. U nastavkunekoliko ovakvih postupaka.

7.4.1. Tabelarni postupak

Ovaj postupak upotrebljavamo ako možemo prepoznati transformaciju, ili se može svesti natabelarnu formu. Tabelarnu metodu možemo upotrebiti ako nam stoji na raspolaganju jedna ve ć az-transformaciona tabela. Složene X(z) funkcije možemo razložiti na zbir jednostavnijih funkcija iovim funkcijama se može odrediti inverzna transformacija iz tabele. Pošto je z-transformacijalinearni operator zbog toga važi konvoluciona teorema i iz zbira parcijalne inverzne transformacijemože se odrediti inverzna transformacija celog po č etnog izraza. O ovoj mogu ć nosti opširnije ć e bitireč i kod razlaganja na parcijalne razlomke.

Na jednom primeru prikazujemo tabelarnu metodu.

Page 164: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 164/264

Z transformacija i njena primena

162

Ako je poznat slede ć i transformacioni par ( ) a z az

nua z n >−

→← − 1

11 onda na primer

može se izra č unati slede ć a z transformacija a i inverzna transformacija:

X z z

z ( ) =−

>−

1

112

121

pozivaju ć i se na transformacioni par zadat u tabeli u slu č aju a=1/2. Rezultatni vremenski red

( ) ( )nun xn

=21 .

7.4.2. Razlaganje na parcijalne razlomke

Ponekad X(z) nije zadat u explicitnom obliku u tabeli ali može biti dobijen jedan alternativanizraz za X(z) kao suma od prostih oblika koji su tabelarno dati. Odre đ ivanje inverzne z-transformacije razvojem funkcije u parcijalne razlomke posebno je efikasno kada je X(z) racionalna

funkcija komplexne promenljive z. Pretpostavimo da je X(z) izražen kao koli č nik polinoma po z –1

,tj.

( )∑

=

=

= N

k

k k

M

k

k k

z a

z b z X

0

0 (7.19)

Z transformacija u izrazu 7.19. ima uvek isti broj polova i nula u kona č nim z-ravnima inema polova i nula u z= ∞. Da bi dobili parcijalne razlomke X(z) pogodno je izraziti u obliku:

( )( )( )∏

=

=−

−=

N

k k

M

k k

z d a

z cb z X

1

10

1

10

1

1(7.20)

gde ck nije nula od X(z) i d k nije pol od X(z). Ako je M < N svi su polovi prvog reda i X(z)izra č unavamo u obliku:

( ) ∑=

−−=

N

k k

k

z d A

z X 1

11(7.21)

Koeficijent Ak izra č unavamo kao:

( ) ( )k d z

k k z X z d A=

−−= 11 (7.22)

Ako je M ≥ N razvoj u parcijalne razlomke bi ć e oblika:

( ) ∑ ∑−

= =−

−+=

N M

r

N

k k

k r r z d

A z B z X

0 111

(7.23)

Page 165: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 165/264

Z-transformacija i njena primena

163

Koeficijent Br dobijemo deljenjem brojioca i imenioca, sa procesom deljenja završavamokada je ostatak manjeg stepena od imenioca. Ako X(z) ima višestruke polove i M ≥ N jedna č inu(7.22.) moramo modifikovati u drugi oblik posebno ako X(z) ima pol reda s.

( )( )

∑∑ ∑= −

= ≠=−

−+

−+=

s

mm

i

m N M

r

N

ik k k

k r r

z d

C z d

A z B z X

11

0 ,11

11(7.24)

C m

koeficijent odre đ ujemo prema slede ć oj jedna č ini:

( ) ( )( ) ( )[ ]

1

11!

1−=

−−

− −−−

=id w

sim s

m s

m si

m w X wd dwd

d m sC (7.25)

Jedna č ina (7.24.) po z –1 funkciji daje najopštiji oblik razvoja u parcijalne razlomke od parcijalnih z-transformacija izraženih funkcija po z –1, za slu č aj M ≥ N i za d i kao pol reda s. Ako imanekoliko višestrukih polova bi ć e izraz kao tre ć a suma u jedna č ini (7.24.) za svaki višestruki red

polova.

Zadatak 7.2.: Odredite inverznu z-transformaciju slede ć ih izraza:

( )( )( )111 3121

21

1

1−−− −−

+

= z z z

z X

Rešenje: Kada transformišemo izraz:

( )( )( ) ( )( )32

2132

21

2

2

2

2

4

−−

+

=

−− +

= z z

z

z

z

z z z

z z X

u ovom izrazu imamo jednostruke i višestruke polove. Za odre đ ivanje inverzne transformacijenajpogodniji postupak u ovom slu č aju je rešenje prema definiciji.

Inverznu z-transformaciju dobijamo polaze ć i iz slede ć eg izraza:

( ) ( ) ( )

∏=

−==

k

i

mi

n

pi z

z N z X z z X

1

01

)(

u slede ć oj formi:

( ) ( )[ ]∑= =

=+=k

i pi z

z X resn xn xn x1

021 )()(

Celo rešenje možemo razložiti na traženje dva rezidijuma prvi slu č aj kada ima višestruki pol a drugi je kada ima jednostruki pol. Za deo koji ima višestruki pol rešenje odre đ ujemo saslede ć im izrazom:

Page 166: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 166/264

Z transformacija i njena primena

164

( ) ( )[ ] ( ) ( )[ ] z X pi z dz d

m z X resn x m

m

m

pi z pi z −

−== −

→= 1

1

1 lim1

1

U slu č aju jednostrukih polova upotrebljavamo slede ć i izraz:

( ) ( )=−

pi z

n z z X resn x 12

( )( )( )

=−−

+

=+=−

=32

21

)()( 2

122

21

z z z

z z z resn xn xn x

n

pi z ( )( )−−+

+

=

= 32

2

1

12

2

12

z z

z z res

z

z z res

n

pi z

n

pi z

( ) ( ) 3

12

2

12

2

122

21 23

2

121

lim=

=

−−

−→ −+

−+

+

+=

z

n

z

nn

z z z z

z z z

z

z z z

dz d

131

33

121

22lim

22

1

21

nn

n

z

z dz d +

−+= +

−=( ) ( ) nnn

z

z n 33221lim21

⋅+−++=−→

sređ uju ć i izraz dobijamo slede ć i izraz:

( ) ( ) ( ) ( ) ( )nunununn x nnn

11 3221

1 ++ +−

−+=

7.4.3. Razvoj u red

Metod odre đ ivanja inverzne z-transformacije odre đ ujemo razvojem u red onda za rezultatdobijemo x(n) vremenski red polaze ć i od slede ć e forme:

( ) ( ) ( ) ( ) ( ) ( ) ( )∑∞

−∞=

−−−⋅⋅⋅⋅++++−+−+⋅⋅⋅⋅==

n

n z x z x x z x z x z n x z X 212 21012 (7.26)

koji konvergira u datoj konvergentnoj oblasti. Prvo trebamo odrediti koeficijente. U tom slu č aju ako je količ nik dva polinoma, onda do rezultata možemo do ć i sa deljenjem polinoma. Deljenje polinoma kao metod se č esto koristi i sa njime se odre đ uju nekoliko prvih č lanova x(n) i kasnije sauopštavanjem odre đ uju opšti funkcionalni oblik niza x(n).

Page 167: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 167/264

Z-transformacija i njena primena

165

Zadatak 7.3.: X(z) je zadat u slede ć em obliku:

( ) ( )( )1112 1121

1 −−− −+

−= z z z z z X

Odredimo odre đ eni vremenski red x(n).

Rešenje: Jedini pol je u z=0 . U ovom slu č aju nije preporu č ljivo razlaganje na parcijalne razlomke.Ako izmnožimo č lanove, onda X(z) dobijemo u slede ć em obliku:

( ) 12

21

121 −+−−= z z z z X

Ako sad svakom č lanu na đ emo inverznu transformaciju onda:

( )==−−=−−=

=

inace

n

n

nn

n x

0

121

01

121

21

ili može se opisati u zatvorenom obliku:

( ) ( ) ( ) ( ) ( )1

2

1 1

2

12 −+−+−+= nnnnn x δ δ δ δ

7.5. Unilateralna z-transformacija

Unilateralna z-transformacija se može definisati u slede ć em obliku:

( ) ( )∑∞

=

−+ =0n

n z n x z X (7.27)

Unilateralna z-transformacija razlikuje se od bilateralne (dvostrana) u č injenici da suuklju č ene samo vrednosti od x(n) za n≥ 0.

Unilateralna z-transformacija je jako efektivno sredstvo za rešavanje diferencijalnih jedna č ina sa ne nula po č etnom vrednoš ć u.

Page 168: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 168/264

Z transformacija i njena primena

166

Zadatak 7.4.: Odredimo unilateralnu transformaciju slede ć ih linearnih diferencijalnih jedna č ina:

( ) ( ) ( )n xn yn y =−− 121

n ≥ 0-re x(n)=1 n ≥ 0 és n= -1-re y(-1)=1.

Rešenje: Upotrebe ć i unilateralnu transformaciju za izražavanje zadatka:

( ) ( ) ( ) ( ) 11

11

121

−−

−==+−−

z z z Y z y z Y χ

izraze ć i Y(z):

( ) ( ) −+−−

= −− 1

1 111

21

21

11

z y

z z Y

( )( )111 1

21

1

1

21

1

21−−− −

+−

= z z z

z Y

ako razložimo na parcijalne razlomke:

( )1

1

211

21

1

2

−−

−−

−=

z z z Y

inverzna unilateralna transformacija izraza upotrbe ć i tabelarne transformacije:

( ) ( ) ( ) 0 21

21

2 ≥

−= nnunun y

n

7.5.1.Linearne diferencijalne jednač

ine sa konstantnim koeficijentimaOve diferencijalne jedna č ine stvaraju važnu ulogu u vremensko invarijantnim sistemima.

Ulazni vremenski redovi x(n) i izlazni vremenski redovi y(n) se povezuju prema slede ć oj zavisnosti:

[ ] [ ]a y n k b x n k k k

N

k k

M

− = −= =∑ ∑

0 0

(5.25)

gde su ak i bk odre đ ene konstante.

Page 169: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 169/264

Z-transformacija i njena primena

167

Ako pretpostavimo da je ulazni vremenski red x p(n) i neki odre đ eni izlazni vremenski red y p(n) onda:

[ ] [ ] [ ] y n y n y n p h= + (5.26)

gde je yh(n) bilo koje rešenje jedna č ine 5.25. za x(n)=0.

Jedna č inu 5.27. nazivamo homogena jedna č ina, a yh(n) homogeno rešenje. U suštini red yh(n) pripada u porodicu sa slede ć im rešenjima:

[ ]a y n k k k k

N

− ==∑

0

0 (5.27)

[ ] y n A z h m mn

m

N

==

∑1

(7.28)

Uvrštavaju ć i jedna č inu 7.28. u jedna č inu 7.27. može se videti da su z m komplexni brojevi,

rešenja slede ć eg polinoma:

a z k k

k

N

=∑ =

0

0 (7.29)

Jedna č ina 7.29. pretpostavlja da svako rešenje jedna č ine 5.28., se razlikuje.

7.6. Analiza LTI sistema sa upotrebom z-transformacije

U prethodnim poglavljima smo videli da analiza LTI diskretnih sistema se može izvršiti sa

konvolucionim izrazom. Kasnije smo videli da ako je pobuda bila sinusna onda smo analizu jednostavnije izvršavali u spektralnom domenu, odnosno ako smo upotrebljavali DFT. Ako pobudanije sinusna onda analizu moramo izvršiti sa direktnom upotrebom konvolucije. Pošto je z-transformacija sli č na Laplace-ovoj transformaciji, možemo pojednostaviti analizu diskretnihsistema u slu č ajevima kada proizvoljno pobu đ ujemo diskretni sistem.

Posmatrajmo slede ć i diskretni LTI sistem č iji je odziv funkcije y(n) za pobudu x(n):

∑+∞

−∞=−=

k

k nhk xn y )()()(

gde je h(n) impulsni odziv sistema. Ako upotrebljavamo z-transformaciju lako možemo do ć i doslede ć eg izraza (sli č no analognim sistemima):

Y(z)=X(z)H(z)

odakle sa lakim premeštanjem možemo izraziti prenosnu funkciju diskretnog sistema:

H(z)=Y(z)/X(z)

Moramo uo č iti da je H(z) neprekidna funkcija z promenljive. U 8. poglavlju detaljno ć emose baviti prenosnim funkcijama i realizacijom diskretnih sistema.

Page 170: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 170/264

Z transformacija i njena primena

168

Naro č ito je važno pitanje u analizi ovih sistema, dali je sistem stabilan ili ne, u nastavkuć emo o ovome razgovarati.

7.7. Stabilnost diskretnih sistema

Kada smo se bavili diskretnim sistemima i signalima opisali smo dovoljan uslov za to daLTI sitemi budu stabilni, ponovi ć emo još jednom:

∞<∑+∞

−∞=n

nh )(

sa upotrebom z-transformacije možemo do ć i do povoljnog izraza, polaze ć i od definicionog izrazaz-transformacije:

n

nn

n z nh z nh z H −+∞

−∞=

+∞

−∞=

− ∑∑ =≤ )()()(

tako na jedini č nom krugu u z ravni dolazimo do slede ć e zavisnosti:

∑+∞

−∞=≤

n

nh z H )()(

ako je diskretni sistem stabilan onda H(z) na jedini č nom krugu mora da pripada konvergentnojoblasti. Pošto izraz važi i u suprotnom smeru zbog toga kažemo: diskretni LTI sistem je stabilanonda i samo onda ako konvergentna oblast u sebi sadrži jedini č ni krug.

Sa druge strane u ovom poglavlju se bavimo sa kauzalnim sistemima, i rekli smo dakonvergentna oblast kauzalnog sistema u z ravni je izvan kruga r < ∞ . Pojam kauzalnosti istabilnosti jedno od drugog su nezavisne ali za njih je najzanimljiviji sistem koji je odjednomstabilan i kauzalan. Konvergentna oblast kauzalnog sitema kao što smo rekli nalazi se izvan kruga

polupre č nika r , sa druge strane konvergentnoj oblasti stabilnog sistema mora da pripada i jedini č nikrug. Odavde sledi da kauzalni i stabilni sitemi moraju da ispunjavaju slede ć i zahtev r < l. U vezi sa

prethodnim zahtevom slika 7.12. pokazuje zaklju č ak.

Slika 7.12. Odre đ ivanje ROC stabilnog i kauzalnog sistema

Pošto polovi sistema moraju da leže izvan konvergentne oblasti, odavde sledi kona č nizaklju č ak da diskretni, kauzalni i LTI sistem onda i samo onda je stabilan ako svi polovi prenosnefunkcije sistema leže unutar jedini č nog kruga u z-domenu.

Page 171: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 171/264

Page 172: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 172/264

Z transformacija i njena primena

170

Kriterijum stabilnosti prema Jury-ju, diskretni sistem je stabilan u tom slu č aju ako suispunjeni slede ć i uslovi:

20

20

10

0

...

0)1()1(

0)1(

r r

d d

cc

aa

D

D

N

N

N

N

>

>

>>

>−−>

− (7.33)

7.7.2. Schür-Cohn test stabilnosti

Za upotrebljavanje Schür-Cohn testa stabilnosti prvo polinom D(z) moramo opisati uslede ć em obliku:

1a ,)( N00 == ∑=

− N

i

i

Ni N z a z D (7.34)

posle toga moramo definisati jedan inverzni polinom N -tog reda, prema slede ć em izrazu:

∑=

−−− −== N

i

i N N

N N z i N a z D z z R

0

1 )()()( (7.35)

č ije koeficijente odre đ ujemo inverzijom koeficijenata D N (z), posle toga odre đ ujemo Dm(z), m=N, N-1,....1 red polinoma sa slede ć im rekurzivnim izrazom:

21 1)()()(

m

mmmm K

z R K z D z D −−=− (7.36)

gde je K m refleksioni koeficijent i na slede ć i na č in je definisan:

K m=a m(m), m=N,N-1,…,1 (7.37)

Prema Schür-Cohn testu stabilnosti sistem je onda i samo onda stabilan ako svaki reflexionikoeficijent ispunjava uslov K m <1.

Schür-Cohn test stabilnost se upotrebljava u oblast digitalne obrade signala naro č ito nateritoriji adaptivne obrade signala i na teritoriji obrade govornog signala.

Zadatak 7.5. Odrededite sa upotrebom Jury i Schür-Cohn testa, da li je slede ć i sistem stabilan ilinestabilan:

21 333.0211

)( −− −−=

z z z H

Rešenje:

Page 173: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 173/264

Z-transformacija i njena primena

171

Prvo ć emo upotrebiti Jury test. Nema potrebe za ispunjavanje tabele jer u po č etku imamao trikoeficijenata, a to su:

333.02)( 2 −−= z z z D

i odavde r 0=1, r 1= -2 i r 2= -0.333 , uvrštavaju ć i u kona č ni obrazac dobijamo slede ć u vrednost:

20

0)1()1(

0)1(

r r

D

D N

><−−

<

pošto se prva dva uslova ne ispunjavaju, ova prenosna funkcija ne daje daje stabilan sistem.

Sada upotrebljavamo Schür-Cohn test: Polazimo od izraza D2(z):

212 333.021)( −− −−= z z z D

odakle je:

K 2=-0.333

Pa ć emo odrediti R2(z):

212 2333.0)( −− +−−= z z z R

odre đ en red polinoma odre đ ujemo sa slede ć im izrazom:

112

2

2221 2.2410)(D

1)()(

)( −−≈⇒−−= z z

K z R K z D

z D

odavde je K 1= -24.2. Pošto je K >1 sistem je nestabilan.

Kao što se vidi iz primera, Jury i Schür-Cohn test je mnogo jednostavniji nego da smo direktnofaktorisali prenosnu funkciju, i iz vrednosti polova da odre đ ujemo da li je sistem stabilan ili ne.

7.7.3. Stabilnost funkcije drugog stepena

Funkcija drugog reda kao što ć emo i videti u slede ć em izrazu, ima veliki zna č aj kododre đ ivanja viših redova diskretnih ili digitalnih sistema. Iz ta č ke gledišta stabilnosti koeficijentekoji se nalaze u imeniocu moramo podvrgnuti detaljnoj analizi.

Pošto nule prenosne funkcije nemaju uticaj na stabilnost, posmatrajmo jedan sistem sadvostrukom nulom u centru kordinatnog sistema:

Page 174: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 174/264

Z transformacija i njena primena

172

212

20

22

11

0

1)(

a z a z z b

z a z ab

z H ++=

++= −−

Na osnovu Jury-evog kriterijuma dobijamo uslove za koeficijente a1 i a2:

2

21

21

1

01

01

a

aa

aa

>

>+−>++

mogu se svesti na slede ć i oblik:

1

1

2

21

<+<

a

aa

Uslovi stabilnosti definišu jednu oblast (a 1 ,a 2 ) u kordinatnom sistemu. Ova oblast jetrougaonog oblika. Sistem je onda i samo onda stabilan ako su koeficijenti (a 1 ,a 2 ) parni i nalaze se uovom trouglu. Ovako definisan uslov još se naziva i trougaona stabilnost.

Slika 7.13. Prikaz uslova stabilnosti č lana drugog stepena

Karakter sistema drugog reda u velikoj meri zavisi od toga da li su polovi sistema realni ili

su nerealni. Polovi su komplexni u tom slu č aju ako je diskriminanta:

04 221

<− aa

parabola a2=a 12 /4 trougaonu oblast stabilnosti deli na dva dela a to se može videti sa slike. Polovi

su komplexni ako ta č ka (a 1,a2) se nalazi iznad parabole ako je realan onda se nalazi ispod parabole.

U nastavku ć emo analizirati uticaj raznih polova na impulsni odziv funkcije.

Realni i razli č iti polovi: U ovom slu č aju prenosnu funkciju dobijamo u slede ć em obliku:

Page 175: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 175/264

Z-transformacija i njena primena

173

12

21

1

1

11)( −− −

+−

= z p

A z p

A z H (7.38)

gde se odre đ eni koeficijenti mogu ra č unati:

21

202

21

101 p p

pb A

p p pb

A −−=

−= (7.39)

odre đ eni impulsni odziv funkcije je:

)()()( 12

11

21

0 nu p p p p

bnh nn ++ −

−= (7.40)

Impulsni odziv funkcije se može videti na slici 7.14.

Slika 7.14. Impulsni odziv funkcije ako je p1=0.8 i p2=0.5

Rešenje impulsnog odziva je razlika dva opadaju ć a exponencijalna vremenska reda.

Realni i jednaki polovi: U ovom slu č aju prenosnu funkciju dobijamo u slede ć em obliku:

21)1()( −−

= pz A

z H (7.41)

impulsni odziv funkcije je:

)()1()( 2 nu pn Anh n+= (7.42)

Impulsni odziv funkcije se može videti na slici 7.15:

Page 176: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 176/264

Z transformacija i njena primena

174

Slika 7.15. Impulsni odziv funkcije ako je p=0.85

Rezultat impulsnog odziva funkcije je opadaju ć i exponencijalni vremenski red.

Komplexno konjugovani polovi: U ovom slu č aju prenosnu funkciju dobijamo u slede ć em obliku:

11 *1*

1)( −− −

+−

= z p

A pz A

z H (7.43)

impulsni odziv fukcije ako je p=re jω 0 i 0<ω 0< π :

)(])1[sin(sin

)( 00

0 nunr b

nhn

ω ω

+= (7.44)

Impulsni odziv funkcije se može videti na slici 7.16:

Slika 7.16. Impulsni odziv funkcije ako je r=0.85 i ω = π /8U slu č aju ako je r <l impulsni odziv funkcije h(n) ima karakter prigušene vibracije. Kružna

frekvencija ω 0 odre đ uje frekvenciju oscilacije a vrednost r meru prigušenja. Ako je r manje onda je prigušenje ve ć e i obrnuto.

Page 177: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 177/264

Struktura diskretno vremenskih signala

U ovom poglavlju ć emo se baviti problematikom realizovanja diskretnih LTI sistema. SvakiLTI sistem se može opisati u vremenskom domenu sa slede ć om diferencijalnom jedna č inom:

)()()(0 1

k n yak n xbn yM

k

N

k k k

−−−= ∑ ∑= =

(8.1)

ili u oblasti transformacije:

=

=

+==

N

k

k k

M

k

k k

z a

z b

z X z Y

z H

1

0

1)()(

)( (8.2)

Sa jedna č inama (8.1) i (8.2) opisan sistem, realizovan je ili digitalnim strujnim krugom ili sa programom za digitalni ra č unar. Prvu realizaciju nazivamo hardware-sko a drugu realizacijunazivamo software-sko. U oba slu č aja sistem se može prezentovati sa blokovima kao pr.: elementimnoženja, sabiranja i elementi za kašnjenje. Sistem se može videti kao graf toka signala sli č noanalognim sistemima.

Kao kod analognih sistema, tok sinteze strujnog kruga može dati i više rešenja kojaispunjavaju date uslove, isto tako i digitalno ostvarenje može dati više rešenja. Ako uzmemo u obzir i druge uslove onda pored zadatih uslova možemo izbirati rešenja koje manje ili više odgovara zarešenje prakti č nog problema. Moramo poznavati mogu ć nosti ekvivalentnih rešenja da bi moglidobro izabrati odre đ eno rešenje, isto tako i dobre i loše osobine odre đ enih realizacija. Akorealizujemo sa digitalnim sistemima onda moramo paziti na potrebe memorije, na uticaj kona č nedužine re č i ili na efikasnost ra č unanja. Ukratko ć emo dati definiciju ovih pojmova.

Efektivnost ra č unanja zavisi od upotrebe diskretnog rešenja. Kod hardware-a i software-anajkriti č niji element je množenje, ali ima zna č aj i sabiranje i vremensko pomeranje. Najteže je

Page 178: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 178/264

Page 179: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 179/264

Struktura diskretno vremenskih signala

178

Slika 8.2. Grafi č ki prikaz sistema jedna č ina (8.1)

Definisa ć emo pet osnovnih operacija, kao što su sabiranje, osnovno kašnjenje, sistem sa N elementima kašnjenja, množenje sa konstantom i množenje dva signala (modulacija). Ove operacijemožemo ozna č iti i grafi č ki prema slici 8.3., ozna č avanje može biti i jednostavnija kao što smovideli na slici 8.2. Sam č vor može biti i elemenat sabiranja, strelica može biti elemenat množenja ilikao što ć emo videti može biti i elemenat kašnjenja.

Slika 8.3. Osnovne operacije sa sistemima diskretnog vremena

Na slici 8.4. prezentujemo upotrebu preko filtarskog primera. Polazimo od najednostavnijegsistema prvog stepena. Pretpostavimo da slede ć i sistem se može opisati sa slede ć om prenosnomfunkcijom:

. ,1

)( 1

110 a z

az z bb

z H >−+= −

(8.4)

ovu funkciju na dva na č ina možemo predstaviti prenosnom funkcijom kao što se može videti naslici 8.4. jednostavnije rešenje se nalazi pod a) dok pod b) sa upotrebom pomo ć nih oznaka odnosnosa obeležavanjem pojedinih me đ u vrednosti.

O eraci e Elementi rocesa Odre đ eni izrazi

Page 180: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 180/264

Page 181: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 181/264

Struktura diskretno vremenskih signala

180

Slika 8.5. Realizacija IIR sklopa sa FIR strukturom

Posle ovoga č lanove H 1(z) i H 2(z) kaskadno povezujemo i preko ovoga realizujemo ceofiltar.

U tom slu č aju ako obrnemo redosled realizacije, odnosno

)(11

1

1)(

1

1 z P z a z H N

k

k k

+=

+=

∑=

−(8.8)

∑=

−=M

k

k k z b z H

02 )( (8.9)

onda dobijemo tzv. II. Direktnu formu za IIR realizacije. Ova forma realizacije se naziva direktnakanoni č ka realizacija.

Na slici 8.6. je I. Direktna forma, dok na slici 8.7. je prikazana II. Direktna forma.Koeficijenti u imeniocu i brojiocu u direktnoj realizacionoj formi (uzimaju ć i u obzir minus

predznak u imeniocu).

Slika 8.6. I. Direktna forma za realizaciju IIR toka signala

Page 182: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 182/264

Page 183: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 183/264

Struktura diskretno vremenskih signala

182

Slika 8.10. Promena pravca toka signala odnosno promena izvora i potroša č a

Slika 8.11. Kona č ni oblik grafa toka signala posle transpozicije

Uporede ć i slike 8.9. i 8.11. jedina razlika je u tome da na slici 8.5. prethodna vrednost potroša č a y(n-l) prvo se množi sa a konstantom i tek posle se pomera u vremenu dobijen proizvod.

Za složenije grafove rezultat nije toliko uo č ljiv. Teorija transpozicije se može upotrebljavatiza svaku mrežnu strukturu sa kojima smo se dosad bavili.

Zadatak 8.4. Upotrebimo za II direktnu formu teoriju transpozicije.

Rešenje:

Slika 8.12. Transponovani graf II direktne realizacije

Page 184: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 184/264

Struktura diskretno vremenskih signala

183

Ako uporedimo grafove druge direktne realizacije sa transponovanom formom, uo č ljivo jeda II direktna forma prvo realizuje polove a zatim i nule. Ove razlike mogu biti veoma važne akoupotrebljavamo hardware sa aritmikom kona č nog bita kod realizacije filtra.

8.2.3. Kaskadna forma

Kaskadna forma se može realizovati tako, da polinom drugog reda imenioca i brojiocarazložimo na č lanove drugog stepena

:

∏ ∏= =

−−

−−

+−++==

s s N

k

N

k k k

k k k k z a z a

z b z bb z H z H

1 12

21

1

22

110

1)()( (8.10)

gde su polovi i nule grupisani u parove i broj parova je N s= [ max (M,N)+1 ] /2. Pojedine č lanovedrugog stepena realizujemo u direktnoj formi II tipa, zato biramo ovu formu jer sadrži minimalan

broj elemenata za množenje i minimalan broj elemenata za kašnjenje.

U osnovnom slu č aju konstante parcijalnih funkcija u imeniocu i bojiocu su realni brojevi posledica ovoga je da komplexno-konjugovane parove uvek grupišemo u jedan č lan drugog reda.Realne polove i nule možemo na više na č ina grupisati i na ovaj na č in dolazimo do rešenja na višenač ina.

Ono što se odnosi za realizaciju č lanova drugog stepena, č lanove realizujemo u direktnoj ilitransponovanoj formi. Na slede ć oj slici se moge videti naj č ešć e upotrebljavane forme kodkaskadnih rešenja:

Slika 8.8. I. direktna forma drugog stepena

Odre đ eni implementacioni izraz:

)2()1(-

)2()1()()(

21

210

−−−−+−+=

n yan ya

n xbn xbn xbn y(8.11)

i prenosna funkcija:

22

11

22

110

1)( −−

−−

++++=

z a z a z b z bb

z H (8.12)

Page 185: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 185/264

Struktura diskretno vremenskih signala

184

Drugi tip II. direktne forme drugog stepena, ova realizacija se formalno slaže sa odre đ enomdirektnom formom:

Slika 8.9. II. direktna forma realizacije drugog stepena

Odre đ ena implementacioni izraz:

)2()1()()(

)()2()1(-)(

210

21

−+−+=

+−−−=

nwbnwbnwbn y

n xnwanwanw

(8.13)

odnosno prenosna funkcija:

22

11

22

110

1)( −−

−−

++++=

z a z a z b z bb

z H (8.14)

Tre ć i tip transponovane forme II. direktne realizacije drugog stepena, ova realizacijaformalno se slaže sa odre đ enom direktnom formom.

Slika 8.10. Transponovana forma II. tipa drugog stepena

Odre đ eni implementacioni izraz:

)()()(

)1()()1()(

)1()()(

212

2211

10

n yan xbnw

nwn yan xbnw

nwn xbn y

−=−+−−=

−+=(8.15)

Page 186: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 186/264

Struktura diskretno vremenskih signala

185

odnosno prenosna funkcija:

22

11

22

110

1)( −−

−−

++++=

z a z a z b z bb

z H (8.16)

Zadatak 8.3.: Prikažimo postupak razlaganja na kaskadne č lanove. Analizirajmo slede ć u prenosnu funkciju, i njeno razlaganje na kaskadne č lanove prvog reda.

21

21

06.05.0121)( −−

−−

+−++=

z z z z z H

Rešenje: Razlaganjem na č lanove prvog reda dolazimo do slede ć eg izraza:

)2.01)(3.01()1)(1(

)( 11

11

−−

−−

−−++=

z z z z

z H

Pošto su svi polovi i nule prenosne funkcije realni, zbog toga su svi koeficijenti kaskadnerealizacije realni. Slike 8.7.a) i b) prikazuje dve ekvivalentne kaskadne realizacije.

Slika 8.11.a) Kaskadna forma sa elementima I. Direktne forme

Slika 8.12. b) Kaskadna forma sa elementima II. Direktne forme

8.2.4. Forme paralelne realizacije

Obezbe đ uje mogu ć nost alternativne faktorizacije, ako prenosnu funkciju faktorizujemo takoda to izražavamo kao zbir č lanova drugog stepena:

∑ ∑= =−−

−−

−− ++= p s N

k

N

k k k

k k k k z a z a

z bb z C z H 0 1

22

11

110

1)( (8.17)

gde N p=M-N i N s su isti, kao kod kaskadne realizacije. Ako je N p negativan, onda prvi č lan nemaulogu.

Na sledeć oj slici mogu se videti oni sklopovi, koje uglavnom koristimo kod paralelnerealizacije.

Page 187: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 187/264

Struktura diskretno vremenskih signala

186

Slika 8.12. Osnovne forme paralelne realizacije

Zadatak 8.4.: Razmotrimo ponovo zadatak 8.3. ali sada u slu č aju paralelne realizacije.

Rešenje: Podelimo brojioc sa imeniocom i za rezultat dobijamo slede ć i izraz:

21

1

06.05.013.106.15

6.16)( −−

+−+−

+= z z z

z H

&&&

Slika 8.13. Realizacija filtra u alternativnoj paralenoj formi

Pošto je svaki pol realan, dobi ć emo jednu alternativnu paralelnu realizaciju za IIR sitem,razlagaju ć i H(z) na racionalne parcijalne razlomke prvog stepena.

Page 188: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 188/264

Page 189: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 189/264

Struktura diskretno vremenskih signala

188

8.3.2. Kaskadna realizacija FIR filtra

Kaskadnu formu za realizaciju FIR sistema dobijamo, ako prenosnu funkciju razložimo nač lanove drugog stepena:

∏∑=

−−

=

− ++== s N

k k k k

M

n

z b z bb z nh z H 1

22

110

0

1 )()()( (8.20)

gde je M s najve ć i ceo broj iz vrednosti (M+1)/2.

Ako je M neparan ceo broj, onda ć e jedna konstanta b2k biti jednaka sa nulom jer H(z) uovom slu č aju raspolaže sa neparnim brojem nula. Posle razlaganja grafa toka signala na kaskadneč lanove, može se videti na slici 8.14.

Slika 8.14. FIR struktura razložena na kaskadne č lanove

8.4. FIR realizacija sa linearnom fazom

U tom slu č aju ako realizujemo sistem sa linearnom fazom, onda impulsni odziv funkcijemora zadovoljavati uslov simetrije, odnosno ako impulsni odziv funkije zadovoljava slede ć i uslov:

)()( nM hnh −±= (8.21)u ovom slu č aju broj množenja se smanjuje na polovinu.

Slika 8.15. Impulsni odziv funkcije ako a) paran i b) neparan broj uzoraka

Kod sistema I. tipa ( M je paran ceo broj) a impulsni odziv funkcije:

,....,M , ,nnhnM h 210 ][][ ==− (8.22)

Page 190: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 190/264

Struktura diskretno vremenskih signala

189

i izlazni signal se može izra č unati sa slede ć om jedna č inom:

∑−

=

−++−+−=12/

0

]2/[]2/[])[][]([][M

k

M n xM hk M n xnk xk hn y (8.23)

Slika 8.16. U slu č aju parne težinske funkcije

Kod sistema II. tipa ( M je neparan ceo broj) i impulsni odziv funkcije:

,....,M , ,nnhnM h 210 ][][ =−=− (8.24)

izlazni signal ra č unamo na slede ć i na č in:

∑−

=

+−+−=12/

0

])[][]([][M

k

k M n xk n xk hn y (8.25)

Slika 8.17. U slu č aju neparne težinske funkcije

Page 191: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 191/264

Upotreba DFT-a

U drugom poglavlju smo se bavili Fourier-ovim redovima i transformacijama odnosno šestom poglavlju uveli smo DFT (Diskretnu Fourier-ovu Transformaciju) i preko primera smupotrebljavali u analizi determistič kih signala.Uveli smo pojam prozorske funkcije. Koristili smo prozorsku funkciju odnosno analizirali smo delovanje prozorske funkcije na signale konač nedužine. Ali u analizi smo pretpostavili da je ceo signal unapred poznat što u praksi nije takoMožemo odrediti explicitni DFT. Zbog ove osobine ima jako širok krug upotrebe u obradi signala,filtraciji kao i u spektralnoj analizi. Odmah na poč etku moramo reć i da DFT postupak zahteva jedansignal konač ne dužine, dok u praksi uglavnom imamo signale neodređ ene dužine.

Ako moramo izvršiti analizu signala beskonač ne dužine onda se javljaju razni problemi,koje možemo delimič no eliminisati sa upotrebom prozorskih funkcija. Obradu možemo izvršiti po blokovima ili sa upotrebom vremenski zavisne Fourier transformacije.

Analiziranim signalima jednu važnu grupuč ine tranzientni signali. Tranzientni signali nisu periodič ni. Tranzientne signale najč ešć e analiziramo tako da tranzientni signal napravimo da bude periodič an. Periodič no vreme je već e od vremenskog trajanja signala, jer ako bi vreme trajanjasignala bilo već e od periodič nog vremena onda bi došlo do vremenskog preklapanja. Na ovaj nač inobrađ en spektar signala, je linijski spektar. Ovaj nač in obrade delimič no se slaže sa postupkom prozorisanja.

Naroč ito je zanimljivo u upotrebama, spektralna analiza sluč ajnih signala. U analizisluč ajnih signala diskretnu Fourier-ovu transformaciju ne možemo direktno upotrebiti možemsamo posredno, jer ovakvi signali nemaju konač nu energiju. U analizi sluč ajnih signalaupotrebljavamo statistič ki pristup, najč ešć e preko autokorelacione analize signala. Fourier-ovatransformacija autokorelacione funkcije je funkcija spektralne gustine. Važna krakteristiksluč ajnog signala u spektralnom domenu je funkcija spektralne gustine.

Page 192: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 192/264

Upotreba DFT-a

191

9.1 Fourier-ova analiza signala sa upotrebom DFT-a

Najvažniji zadatak spektralne analize je određ ivanje spektra snage u konač nom vremenskomdomenu. Najveć i problem u analizi koji moramo rešiti je vremenski red konač ne dužine. Zbog togase ne može tač no odrediti spektar signala nego se samo može proceniti. Ako je vremenski red kojianaliziramo stacionaran onda je procena bolja. U tom sluč aju kad signal nije stacionaran, procenspektra i interval posmatranja, zavisi od toga, koliko brzo se menja karakter analiziranog sign

Zbog toga što signale ili vremenske redove posmatramo u konač nom intervalu, spektar ć e biti deformisano izrač unat i zbog toga moramo odrediti meru, kolikać e biti ova deformacija. Ovudeformaciju lakšeć e biti odrediti kod determistič kih signala zbog toga ovu kategoriju analiziram prvo i tek posle dobijene rezultate i postupke upotrebljavamo za sluč ajne siganale ili vremenskeredove. Sluč ajne signale posmatramo kroz tzv. periodogram.

Osnovni koraci u DFT analizi neprekidnih signala su prikazani na slici 9.1. U sistuključ en jedan anti-aliasing filtar, da bi izbegli preklapanje spektralnih komponenata kod razlneprekidnih signala u red. Prozorisanje, tj. množenje x(n) sa w(n) prozorskom funkcijom posledic je da DFT oč ekuje signal konač ne dužine. Dužinu uzoraka određ uje dužina prozorske funkcijeGlavna polje upotrebe DFT-a je u analizi signala u frekventnom domenu.

Slika 9.1. Šematski prikaz tipič nog DFT sistema

Ovakvi primeri upotrebe su analiza i obrada govornog signala što je naroč ito korisno pri

identifikaciji i modeliranju govornog signala. Sledeć i primer je Dopler-ov radarski sistem, gde brzina pronalaženja cilja, predstavljena sa velič inom frekventnog pomeraja izmeđ u poslanog i primljenog signala.

Slike 9.2. i 9.3. ilustruju promenu spektra signala u toku rač unanja DFT-a. Slika 9.2.a) prikazuje spektar S(jΩ ) originalnog signala s(t). U ovom signalu visokofrekventne spektralnkomponente teže nuli ali im vrednost nije nula. Prema primeru postoje u spektru, uski oštri Frekventni odziv funkcije antialiasing filtra se može videti na slici 9.2.b). Ono što se može vslike 9.2.c) je spektar rezultantnog signalaX(e jω ), iza antialiasing filtra, malo korisne informacdaje o spektruS(e jω ), naroč ito na frekvenciji odsecanja antialiasing filtra. Svaka spektrkomponenta koja prolazi kroz filtar bić e promenjena na datoj frekvenciji, sa vrednošć u prenosnekarakteristike filtra, kako amplituda tako i faza prema izrazu (9.1):

( ) ∑∞

−∞=

+=

r

j

T r

jT

jS T

e X πωω 21 (9.1)

Page 193: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 193/264

Upotreba DFT-a

192

Slika 9.2. Uticaj antialiasing filtra na spektar poč etnog signala

Slika 9.3. prikazuje uticaj diskretizacije i prozorske funkcije za signal na slici 9.2. U tokuuzorkovanja spektar signala bić e periodič an, kao što se može videti sa slike 9.3.a).

Slika 9.3. Prikaz uticaja diskretizacije i prozorisanja na spektar signala

Page 194: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 194/264

Upotreba DFT-a

193

Na slici 9.3. b) se može videti Fourier-ova transformacija tipič ne prozorke funkcije. Uticaj prozorske funkcije na vremenski red opisujemo konvolucijom u spektralnom domenu, sa slć imizrazom:

( ) ( ) ( )( )V e x e w e d j j jω ω

π

π

π= −

−∫ 1

2Θ Θ Θ (9.2)

Glavni snop spektra prozorske funkcije je koncentrisan okoω=0 što se može videti na slici9.3.b). KodV(e jω ), konvolucijaW(e jω ) i X(e jω ) utič e na peglanje vrhova. Peglanje vrhova prikazuslika 9.2.c).

Zadnji korak je izrač unavanje DFT-a. DFT rač unamo zajedno sa uticajem prozorskfunkcije, pretpostavimo da je dužina prozora L≤ N. Na slici 9.3.c) mogu se videti vrednostiV(k), oviodgovarajuV(e jω ) uzorcima spektra.

[ ] [ ] ( )V k v n e k N j N kn

n

N

= = −−

=

∑ 2

0

1

01 1π / , , ,..., (9.3)

Mnogi analizatori spektra koji rade u realnom vremenu su bazirani na principima opna slici 9.1. Slike 9.2. i 9.3. objašnjavaju rač unski tok.

9.2. Spektar determisti č kih signala

Pretpostavimo da x(n) diskretni signal uzorkujemo sa jednim neprekidnim signalom xa(t), sa F s uzorkovanom brzinom. Neka bude neprekidni signal konač ne energijeE , i njena transformacija X a(jΩ ). Uzimajuć i u obzir teoreme uzorkovananja, pretpostavimo da nema spektralnog prekla(aliasing). Spektar dobijenog vremenskog reda:

( ) s F F j

n

n j j e X en xe X /2)()( πωω == ∑+∞

−∞=

− (9.4)

može se izraziti i sa spektrom neprekidnog signala:

( ) )]([1)( /2 s

k a

F F j j k j X T

e X e X s Ω−Ω== ∑+∞

−∞=

πω (9.5)

vrednostk=0 , jer nema spektralnog preklapanja i važi sledeć i izraz:

( ) 2F ),()(1)( /2 s

a sa F F j j F j X F j X

T e X e X s ≤Ω=Ω== πω (9.6)

na osnovu ovoga funkcija gustina energije diskretnog signala se može opisati na sledeć i nač in:

( )222

2)( Ω=

= j X F

F F

X S a s s

xx πω (9.7)

Page 195: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 195/264

Upotreba DFT-a

194

Dolazimo do istog rešenja ako izrač unamo energetsku funkciju gustinu pomoć uautokorelacije u spektralnom domenu. Autokorelaciona funkcija diskretnog signala:

∑+∞

−∞=+=

n xx k n xn xk r )()(*)( (9.8)

transformacioni par je:

∑+∞

−∞=

−=n

k j xx xx ek r S ωω )()( (9.9)

U praktič nim upotrebama rač unanja koristimo samo vremenske redove konač ne dužine.Uticajem prozorske funkcije, u frekventnom domenu se javlja konvolucija izmeđ u spektra prozorske funkcije i spektra signala. Uticajem prozorske funkcije dobijamo sledeć i vremenski red:

≤≤==drugde 0,

1- Nn0 ),()()()(~ n x

nwn xn x (9.10)

transformacija ovako dobijenog vremenskog reda:

λπ

λωπ

π

λωωω d eW e X eW e X e X j j j j j )()(21)(*)()(~ )( −

−∫ == (9.11)

funkcija gustine spektra prozorske funkcije:

21

0

2~~ )(~)(~)( ∑

=

−== N

n

n j j x x en xe X S ωωω (9.12)

9.3. DFT analiza sinusnih signala

U ovom poglavljuć emo se baviti prozorisanjem i uzorkovanjem u spektralnom domenu.Biramo složeni sinusni signal kao specifič nu grupu signala, ali možemo uopšteno koristiti mnogezaljuč ke .

Prozorisanje smanjuje rezoluciju, spektralno uzorkovanje ne daje tač nu sliku o spektrustvarnog signala. U nastavkuć emo koristiti teoriju koju smo koristili u 6. poglavlju, analizirajuć ikonkretno više sinusnih komponenta. Posebnoć emo analizirati uticaje raznih prozorskih funkcija nadetekciju spektralnih komponenata.

Spektralno uzorkovanje može dovesti do netač ne spektralne analize, ako se ne izvrši tač no.Mnogo važnih detalja u analiziranom spektru može ostati nevidljivo. Može se desiti da spektralnč vorove dobijemo na lošim lokacijama ili suštinski spektralni sadržaj signala ostaje preklopjenPrvenstveno do ovoga dolazi jer je mala gustina uzorkovanja u spektralnom domenu. Zbog togostvarujemo nula dodavanje ( zerro adding) tako da spektar ″ ponovo uzorkujemo″, i mogu se videtivažni momenti u spektru.

Na slici 9.4.a) u DFT-u imamo dve jake spektralne komponente, i nemamo sadržaj spektrna drugim DFT vrednostima. Ako uporedimo slike 9.4.a) i 9.4.b), može se videti da je velik

Page 196: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 196/264

Upotreba DFT-a

195

spektralno curenje, ovo se dešava jer smo uzorkovali signal. Ako produžimov(n) u jednač ini 9.11.na 128č lanova, 64 mesta uzoraka, možemo videti pozitivnu promenu na slici 9.4.c).

Slika 7.4. Uticaj već e brzine uzorkovanja na spektar

9.3.1. Uticaj prozorisanja

Posmatrajmo neprekidnii signal, koji je zbir dva sinusna signala:

( ) ( ) ( )011000 coscos Θ+Ω+Θ+Ω= t At At s − ∞ < < ∞t (9.13)

u sluč aju idealnog uzorkovanja dobijamo sledeć u formu za vremenski red, gde jeω0= Ω0T iω1= Ω1T, red x[n] je sledeć eg oblika:

[ ] ( ) ( )111000 coscos Θ++Θ+= n An An x ωω − ∞ < < ∞t (9.14)

Page 197: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 197/264

Upotreba DFT-a

196

Možemo odrediti prozorisani signalv[n] u sledeć oj formi:

[ ] [ ] ( ) [ ] ( )v n A n n A n n= + + +0 0 0 1 1 1ω ω ω ωcos cosΘ Θ (9.15) prozorisani signal možemo odrediti i u exponencijalnoj formi:

[ ] [ ] [ ]

[ ] [ ]

v n A

n e e A

n e e

A n e e A n e e

j j n j j n

j j n j j n

= + +

+ +

− −

0 0

1 1

2 2

2 2

0 0 0 0

1 0 1 1

ω ω

ω ω

ω ω

ω ω

Θ Θ

Θ Θ

(9.16)

Odavde upotrebeć i poznate jednač ine za Fourier-ovu transformaciju dobijamo sledeć i izraz:

[ ] ( )( ) ( )( )( )( ) ( )( )

V e A

e W e A

e W e

Ae W e

Ae W e

j j j j j

j j j j

ω ω ω ω ω

ω ω ω ω

= + +

+ +

− − +

− − +

0 0

1 1

2 2

2 2

0 0 0 0

1 1 1 1

Θ Θ

Θ Θ(9.17)

Ove izraze možemo iuopštiti za signale koji sadrže M sinusne komponente.

Zadatak 9.1.: Sa sistemom na slici 9.1., brzinom 1/T=10 kuzoraka/s uzorkujemo slede ć i signal:

( ) ( ) ( )011000 coscos Θ+Ω+Θ+Ω= t At At s

gde je A 0=1V i A 1=0.5V, odnosno:

a) F 0=1x10 3 /s odnosno F 1 uzima dve vrednosti 1.5x10 3 /s i 2x10 3 /s.

b) Analizirajmo mogu ć nosti, da bi pove ć avali mogu ć nost razlaganja spektralnih komponenata.

Dužina prozora neka bude prvo dužine 64 uzoraka, ali u toku dalje analize proizvoljno se možebirati ova dužina. U toku rešenja možemo upotrebiti proizvoljnu prozorsku funkciju.

Rešenja: a) Prvo uzimamo 64 uzoraka ali kod rač unanja spektra koristimo FFT, reda1024 . Prema jednač ini 9.8. uzorak Ω0 je visine velič ine 32A0, a Ω1 je velič ine 32A1. Na slikama smo prikazali jednostrane spktre u normiranoj formi.

Page 198: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 198/264

Page 199: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 199/264

Upotreba DFT-a

198

b) Ako poveć amo broj uzoraka na dvostruku vrednost i u tom sluč aju mogu se razdvojiti frekvencijesinusnih komponenata, ali ako u ovom sluč aju poveć amo broj uzoraka na 256, onda već dobijamodobro razdvojene komponente kao što se i može videti sa slike 9.6.

Slika 9.6. Broj uzoraka 256 i f 0=0.1 i f 1=0.11

Za bliske spektralne komponente možemo koristiti bilo koju prozorsku funkciju zbog širenjglavnog snopa, ako ne poveć amo broj uzoraka onda ne možemo razdvojiti bliske spektralnekomponenta.

Za razdvajanje bliskih komponenata najefektivnija prozorska funkcija je pravougaon prozor, jer je širina glavnog snopa najmanja u odnosu na ostale prozorske funkcije.

U sledeć em zadatku analizirać emo sluč aj kada je vrednost amplitude jedne spektralnekomponente mnogo manja od druge, i sada na kakav nač in možemo detektovati određ enespektralne komponente.

Zadatak 9.2. Analizirajmo slede ć i signal sa sistemom koji se vidi na slici 9.1. i brzinom1/T=10 kuzorak/s:

( ) ( ) ( )011000 coscos Θ+Ω+Θ+Ω= t At At s

gde se amplitude u velikoj meri razlikuju jedan od drugog A 0=1V i A 1=0.01V, odnosno:

a) F 0=1x10 3 /s odnosno F 1=1.8x10 3 /s.b) Analizirajmo moguć nosti, da bi pove ć avali mogu ć nost razdvajanja spektralnih

komponenata.

Dužina prozora prvo neka bude 64 uzoraka, ali tokom kasnije analize neka se proizvoljnomenja ova dužina. U toku rešenja proizvoljno birajmo prozorsku funkciju.

Rešenje:

Page 200: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 200/264

Upotreba DFT-a

199

Na slici 9.7.a) se može videti spektar sa pravougaonom prozorskom funkcijom. Kaomože videti sa slike spektralna komponenta F 1 se ne može odvojiti jer se duboko nalazi sporednim listovima pravougaone prozorske funkcije. Ova pretpostavka se može dokrač unanjem. Na vrednosti normirane frekvencije f 1 visinu sporednih listova spektralnkomponente koja se nalazi na frekvenciji f 0 rač unamo na sledeć i nač in: šesti sporedni listkomponente f 0=0.1 preklapa komponentu f 1=0.18 . Visina f 0 na mestu f 1 u absolutnoj vrednosti

a1:

023.012.5

)12.5sin(1 ==

ππ

a

gde posmatrajuć i mesto sporednih listova, mesto f 1 može se izra č unati prema (0.18-0.1)*64=5.12.

Kao što se može videti sa slike 9.7. i iz vrednostia1 , već a je vrednost sporednih listova negmaximalna vrednost glavnog snopa komponente na frekvenciji f 1. Na slici 9.7. smo prikazali f 1komponent uvelič an deset puta, u prvom redu da bi prikazali srazmernu poziciju.

Ako sada izvršimo rač unanje za sluč aju N=128 onda (0.18-0.1)*128=10.24, odnosnomaximum glavnog snopa na f 1:

021.024.10

)24.10sin(1 ==

ππ

a

kao što se vidi broj uzoraka smo poveć ali na dvostruku vrednost, a vrednost sporednih listovanije znač ajno promenila na zanimljivim mestima i ovako na mestu f 1 , spektralna komponenta teškose može detektovti u oba sluč aja kao što se i vidi na slikama 9.8.a) i 9.8.b).

Slika 9.7. Relativni položaj komponenata na frekvencijama f 0 i f 1 u sluč aju dužine prozora N=64

Page 201: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 201/264

Upotreba DFT-a

200

Slika 9.8. Prikaz uticaja dužine prozora u sluč aju prisustva komponente na frekvencijif 1 a) N=64 i b) N=128

U nastavkuć emo ispitivati uticaje raznih prozorskih funkcija na tok analize. Prvo na slici9.9.a) pravougaona prozorska funkcija, u tom sluč aju ako je dužina uzorka i dužina FFT sekcije

N=L=1024. Kao što se može videti sa slike komponenta f 1 u ovom sluč aju se izdiže iz sporednihlistova koji potič e od f 0, pošto sporedni listovi f 0 na mestu f 1 ide mnogo ispod40dB.

Na slici 9.9.b) može se videti uticaj Hann-ove prozorske funkcije na amplitudski spektaanaliziranog signala. Kao što se može videti sa slike treć i i č etvrti sporedni list se u velikoj merideformiše jer komponent f 1 pada izmeđ u ova dva sporedna lista, odnosno visina ovih sporednihlistovaje je manja od amplitude komponenta f 1, jer je amplituda spektra sporednog lista Hann-ove prozorske funkcije sa velikom brzinom se smanjuje.

a) b)

Slika 9.9. a) Pravougaona prozorska funkcija L=N=1024 b) Hann-ova prozorska funkcija u sluč aju N=64 i L=1024

Tač nu lokalizaciju spektralne komponente na ovoj spektralnoj razdaljini ne može se jednoznč no odrediti zbog uticaja sporednih listova. U ovom sluč aju analiza bi se mogla izvršiti pored poznavanja visine sporednih listova i vrednosti faznog ugla. Ako je relativno rastojanje

Page 202: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 202/264

Upotreba DFT-a

201

spektralnih komponenata već a nego u ovom sluč aju, zbog smanjujuć e brzine gradijenta velikogsporednog lista i ova analiza može biti veoma efektivna sa Hann-ovom prozorskom funkcijomrelativno uzan sam glavni snop.

c) d)

Slika 9.9. Uticaj c) Hamming prozorske funkcije i d) Blackman-ove prozorske funkcije na sp

signala Na slici 9.9.c) se može videti uticaj Hamming prozorske funkcije na spektar analiz

signala. Kao što se može videti sa slike, pošto je prozorskoj funkciji brzina smanjenja spolista mala i relativno su visoki sporedni listovi zbog toga nije od znač aja izdizanje komponente f 1odnosno zbog karakteristič ne visine sporednog lista tač na vrednost frekvencije klizi, ovač injenicase dobro vidi sa slike. Ako uporedimo karakter sa Hann-ovom prozorskom funkcijom onda mvideti da u blizini glavnog snopa mnogo je delotvornije nego kod Hamming prozorske funkci

Na slici 9.9.d) može se videti uticaj Blackman prozorske funkcije na spektar analizsignala. Relativno brzo se stišaju sporedni listovi Blackman-ove prozorske funkcije ali

glavnog snopa je široka u odnosu na prethodno opisanu. Komponent f 1 na ovom relativnomrastojanju se lako moge selektovati sa relativno tač nim vrednostima amplitude. Ako je relativnrastojanje izmeđ u spektralnih komponenata manja nego u ovom sluč aju, onda sa već im brojemuzoraka se može smanjiti uticaj širine glavnog snopa na anlizu.

Na slici 9.9.e) se može videti uticaj Csebisev prozorske funkcije. Ova prozorska funk jedna parametarska prozorska funkcija. Sa Csebisev prozorskom funkcijom se može ć i potiskivanje željenog sporednog lista sa biranjem parametraβ , ali sa potiskom sporednog lista širinglavnog snopa se znatno poveć ava. Na ovoj slici za prozorsku funkciju smo odabrali potisak60dB. Sa slike se može videti da komponent f 1 znatno se izdiže iz sporednog lista f 0.

Na sledeć oj slici 9.9.f) ispitivamo uticaj Kaiser prozorske funkcije kada jeβ=9. Pored oveβvrednost glavni snop prozorske funkcije relativno je širok, ali zbog smanjenja brzine vsporednog lista komponenta f 1 jako dobro se izdiže i tač no se može odrediti njeno mesto i njenvrednost.

Page 203: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 203/264

Upotreba DFT-a

202

e) f)

Slika 9.9. Uticaj e) Csebisev prozorske funkcijea=60dB pored potiskivanja sporednog lista i f)Kaiser prozorske funkcijeβ=9 na spektar signala

Jedna od najviše upotrebljavanih prozorskih funkcija je Kaiser prozorska funkcija. Kod ov prozorske funkcije na optimalan nač in se ostvaruje kompromis potrebne dužine vremenskog reda,izmeđ u rezolucije frekventne oblasti i curenje u frekventnoj oblasti. Kaiser prozorska funkcija j jedna parametarska funkcija. Sa određ ivanjem parametara može se pretpostaviti potiskivanjesporednih listova i širina glavnog snopa, ali nezavisno jedan od drugog. Kako raste parametar βtako paralelno raste potiskivanje sporednih listova a i širina glavnog snopa. Ali imamo moguć nostda datom problemu nađ emo najpogodniju vrednost parametra.

Primarne posledice prozorisanja:

-smanjena rezolucija

-curenje u frekventnom domenu ( razmazivanje).Meru rezolucije određ uje širina glavnog snopa, dok meru spektralnog curenja određ uje

odnos amplitude glavnog i sporednog snopa. Zbog toga kod odabiranja prozora važnu ulogu igoblik i dužina prozora.

9.4. Vremenski zavisna Fourier-ova transformacija

Nestacionarni signali su oni signali, kod kojih se u vremenu menjaju statistič ke osobinesignala, pr. zbir sinusnih komponenata sa amplitudom koja se menja u vremenu, frekvencijom ifazom. Vremenski promenljiva Fourier-ova transformacija ( time dependent Fourier transformatio je najviše upotrebljano sredstvo u spektralnoj analizi nestacionarnih signala. Na polju analinestacionarnih signala, najviše se istraživa polje govornog signala.

Vremenski zavisnu Fourier-ova transformacija opisujemo sa sledeć im izrazom:

[ ) [ ] [ ] X n x n m w m e j m

m

,λ λ= + −

=−∞

∑ (9.18)

Page 204: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 204/264

Upotreba DFT-a

203

gdeλ označ ava frekvenciju. U vremensko zavisnoj Fourier-ovoj transformaciji jednodimenziniz x[n] , funkcija od jedne diskretne promenljive, je konvertovan u dvodimenzionalnu fuvremenske promenljiven (vreme), koja je diskretna, i frekventne promenljiveλ (frekvencija), koja je kontinualna. U izrazu 9.18w[m] je prozorska funkcija. Prozorska funkcija je fix-na i kroz vidimo pojedine redove promenljivog signala x[n+m ] . Za svaku vrednostn preko prozora uvek vidimo drugi deo vremenskog reda x[m] , tako da za svakun vrednost dobijmo drugač ijukarakteristiku u frekventnom domenu.

Inverzna transformacija:

[ ] [ ] [ ] x n m w m X n e d j m+ = ∫ 12 0

2

πλ λλ

π

, − ∞ < < ∞m (9.19)

odnosno ako jem=0 :

[ ] [ ] [ ) x nw

X n d = ∫ 12 0 0

2

πλ λ

π

, (9.20)

Ako upotrebljavamo sledeć u zamenu m′=m+n u jednač inu (9.18) i dolazimo do jakovažnog izraza, do same vremenski zavisne Fourier-ove transformacije

[ ) [ ] ( )[ ] ( ) X n x m w n m e j n m

m

, ` ` `

`λ λ= − − − −

=−∞

∑ (9.21)

Ovu jednač inu možemo interpretirati kao konvoluciju:

[ ) [ ] [ ] X n x n h n, *λ λ= (9.22.a)

gde je:[ ] [ ]h n w n e j n

λλ= − (9.22.b)

Iz jednač ine 9.22. vidimo da vremenski zavisna Fourier-ova transformacija može predstavljatiz linearno vremenski nezavisnog filtra,č ija je ulazni signal x(n), impulsni odziv funkcijehλ(n).Frekventna karakteristika je:

( ) ( )( ) H e W e j jλ

ω λ ω= − (9.23)

Ako upotrebljavamo Fourier-ovu analizu konač ni izraz se malo razlikuje od izraza 9.18njegova forma je:

[ ) [ ] [ ] X n x m w m n e j m

m

,λ λ= − −

=−∞

∑ (9.24)

Page 205: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 205/264

Upotreba DFT-a

204

9.4.1. Uticaj prozorske funkcije

Prva uloga prozorskih funkcija u rač unanju vremenski zavisne Fourier-ove transformacije jeda odredi dužinu vremenskog reda, odnosno da tako transformiše vremenski red da spektralnkarakteristike budu stacionarne u vremenskom domenu prozorske funkcije. Ukoliko signal imnagle i brze promene onda moramo koristiti krać u dužinu prozora, ali sa ovim se rezolucija(moguć nost razlaganja) smanjuje u spektralnom domenu. Sa druge strane sa krać im prozorima bržisu prelazi i bolje se mogu videti u vremenskom domenu. Prema ovome dužina prozorske funkcije kompromis izmeđ u rezolucije u frekventnom domenu i rezolucije u vremenskom domenu.

Neka bude signal x(n) , č ija je Fourier-ova transformacija X(e jω ). Prema izrazu 9.18. iupotrebom pravougaonog prozora beskonač ne dužine (odnosno nema prozorske funkcije) netrebamo koristiti prozorisanje:

[ ) ( ) X n X e e j j n,λ λ λ= (9.25)

u upotrebama trebamo koristiti dobro poznate prozorske funkcije. Naravno sa upotrebom spektralanalize trebamo koristiti takvu prozorsku funkcijuč ije pojač anje se smanjuje prema nula vrednosti

iduć i prema krajevima prozora. Za to da spektralno′uzorkovanje′ bude određ eno zo to mora bitimnogo manja širina spektra prozorske funkcije nego spektar analiziranog signala. Polazeć i od izraza9.18. za konstantnu vrednostn dobijemo sledeć i izraz:

[ ) ( ) ( )( ) X n e X e W e d j n j j,λπ

λπ

= −∫ 12 0

2Θ Θ Θ Θ (9.26)

Vremenski zavisna Fourier-ova transformacija (9.18) za jednu konstantnu vrednostn nijeništa drugo nego konvolucija izmeđ u Fourier-ove transformacije x(n+m) i prozorske funkcijew(m).Pošto je spektar prozorske funkcijeW(e jω ) nisko frekventnog karaktera slika (9.10) i iz izraza

(9.23) proizilazi H(e jω

) filtar propusnik opsega, kome je propusni opseg koncentrisan oko vrednostiω= λ.

Slika 9.10 Prozorska funkcija i spektar prenosne funkcije

Odavde sledi, da je poželjno koristiti prozorsku funkcijuč iji su sporedni listovi već eg prigušenja, i glavni snop je širi jer možemo ostvariti već u rezoluciju u spektralnom domenu.

Page 206: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 206/264

Upotreba DFT-a

205

9.4.2. Analiza govornog signala

Govor je proizvod pobude vokalnog trakta, koji je ogranič en s jedne strane usnama, a sadruge strane glasnim žicama. Treba primetiti da sa konstantnim oblikom vokalnog trakta,može biti modelovan kao odziv linearnog vremenski nepromenljivog sistema (vokalni trakvazi-periodič ni impulsni niz za glasove zvuka ili na širokopojasni šum za neglasovne zvuknormalnom govoru vokalni trakt menja svoj oblik relativno sporo u vremenu, kako usne izvode pokrete govora, i tako može biti modelovan kao spori vremenski promenljiv filtar. Izvidimo da je govor nestacionaran signal. Međ utim, može se uzeti da karakteristike signala ostakonstantne u vremenskim intervalima od 30-40ms. Frekventni sadržaj govornog signala je u od 15KHz, ali je govor visoko razumljiv i kada je ogranič en do 3KHz što je sluč aj kodkomercijalnih telefonskih sistema. Standardna brzina uzorkovanja za digitalne telefkomunikacije je 8000 uzoraka/s što znač i da je interval od 40 ms pokriven sa 320 uzoraka.

Ukoliko prozor nije suviše dugač ak, pri analizi, osobine signala se neć e znatno menjati u prozoriranom segmentu. To znač i, ako je dužina prozora takva da su harmonici razdvojeni, DFć e pokazati seriju vrhova na celobrojnim umnožcima osnovne frekvencije signala. Ako jesuviše kratak onda harmonici neć e biti razdvojeni, alić e spektralni oblik još uvek biti evidentaZnač i sa kratkim prozorom narušić emo rezoluciju blizkih komponenti, a sa suviše dugač kim prozorom neć emo imati približno stacionaran signal u prozoriranom segmentu. Dakle, opet setražiti kompromisno rešenje.

Vremenski zavisna Fourier-ova analiza govora se koristi kao osnova za razno proceskao što je digitalno kodiranje govora, prepoznavanje govora, identifikacija govornika i slič no.

9.5 Blok konvolucija sa vremenski zavisnom Fourier-ovom

transformacijomSignal x(n) možemo rekonstruirati sa vremensko zavisnom Fourier-ovom transformac

uzorkovanjem u vremenu i u spektralnom domenu. Uzorkovanu vremensku zavisnu Fourtransformaciju možemo opisati u sledeć oj formi:

[ ] [ ] [ ] [ ]( ) X rR k X rR k N x rR m w m e j N km

m

L

, , / /= = + −

=

∑2 2

0

1π π (9.27)

Ovo pokazuje da uzorkovana vremenski zavisna Fourier-ova transformacija je vemensa N č lananom, prozorskoj funkciji:

[ ] [ ] [ ] X k X rR k X rRr k = =, ,λ (9.28)

[ ] [ ] [ ] x m x rR m w mr = + , − ∞ < < ∞r i 0 1≤ ≤ −m L (9.29)

U jednač ini (9.27) integer parametri: L je dužina prozora, N je dužina DFT-a, odnosno brojuzoraka u spektralnom domenu, R je rastojanje izmeđ u uzoraka u vremenskom domenu.

Page 207: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 207/264

Upotreba DFT-a

206

Pretpostavimo da je x(n)=0 , i n< 0. Da bi se mogla izrač unati vremenski zavisna Fourier-ovatransformacija za R=L i pravougaoni prozor. Sa drugim reč ima, uzorkovana vremenski zavisnaFourier-ova transformacija X r (k), je DFT red od N uzoraka.

[ ] [ ] x m x rL mr = + 0 1≤ ≤ −m L (9.30)

Pošto se prozori ne poklapaju, pa je:

[ ] [ ] x n x n rLr r

= −=

∞∑0

(9.31)

Sada definišimo novu vremenski zavisnu Fourier-ovu transformaciju:

[ ] [ ] [ ]Y k H k X k r r = , 0 1≤ ≤ −k N (7.32)

[ ] [ ]( ) [ ] ( )( )[ ] y m N

Y k e X l h m l r r j N km

k

N

r N l

N

= = −=

=

∑ ∑1 2

0

1

0

1π / (9.33)

ovako dobijamo da je yr (m) cirkularna konvolucija odh(m) i xr (m). Ako je dužinah(m) P uzorak idužina xr (m) je L odavde sledi da ako je N ≥ L+P-1, u tom sluč aju je yr (m) linearna konvolucijaizmeđ u vremenskih redovah(m) i xr (m) u intervalu0≤ m≤ L+P-2, a drugde je nula.

[ ] [ ] y n y n rLr r

= −=

∑0

(9.34)

Ovako projektovan sistem, impulsni odziv funkcijeh[n] je linearan i vremenski invarijantna ili LTIi svi zakoni se odnose na nju. Pošto sa LTI sistemom možemo modelirati, ovako u velikoj meri pojednostavljuje dalji tok modeliranje. Uglavnom, frekventni domen obezbeđ uje veliku flexibilnostza rač unanje.

9.6. Parametri vremensko diskretnih slu č ajnih procesa

Slič no 4. poglavlju gde smo određ ivali parametre neprekidnih sluč ajnih signala i procesa,sada u nastavkuć emo određ ivati parametre sluč ajnih diskretnih signala ili vremenskih redova. Zavremenske diskretne procese x(nT), možemo izrač unati proseč ne vrednosti i vrednost autokorelacijena sledeć i nač in:

( ) ( )∫ ∞

∞−= dxnT x xpnT X E ; (9.35)

( ) ( )∫ ∞

∞−= dxnT x p xnT X E ;22 (9.36)

( ) ( ) ( ) kT nT X nT X E kT r X += (9.37)

U frekventnom domenu vremenske diskretne procese možemo sprovesti sa dvostranomz-transformacijim:

Page 208: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 208/264

Upotreba DFT-a

207

( ) ( ) ( ) z R Z kT r kT Zr X k

k X == ∑

−∞=

− (9.38)

( ) ( ) ( )ωτω ** X X

T j X S r e R ==F (9.39)

gde je:

( ) ( ) ( ) ττ += t X t X E r X *** i

( ) ( ) ( )∑∞

−∞=−=

nnT t nT X t X δ* (9.40)

τ =nT

prema ovome i na osnovu izraza 4.37.:

( ) ( )=

∞→0

2*

2lim 0

0 T

j X E e R

T

T

T j X

ωω (9.41)

gde je ( ) ( )t x j X T T **

00F =ω i

( ) ( ) ≤=inace

T t t xt xT 0

0*

0

Autokorelacija uzorkovanog sluč ajnog procesa x*(t) jednak je inverznom z-transformacijomfunkcije gustine sa istim spektrom signala ( u nastavku PDS ), odnosno:

( ) ( )∫ −=r

k X X dz Z z S

jkT r 1

21π

(9.42)

9.7. Spektar stacionarnih signala: Periodogram

Na osnovu procene spektra sluč ajnih signala razlikujemo dva postupka, prvi je tzv. parametarski (klasič an) i drugi parametarski (moderan). Klasič ni postupci jednostavniji su za procenu spektra nego moderni postupci, pošto upotrebljavaju DFT algoritam. U ovoj knjizć emoupotrebljavati samo klasič ne postupke jer za moderne postupke potrebni su matematič ki aparati kojinisu dostupni za studente viših škola.

Sluč ajni signali nemaju konač nu energiju, zbog toga ne možemo izvršiti Fourier-ovu anadiskretnih sluč ajnih signala. Ali ovi signali imaju konač nu proseč nu snagu i zbog toga se može

rač unati funkcija gustine spektra snage.Za procenu autokorelacione funkcije može se koristiti sledeć i izaraz:

1,...,1,0m ),()(*1)(1

0−=+= ∑

−−

= N mn xn x

N mr

m N

n xx (9.43)

proseč na vrednost:

)(1)]()(*[1)]([1

0mr

N

mmn xn x E

N mr E

m N

n xx xx ∑

−−

=

−=+= (9.44)

Page 209: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 209/264

Upotreba DFT-a

208

velič ina procenjene greškem r xx(m)/N i proseč na vrednost autokorelacione funkcije traje do:

)()]([lim mr mr E xx xx N =

∞→(9.45)

velič ina varijanse:

∑−−

= +−+≈

1

0

2

)]()(*)([1

)](var[

m N

n xx xx mnr mnr nr N mr (9.46)

Rezultat procene autokorelacione funkcije se može koristiti za procenu funkcije gustinsluč ajnih tokova spektra:

∑−

−−=

−=1

)1()(1)(

N

N m

m j xx xx emr

N P ωω (9.47)

posle uvrštavanja:

221

0

)(1)(1)( ωωω j N

n

n j xx e X

N en x

N P == ∑

=

− (9.48)

ovaj zadnji izraz nazivamo periodogram.

Prosek periodograma se rač una na sledeć i nač in:

∑−

−−=

−=

1

)1()(1)]([

N

N m

m j xx xx emr

N

m P E ωω (9.49)

ovo možemo shvatiti kao Fourier-ovu transformaciju proizvoda autokorelacione trougaon prozorske funkcije:

)(1)(~ mr N

mr xx xx

−=ω (9.50)

što znač i da je proseč na vrednost periodograma:

∫ ∑−

−−

−−=

− ==π

π

βωω ββπ

ω d eW S emr P E jT xx

N

N m

m j xx xx )()(

21)(~)]([ )(

1

)1((9.51)

gde je W T (e jω ) transformacija trougaone prozorske funkcije. Kao što se može videti iz izraza

proseč na vrednost periodograma je konvolucija spektruma trougaone prozorske funkcije i funkcijspektralne gustine. Kod određ ivanja periodograma se javlja spektralno curenje kao posledicavremenskog reda konač ne dužine u vremenskom domenu.

Granič na vrednost periodograma ako se vrednost uzoraka poveć ava:

∑∑∞

−∞=

−−

−−=

−∞→∞→

===m

xxm j

xx

N

N m

m j xx N xx N

S emr emr P E )()()(~lim)]([lim1

)1(ωω ωω (9.52)

Page 210: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 210/264

Upotreba DFT-a

209

na osnovu ovoga funkciji spektralne gustine periodogram znač i asimptotsko približavanjeVarijansa periodograma se ne smanjuje na nulu ako N teži beskonač nosti, odnosno:

0)()](var[lim 2 ≠=∞→

ωω xx xx N S P (9.53)

Za primer ako uzmemo u obzir Gauss proces onda lako možemo odrediti vrednost va periodograma:

+=2

2sinsin1)()](var[ ωωωω

N N S P xx xx (9.54)

Zbog rač unskih nedostataka razvijeno je mnogo postupaka sa kojima se tač no može odrediti spektarkod stacionarnih sluč ajnih procesa.

U sledeć em pod poglavljuć emo se baviti Welch postupkom sa najviše korišć enim postupkom, koji se koristi za procenu spektra sluč ajnih procesa. Welch postupak je opštiji negBartlet postupak, jer ako nema preklapanja i koristimo pravougaonu prozorsku funkciju orezultat dobijemo Bartlet postupak.

9.7.1. Welch postupak: Usrednjavanje modifikovanog periodograma

U ovom postupku je dozvoljeno preklapanje segmenata vremenskog reda. Pvremenskih redova na sekcije vršimo prema sledeć em izrazu:

1-M0,1,...,n 1;-L0,1,...,i ),()( ==+= iDn xn xi (9.55)

kada je D=M onda se segmanti ne poklapaju. Ako je D=M/2 onda se segmanti50% poklapaju i brojsegmenata je L=2K.

Kod ovog postupka upotrebljavamo proizvoljnu prozorsku funkciju i preko ovoga domodifikovani periodogram:

1210 ,)()(1)(~21

0 ,...,L- , ,ienwn x

MU P

N

n

n ji

i xx == ∑

=

− ωω (9.56)

gde jeU normirana snaga prozora, koju rač unamo na sledeć i nač in:

∑−

==

1

0

2 )(1 N

n

nwM

U (9.57)

Vrednost procene spektra je proseč na vrednost modifikovanog periodograma:

∑−

==

1

0)(~1)(

L

i

i xx

iW xx P

L P ωω (9.58)

gde je procena Welch postupka:

)](~[)](~[1)]([1

0ωωω i

xx

L

i

i xx

iW xx P E P E

L P E ∑

=== (9.59)

gde je:

Page 211: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 211/264

Upotreba DFT-a

210

∫ ∑∑−

−−

=

=

−− =−=π

π

βωω ββπ

ω d eW S emnr mwnwMU

P E j xx

M

m

N

n

mn j xx

i xx )()(

21)()()(1)](~[ )(

1

0

1

0

)( (9.60)

i W(e jω ) rač unamo prema sledeć em izrazu:21

0

)()(1)( ∑−

=

−= N

n

n j j enwMU

eW ωω (9.61)

normirani koeficijent obezbeđ uje sledeć i uslov:

∫ −

− =π

π

ω ωπ

1)(21

d eW j (9.62)

Varijansa Welch postupka:

21

1

1

1

)()(2 )]([])(~)(~[1)](var[ ωωωω W

xx

L

i

L

j

j xx

i xx

W xx P E P P E

L P −= ∑∑

=

=(9.63)

u tom sluč aju ako nema preklapanja odnosno L=K procenjena varijansa:

)(1)](~var[1)](var[ 2)( ωωω xxi

xxW

xx S L

P L

P ≈= (9.64)

pored trougaone prozorske funkcije možemo koristiti druge prozorske funkcije koji daju drurezultate, preklapanje ne treba da bude50% i ovaj isto modifikuje karakter rač unaja.

Zadatak 9.3.: Za slede ć i signal:

)1502sin(2.0)1002sin(2)502sin(5.0)( t t t t x πππ ++=

utič e jedan aditivni normalni šum sa raspodelom, sa nula srednjom vrednoš ć u i sa jednomvariansom. Upotrebe ć i Welch postupak, odredimo modifikovani periodogram signala sa šum-om.

Rešenje: Prvo na slici 9.11.a)′ č isti ′ signal odnosno na slici 9.11.b) smo nacrtali jednog predstavnika ′ jako prljavog ′ signala, da bi smo mogli prikazati uticaj postupka.

Page 212: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 212/264

Upotreba DFT-a

211

Slika 9.11. Jedan sekund zadatog signala u zadatku a) bez šum-a i b) sa šum-om

Kao što se može videti sa slike šum velikom merom utič e na signal, nemoguć e je prepoznatiosnovni signal.

Analizu prvo poč injemo sa periodogramom signala sa šum-om. Periodogram se može v

na slici 9.12. Rač unanje za vremenski red dužine jedne sekunde smo vršili sa uzorkovanom brz1kHz . Periodogram sadrži mnogo lažnih spektralnih komponenata, ali važno je istać i da spektralnakomponenta na150Hz se izgubi u šum-u, i možemo konstatovati da ovaj postupak nije pogod prepoznavanje frekventne komponente signala.

Page 213: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 213/264

Upotreba DFT-a

212

Slika 9.12. Jedan periodogram zadatka

U nastavku prvoć emo prikazati uticaj preklapanja kod rač unanja. Hann prozorsku funkcijuupotrebljavamo kod Welch analize. U oba sluč aja na slici 9.13. uzorkovana brzina je1kHz, dužinauzorka20s , dužina prozora2000 uzoraka i rač unska dužina korišć enog FFT-a je4096 uzoraka. Naslici 9.13.b) nema preklapanja. Na slici 9.13.b) ima 1000 uzoraka preklapanja. Na slici je dob prikazano da uticajem preklapanja iz modifikovanog periodograma komponente prisutn periodič nih č lanova odluč no se izdvajaju iz prisutnog šuma

Slika 9.13. Uticaj preklapanja na modifikovani periodogram a) bez preklapanja i b) sa preklapanjem

Sledeć a slika prikazuje uticaj dužine uzorka kod rač unanja. Hann prozorsku funkcijuupotrebljavamo kod Welch analize. U oba sluč aja na slici 9.14. uzorkovana brzina je1kHz, dužina prozora 2000 uzoraka i rač unska dužina korišć enog FFT-a je4096 uzoraka odnosno dužina preklapanja je1000 uzoraka. Na slici 9.14.a) dužina uzorka je3s. Na slici 9.14.b) dužina uzorka je30s . Na slici je dobro prikazano da uticajem poveć anja dužine uzorka iz modifikovanog periodograma komponente prisutnih periodič nih č lanova uoč ljivije se izdvajaju iz prisutnog šum-a.

Page 214: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 214/264

Upotreba DFT-a

213

Slika 9.14. Uticaj dužine analiziranog uzorka a) dužina uzorka3s i b) dužina uzorka30s

9.8. Filtriranje vremensko diskretnih slu č ajnih signala

Ako diskretni signal provedemo kroz digitalni filtar, onda možemo izrač unati vrednost PDSizlaznog signala.

U nastavku prenosna funkcija digitalnog filtra je H(z) ulazni signal x(n) i izlazni signal y(n).Rezultat konvolucije:

( ) ( ) ( ) ( ) ( ) ( )∑∑∞

−∞=

−∞=−=−=

q p

q j X qh j pi X phi y y és (9.65)

odavde:

( ) ( ) ( ) ( ) ( ) ( )−−= ∑ ∑∞

−∞=

−∞=q p

q j x pi xqh ph E j yi y E (9.66)

zamenjivanjem j=i+k i q=p+n:

( ) ( ) ( ) ( ) ( ) ∑ ∑∞

−∞=

−∞=−+−−+=

n pY nk pi X pi X E n ph phk r (9.67)

( ) ( ) ( )∑∞

−∞=−=

n X Y nk r n g k r (9.68)

gde je g(n) pomoć na funkcija:

( ) ( ) ( )∑∞

−∞=+=

p

n ph phn g (9.69)

Page 215: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 215/264

Page 216: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 216/264

Upotreba DFT-a

215

gde je ( )2−

= z

z z H

b) Oč ekivana snaga izlaznog signala:

( ) ( )02Y r nY E = ( ) ( )∫ −−=

Gdz z z H z H

j X 112

21 σπ

akoG konturu uzmemo na kruguz =1, odnosno:

z e j T = ω

u ovom sluč aju oč ekivana snaga na izlazu prenosnog sistema:

( ) ( )∫ − s

d e H e H T jT j X

s

ωωω ωσ

ω 0

21

Page 217: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 217/264

Projektovanje FIR filtara

Uglavnom projektovanje i realizacija svakog diskretnog sistema se sastoji iz sledeć ih faza:

- U fazi specifikacije, na osnovu analize problema zadaje se karakter amplitudei faze projektujuć eg sistema, što se mora ostvariti u granicama tolerancije i utoku projektovanja.

- U drugoj fazi u toku sinteze se određ uju koeficijenti ili polovi i nule, uznjihovu pomoć može se realizovati željeni sistem.

- U treć oj fazi se biraju određ eni sklopovi sa kojima se realizuje sistem. U ovojfazi se gleda i na cenu realizacije ondosno robusnost sistema i gleda se nasvakič inioc koji utič e na rad sistema.

- Na kraju se dešava realizaija sistema, koje smo rekli u drugoj fazi i sa treć omfazom gde smo uzeli sklopove.

U nastavkuć emo se baviti pitanjima za realizaciju FIR filtra.

Kao što znamo u analognim sistemima, ne može se realizovati takva prenosna funkcija kojima se nalaze samo nule, zbog ovoga prenosnu funkciju direktnom sintezom FIR funkcije, nosnovu iskustva iz ne analognih filtara se realizuje u z-domenu, najč ešć e uz pomoć procesora.

U ovom poglavljuć emo obrađ ivati razne realizacione forme FIR filtara. Analizirać emo prednosti i nedostatke date realizacije. Sa FIR strukturama mogu se realizovati takve prenosnfunkcije, koje sa drugim sredstvima napr. IIR se ne mogu realizovati. Ovakve karakteristike sulinearna faza, linearna amplitudna karakteristika, konstantno fazno pomeranje za90 o. Na osnovuovoga lako možemo realizovati takve filtre koji su zadati sa ne standarnim nač inom.

Intresovanje za FIR filtre u velikoj meri je poraslo sa jednostavnim FFT postupcima, i ovak je poraslo i rač unanje efektivnosti sistema koji se osnivaju na FIR strukturama. Sa pojavomspecijalnih tzv. konvolucionih procesora i DSP procesora, konvoluciono rač unanje u velikoj meri se pojednostavilo i ovako se poveć alo računanje FIR sistema u realnom vremenu.

Page 218: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 218/264

Page 219: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 219/264

Page 220: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 220/264

Page 221: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 221/264

Projektovanje FIR filtara

220

Sa sređ ivanjem izraza dobijamo sledeć i izraz:

∑=

=+−M

m

nnh0

0 0)sin()( θ ω τω (10.12)

na slič an nač in kao prethodno, rešenje jednač ine ima sledeć i oblik:

1,...,1,0 ),1()( 2

és 2

10 −=−−−=⇒±=−= M nnM hnhM π θ τ (10.13)

Ovakva prenosna funkcija, ako je impulsni odziv funkcije antisimetrič an ima konstantnogrupno kašnjenje na celom prenosnom domenu. Ovakvi sluč ajevi se mogu videti na slici 10.4.

Slika 10.4. FIR impulsni odziv funkcije a) III. Tip M paran b) IV. Tip M neparan

10.3. Položaj nule u z-ravni FIR sistema sa linearnom fazom

Uticajem simetrije i antisimetrije, nule prenosne karakteristike FIR sistema su konjugovankomplexni parovi i moraju ispunjavati mnoge osobine:

1. Nule prenosne funkcije koje ne leže na jedinič nom krugu one se javljaju uč etiri oblika(konjugovano komplexni i reciproč ne nule) na sledeć oj lokaciji:

1*1* )( és ,, −−iiii z z z z (10.14)

2.

Realne nule koje ne leže na jediničnom krugu se javljaju u recipro

čnim parovima:

és 1−ii z z (10.15)

3. Proizvoljan broj parova konjugovano komplexne nule mogu ležati na jedinič nom krugu.4. Proizvoljan broj nula može ležati na z i= ± 1, jer onda je ispunjen sledeć i uslov z i -1= ± 1.

Page 222: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 222/264

Projektovanje FIR filtara

221

10.4. Sinteza FIR filtra sa prozorskom funkcijom

Najednostavniji postupak FIR projektovanja filtra je postupak sa prozorskom funkcijtoku projektovanju se polazi od idealnog niskopropusnog filtra:

Slika 10.5. Prenosna funkcija idealnog niskopropusnog filtra

Impulsni odziv funkcije idealnog niskopropusnog filtra:

( ) ω π

ω ω

ω

ω d ee H T

nh T jT jii

s

s

∫ −

=2/

2/2)( (10.16)

Vrednost H i jednak jedan u prenosnom domenu[ -ω 1 , ω 1 ] a izvan je nula. Rešenje integrala:

( )2

12

1 ;sin12

)( −≤≤−−== ∫ −

N n

N n

nT d e

T nh iT j

i

i

ω ω

π ω

ω

ω

(10.17)

Impulsni odziv funkcije ispunjava zahtev kauzalnosti, ako je originalni impulsni funkcijehi(n)-t , i pomerimo za(N-1)/2 udesno, odnosno:

10 ;

212

1sin)( −≤≤

−−

−−

= N n N

n

N nT

nhi

i

π

ω (10.18)

Ova jednač ina opisuje moguć u realizaciju niskopropusnog filtra sa konač nim stepenom.Ako je N neparan onda moramo upotrebiti L′Hospital postupak za određ ivanje srednjegč lanaimpulsnog odziva funkcije. Vrednost srednjegč lana impulsnog odziva funkcije:

s

ii

f f T N

h2

21 ==

π ω (10.19)

Page 223: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 223/264

Page 224: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 224/264

Projektovanje FIR filtara

223

Slika 10.7. Amplitudska karakteristika idealnog filtra propusnika opsega

Impulsni odziv funkcije slič no niskopropusnim i visokopropusnim filtrima na sledeć i nač inrač unamo:

( ) +== ∫ ∫ ∫ −

−−ω ω

π ω

π ω

ω

ω

ω

ω

ω

ω ω

ω

ω d ed eT

d ee H T

nh T jT jT jT jii

i

i

i

s

s

s

2

1

1

2

1122

)(2/

2/

(10.23)

kauzalni impulsni odziv funkcije:

10 ;

21

21sin

21sin

)(12

−≤≤

−−

−−−

−−

= N n N

n

N nT

N nT

nhii

i

π

ω ω (10.24)

Na osnovu gore navedenih jednač ina lako možemo zaključ iti da filtar propusnik opsegamatematič ki možemo ostvariti kao razliku dva niskopropusna filtra. U brojiocu izraza (10.24deo sa imeniocom predstavlja niskopropusni filtar sa granič nom frekvencijomω

i2 , isto tako drugi

izraz sa imeniocom i granič nom frekvencijomω i1 predstavlja niskopropusni filtar. Ovmatematič ku č injenicu prikazujemo grafič ki na slici 10.8.

Slika 10.8. Realizacija filtra propusnika opsega kao razlika dva niskopropusna filtra

Page 225: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 225/264

Projektovanje FIR filtara

224

10.4.3. Filtar nepropusnik opsega

I za filtre nepropusnika opsega trebamo definisati dve frekvencije, kao kod filtra propusnikopsega. Na slici 10.9. se može videti prenosna karaktristika digitalnog idealnog filtra nepropusniopsega.

Slika 10.9. Amplitudska karakteristika idealnog filtra nepropusnika opsega

Slič no kao kod filtra propusnika opsega može se izrač unati impulsni odziv funkcijenepropusnika:

( )

++=

==

∫ ∫ ∫

ω ω ω π

ω π

ω ω

ω

ω ω

ω

ω ω

ω

ω ω

ω

ω

d ed ed eT

d ee H T

nh

T jT jT j

T jT jii

s

i

i

s

i

s

s

s

2/

2/

2/

2/

1

1

2

2

1112

2)(

(10.25)

kauzalni impulsni odziv funkije posle sređ ivanja prethodnog izraza:

10 ;

21

2

1sin2

1sin)( 21 −≤≤

−−

−−−

−−= N n

N n

N nT

N nT

nhii

i

π

ω ω (10.26)

Idealni filtar nepropusnik opsega možemo shvatiti kao zbir prenosnih funkijaniskopropusnih i visokopropusnih filtra. Iz osobine visokopropusnog filtra rezultantni filtar mo biti samo neparnog stepena. Na slici 10.10.grafič ki možemo prikazati sastav filtra nepropusnikaopsega.

Page 226: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 226/264

Projektovanje FIR filtara

225

Slika 10.10. Realizacija filtra nepropusnika opsega iz zbira prenosnih funkcija niskopropusnvisokopropusnog filtra

U tom sluč aju ako želimo realizovati FIR filtar koji sadrži više od dva propusna domesadrži nepropusni domen, odnosno tzv.č ešljasti filtar i onda je slič an postupak kao što smo prethodno opisali (grafič ki i rač unski).

10.4.4. Uloga prozorskih funkcija u projektovanju filtara

FIR filtar možemo shvatiti kao sistem za sabiranje (sumator) u koji je ugrađ ena težinskatabla. U težinskoj tabli, težinske vrednosti odmicanjem desno od centralnogč lana ili levo, njihovevrednosti se postepeno smanjuju. Vrednosti težinskihč inilaca su simetrič ni u odnosu na centralnič lan.

Sa konač nim brojem uzoraka ne možemo projektovati idealni filtar, odnosno filtar prelaznog opsega, ili bez oscilacije u oblasti nepropusnog opsega ili u oblati propusnog ops

upotrebom prozorskih funkcija fizič ki se može ostvariti mreža, dobijemo filtar. Kod ov projektovanja u oblasti nepropusnog opsega i u oblasti propusnog opega uslovi pojač anja signalamoraju biti jednaki. Ne možemo ih birati za razne vrednosti, dozvoljena promena pojač anja uoblasti propusnog opsega i nepropusnog opsega odnosno mera potiskivanja mora bitiδ 1= δ 2.

Ne težinska (pravougaona) prozorska funkcija izaziva tzv. Gibbs oscilacije. U ovom č ajunezavisno od N vrednosti na kraju oblasti propusnog opsega i na poč etku oblasti nepropusnogopsega (pretpostaveć i ekvivalentni niskopropusnik) ima 9% skoka. Uticaj promene N vrednosti prikazuje slika 10.12.

Slika 10.12. Prikaz Gibbs oscilacije za razne N vrednosti

Sa poveć avanjem N vrednosti kao što vidimo samo se smanjuje oblast širine oscilacije. ove prozporske funkcijeδ 1=0.09 i A= -20.91dB. Širina propusnog opsega je0.9ω s /(N-1). Gibbs-ovaoscilacija je posledica spore konvergencije Fourier-ovog reda, što proizilazi iz diskontiizmeđ u oblasti nepropusnog opsega i propusnog opega.. Pošto svaki filtar karakteriše karaktediskontinuiteta zbog toga je nije dobro rešenje, brzi prekidi impulsnog odziva fun

Page 227: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 227/264

Projektovanje FIR filtara

226

Najefektivniji postupak za potiskivanje Gibbs oscilacije je upotreba prozorskih funkcija u tok projektovanja filtra. Prozorske funkcije zaokružuju oštre prelaze na manje ili već e mere i na osnovuovoga smanjuju oštre prelaze.

Posle ovoga sa prozorskom funkcijom modifikovan impulsni odziv funkcije:

=−−=

inace 0,

1-M0,1,...,n ),2

1()()(M

nhnwnh iw (10.27)

ako jednostavno prekinemo impulsni odziv funkcijehi(n) onda kao što smo rekli nastupaju Gibbsoscilacije, pa je prozorska funkcija sledeć eg oblika:

==inace 0,

1-M0,1,...,n ,1)(nw (10.28)

ovo je pravougaona prozorska funkcija. Na osnovu ovoga dolazimo do lakog zaključ ka da saozbiljnim zahtevima ne možemo projektovati filtar sa pravougaonom prozorskom funkcijom.

I na drugi nač in se može objasniti pojava Gibbs oscilacije. Gibbs oscilacija je posledicakonvolucije željene prenosne funkcije i prozorske funkcije u frekventnom domenu. Razlika j posledica dve karakteristike prozorske funkcije: širina glavnog snopa spektra prozorske funkcutič e na širinu prelazne oblasti dok sporedni list velič ine amplitude utič e na amplitudu Gibbsoscilacije. Na osnovu ovoga dobre prozorske funkcije moraju imati što uži glavni snop i što već e potiskivanje sporednih listova, a ovo je suprotan zahtev.

Više prozorskih funkcija smo razvili kao što smo videli u šestom poglavlju. U devetom poglavlju smo koristili prozorske funkcije za analizu spektra signala. U nastavkuć emo se detaljno baviti Kaiser prozorskom funkcijom za projektovanje filtra. Sa upotrebom Kaiser prozors

funkcije dosta elestič

no se mogu ostvariti željeni uslovi. Kaiser prozorska funkcija blizu je idealnogkao što smo videli u šestom poglavlju jer pored maximalno zadatog nivoa sporednih listovmaximalno koncentriše energiju ispod glavnog snopa. Pomoć u Kaiser prozorske funkcije možemoaproksimirati skoro sve prozorske funkcije sa određ enim odabiranjemβ vrednosti.

Ako poveć avamo stepen prozorskih funkcija poveć avamo i širinu prelazne oblasti, alivrednosti A i δ se ne menjaju kod neparametarskih prozorskih funkcija. Ovaj problem može rešitiKaiser prozorska funkcija.

10.4.5. Sinteza FIR filtra uz pomo ć Kaiser prozorske funkcije

Kod projektovanja filtra je obič aj da se filtar zadaje sa amplitudnom karakteristikom i to satolerencionom šemom kao što se vidi na slici 10.2.

Kaiser prozorsku funkciju opisuje sledeć a zavisnost:

( )[ ] 10 ,)(

1/211)(0

20 −≤≤−−−= N n

I nn I

nw K β β (10.29)

gde je I 0β Bessel modifikovana funkcija nultog reda:

Page 228: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 228/264

Projektovanje FIR filtara

227

( )∑∞

=+=

1

2

0 !2/1

m

m

m x

x I (10.30)

na osnovu iskustva sabiranje je dovoljno izvršiti dom=15 . Kod upotrebe parametra β kod Kaiser prozorske funkcije označ ava kompromis izmeđ u širine glavnog snopa i maximalnog nivosporednih listova. Vrednost β se nalazi izmeđ u 2 i 9. Sa ovako odabranim β parametrom osobineostalih prozorskih funkcija možemo simulirati sa jako dobrim približavanjem.

Od Kaisera potič u izrazi za određ ivanje potrebanog broja stepena i β vrednosti za projektovanje datog filtra:

<<−+−≥−=

+∆

−=+∆

−−≥

50A21 ),21(07886.0)21(5842.050A ),7.8(1102.0

136.14

95.7136.14

95.7)log(20

4.0 A A

A

A N

β

ω ω δ

(10.31)

gde je:

s

a z

ω

ω ω ω

−=∆ (10.32)

Ove izraze ako filtar ima više opsega, na svakom prelazu, moramo posebno izrač unati unepropusnom i propusnom domenu. Od ovih rezultata najstroži rezultat moramo upotrebljavrač unanja konač nog filtra.

Na krajuć emo opisati postupak koji možemo upotrebljavati:

1. korak: zadavanje uslova

2.

korak: određ

ivanje N i β 3. korak: određ ujemoW k (n) za svaku vrednost0< n< N-14. korak: izrač unavanje vrednostihi(n) u domenu0< n< N-15. korak: oblikujemo impulsni odziv funkcije FIR filtrah(n)=h i(n)w k (n) odnosno prenosnu

funkciju

Ovaj postupak nije optimalan, jer deluje samo jedinstveno na propusne oblasti, problasti i nepropusni opseg oblasti, u pojedinim sluč ajevima kod projektovanja mnogo su strouslovi nego uslovi koji su zadati u polaznoj tač ki (1. korak ).

Zadatak 10.1.: Projektujmo jedan niskopropusni filtar primenom metode Kaiserovog prozorisanja. Zahtevi su slede ć i:

1. uzorkovana brzina je 10ksampl/s,2. širina propusnog opsega je 1.5kHz,3. nepropusni opseg po č inje od 2.5kHz,4. maximalno odstupanje amplitude u propusnom domenu δ 1=0.01 (A a=0.15dB),5. minimalo potiskivanje u nepropusnom opsegu je δ 2=0.01 (A z = -40dB)

Rešenje: Optimalna vrednost β je 3.4 dok je N min=23.

Page 229: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 229/264

Page 230: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 230/264

Projektovanje FIR filtara

229

Fazni odziv filtra je linearan u propusnom opsegu koji je zadat u projektovanom uslovu nepropusnom opsegu izrazito je nelinearan koji ne predstavlja nepovoljnu priliku, jer nije nkakva je fazna karakteristika u nepropusnom opsegu.

Slika 10.14. a) amplitudna karakteristika i b) fazna karakteristika filtra

Kao što se dobro može videti sa uveć ane slike, na manjim frekvencijama od1.2kHz nezavisno da li je sporedni list maximalan ili je prigušen sigurno ispunjava oč ekivane uslove.

Slika 10.15. Deo amplitudske karakteristike koja je na prethodnoj slici obeležena

Na slici 10.16. se može videti impulsni odziv funkcije. Sa slike se dobro može videlementi oscilacije dobro su potisnuti.

Page 231: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 231/264

Projektovanje FIR filtara

230

Slika 10.16. Impulsni odziv funkcije filtra

Najveć i nedostatak projektovanje sa prozorskim funkcijama je da rezultantni filtar nikad nijoptimalni. Ovaj iskaz je dokazan u upotrebama jer uvek možemo projektovati bolji filtar nego št projektujemo sa prozorskim funkcijama, ovo je i onda istinito ako je prozorska funkcija tipa Kaisili Csebisev. Ovo je zato, jer uticaj prozorske funkcije na rezultat je posredan preko uzajamn povezanosti konvolucije. Zato ovaj nač in se upotrebljava kao polazna tač ka kod složenijih zahteva.

10.5.Projektovanje FIR filtra na bazi frekventnog uzorkovanja

Drugi jednostavan postupak koji se upotrebljava za rač unanje parametara FIR filtra, kojimožemo koristiti i bez upotrebe rač unara je postupak frekventnog uzorkovanja. Nač in prozorisanjau projektovanju filtra nije jako efektivan jer je teško izrač unati ovaj integral:

ω π

π

π

ω ω d ee H nh j j D D ∫

−= )(

21)( (10.33)

u ovakvom sluč aju odgovarajuć i postupak je sinteza frekventnog uzorkovanja, što je mnogo puta jako efektivan postupak u realizaciji FIR filtra.

Slika 10.17. Amplitudna karakteristika željenog filtra

Page 232: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 232/264

Projektovanje FIR filtara

231

Na slici 10.17. se može videti polazna amplitudna karakteristika. Kao prvoć emo projektovati niskopropusni filtar. U osnovnom sluč aju vrednosti kružne frekvencijeω k , gdezadajemo prenosnu funkciju proizvoljno možemo uzeti, ali najč ešć e uzorke biramo na jednakimrastojanjima. Rastojanje izmeđ u vrednosti dve kružne frekvencije odnosnok -ta vrednost kružnefrekvencije:

ω ω ω

ω ∆==∆ k N

sk , (10.34)

Sa operacijom DFT lako možemo odrediti koeficijente filtra:

( )[ ]1- Nn0 ,)5.0(2cos2)(

2/1- N

1k 0 ≤≤++== ∑

= N nk

A Anhb k nπ (10.35)

Svaka vrednost Ak je realna i na osnovu ovoga možemo izrač unati u sledeć em obliku:

( )[ ] ( )[ ]1- Nn0 ,)(

1- N

2/

0

1- N

2/

0

/2/ ≤≤== ∑∑≠

=

=

N k

k k

N k

k

N nk N jnk k hee Anh π (10.36)

Ako iskoristimo da je Ak =A N –k i upotrebimo da je z-transformacija linearna transformaconda:

∑−

≠=

=1

2/0

)()( N

N k k

k z H z H (10.37)

Posle sređ ivanja izraza dobijamo sledeć u konač nu formu:

)2//sin()2/sin(

)( T N k TN

Ae H k

T j

k ω π ω ω

−= (10.38)

Cela amplitudna karakteristika ima sledeć i oblik:

∑−

≠=

=1

2/0

)()( N

N k k

T jk

T j e H e H ω ω (10.39)

Moramo odrediti stepan filtra. Stepen N, trebamo tako odabrati da obuhvati svaku vrednoω k , koje se može videti na slici 10.14. Drugi važan parametar je definicija prelaznog domen

nemamo definisane vrednosti u prelaznom domenu, onda slič no pravougaonoj prozorskoj funkcidolazimo do lošeg rezultata, greška je u tome da želimo ostvariti jako uzanu prelaznu oblas poveć amo širinu prelaznog domena, ondać emo poveć ati i potiskivanje u nepropusnom domenDirektna posledica ovoga je da se vrednost N poveć ava a poveć ava se i efektivna uzorkovana brzina. U tabeli 10.1. se mogu videti tipič ne vrednosti maximalnih potiskivanja za razne brojeuzoraka u nepropusnom domenu.

Page 233: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 233/264

Projektovanje FIR filtara

232

Tabela 10.1. Uticaj definisanih tač aka u prelaznom domenu na dubinu nepropusnog opsega

Broj uzoraka uprelaznom domenu

Prelazni domen

∆ω

Maximalnopotiskivanje u

nepropusnom domenu[dB]

0 1 <20

1 2 45-55

2 3 65-75

3 4 85-95

Upotrebeć i teoremu frekventnog uzorkovanja, moramo odrediti sledeć e korake da bi biouspešan tok projektovanja filtra:

1. korak: zadavanje uslova2. korak: određ ivanje N vrednosti3. korak: izrač unavanje vrednosti Ak i4. korak: rač unanje vrednostih(n).

Na osnovu ovog postupka lako je napisati rač unarski program za projektovanje filtra.

Zadatak 10.3.: Isto kao u zadatku 10.1. samo treba rešiti sa frekventnim uzorkovanjem.

Rešenje: kod odabiranja stepena filtra dosta bi bilo primeniti za N=20 , jer sada∆ f=0.5kHz i sa ovimkoracima kružne frekvencije možemo opisati svaku važnu vrednost kružne frekvencije. U ovosluč aju:

f k kHz N f

f s ∆===∆ π ω 2 ,5.0 k

posle ovoga amplitudska funkcija, koji odgovara zahtevima na vrednostimaω k diskretnoj kružnojfrekvenciji:

≤≤≤≤=

1- Nk 4 ,03k 0 ,1

)( T j k e H ω

Odavde dobijamo vrednosti Ak :

( )≤≤≤≤−=

1- Nk 4 ,03k 0 ,/1 N

Ak

k

još moramo odrediti koeficijente filtrabk .

Page 234: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 234/264

Projektovanje FIR filtara

233

Slika 10.17. Amplitudska karakteristika filtra ako nemamo uzoraka u prelaznom domenu

Kao što se može videti sa slike u propusnoj oblasti imamo varijaciju amplitude (otp1dB-na) a u nepropusnom opsegu jedva dostižemo20dB -ni potisak. Rezultat možemo popraviti akdefinišemo jednu tač ku u prelaznom domenu i neka vrednost amplitude bude0.5 pa se promenizahtev. Na osnovu ovoga dobijemo:

( )( )

≤≤=−≤≤−

=1- Nk 5 ,04k ,/15.03k 0 ,/1

N

N

A k

k

k

Kao što se može videti sa slike 10.18. u velikoj meri se promenilo stanje, jer u neprop

domenu potiskivanje je∼ 37dB .

Slika 10.18. Amplitudska funkcija ako u prelaznom opsegu imamo jednu tač ku sa intenzitetom0.5

Page 235: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 235/264

Projektovanje FIR filtara

234

Prenosnu karakteristiku još više možemo popraviti ako optimiziramo meru pojač anja u prelaznom domenu. Možemo krojiti razne optimizacione uslove. Ako definišemo tako da nepropusnom opsegu maximalno potisnemo sporedne listove, onda sa jednostavnom iteracijodobijemo da je željena vrednost pojač anja 0.475, u ovom sluč aju amplitudni odziv funkcije jesledeć eg oblika:

≤≤=≤≤

=1- Nk 5 ,04k 0.475

3k 0 ,1)( T j k e H ω

Sa ovim filtrom u prenosnoj oblasti se poveć ala varijacija, ali u nepropusnoj oblasti potiskivanje je40dB- a koji već zadovoljava uslove koji su zadati u zadatku.

( )( )

≤≤=−

≤≤−=

1- Nk 5 ,04k ,/1475.0

3k 0 ,/1 N

N

A k

k

k

Za dalja poboljšanja možemo rač unati, ako proširivamo prelazni domen, odnosno u prelaznoj oblasti definišemo više vrednosti tač aka, ali se poveć ava i uzorkovana brzina.

Poveć anje broja stepena filtra u prelaznom domenu ne bi vodilo do rezultata ako ne bi poveć avali i broj tač aka. Poveć anje broja stepena filtra bi vodilo do smanjenja širine sporednihlistova.

Slika 10.19. Amplitudska funkcija ako u prelaznom opsegu imamo jednu tač ku sa intenzitetom0.475

U nastavkuć emo još obraditi sluč aj kada u prelaznom domenu imamo tri uzorka. Ako uceloj oblasti rastojanje izmeđ u uzoraka hoćemo da održimo konstantnim onda moramo dvostruko

Page 236: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 236/264

Page 237: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 237/264

Projektovanje FIR filtara

236

Na slici 10.21. se može videti2.slu č aj faznog odziva funkcije. Fazni odziv funkcije jelinearan u prenosnom domenu a izvan prenosnog domena je nelinearan, ali ovo nije problemPrelazni domen se može izvaditi iz fazne karakteristike, tri tač ke u prelaznom domenu.

Slika 10.21. Fazni odziv funkcije ako u prelaznom domenu imamo tri uzorka2.slu č aj

I na druge nač ine možemo projektovati FIR filtre ali u ovoj knjizi se neć emo baviti njima.

U nastavku ć emo se baviti diskretnim sistemimač ija je struktura FIR strukture alisnabdevaju druge′strujne zadatke′.

10.6. Sinteza diskretnog diferencijatora

Na izlazu iz idealnog diskretnog diferencijatora dobijemo diferencijal ulaznodiskretizovanog analognog signala. Diferencijator u neprekidnom vremenskom domenu opisujemsa sledeć om diferencijalnom jednač inom:

dt t dx

t y)()( = (10.40)

kome uΩ domenu odgovara sledeć i izraz:

Ω=ΩΩ=Ω j

j X jY

j H )()()( (10.41)

ako preosposobimo u diskretni domen onda:

T je H j

d ω ω =)( (10.42)

Page 238: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 238/264

Projektovanje FIR filtara

237

sada već možemo definisati FIR sistem sa kojim možemo ostvariti idealni diskretni diferencFrekventni odziv funkcije:

π ω π ω ω ω <<= − - ,)( 2/)1(M j j

d eT

je H (10.43)

izrač unajuć i inverznu Fourier-ovu transformaciju dobijemo željeni impulsni odziv funkcije:

21

21

0

]2/)1([

]2/)1([cos

][−=

−≠

−−

−−

=M

n

M n

M nT

M n

nhd

π

(10.44)

Na osnovu izraza (10.44.) impulsni odziv funkcije diferencijatora je antisimetrič na funkcija:

)()()1()()( 1)1(0

1

)1(1

0

1

0

−−−

−=

−−−

=

−−

=

− ∑∑∑ ==−−== z H z z z ih z nM h z nh z H d M

M i

M k d

M

n

nd

M

n

nd d (10.45)

Na celom domenu opsega samo za diferencijator parnog reda je korektno defi prenosna funkcija.

Zadatak 10.4.: Projektujmo diferencijator č ija je dužina M=12. Nacrtajmo amplitudnukarakteristiku. Kasnije na ulaz diferencijatora dovedimo razne signale i odredimo izlazne signale.

Rešenje: Prvo projektujemo diferencijator koji ispunjava celi spektralni domen, sa uslovima kzadati u zadatku. U domenu f=(0.1) želimo projektovati filtar sa linearno rastuć im amplitudnimodzivom funkcije, odnosno željenu prenosnu funkciju zadajemo sa sledeć im izrazom:

5,4,3,2,1,0 és 4.0 ahol )( === k jk e H k k j

d π ω ω ω

posle projektovanja filtra, rezultantni filtar koji raspolaže prenosnom funkcijom H(e jω ). Željenifiltar je rezultantni filtar odnosno amplitudna karaktristika diferencijatora koji se može vislici 10.22.

Ovi diferencijatori koji prihvataju ceo opseg frekvencije naroč iti su zanimljivi u obradisignala koji sadrže komponente viših frekvencija. Ovakvi signali su pr. pravougaoni- trouga

signali impulsnog karaktera. Amplitudska karakteristika realizovanog filtra kao što se vidi nfrekvencijama je sa malom greškom, dok sa poveć avanjem frekvencije raste i greška realizacije.

Page 239: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 239/264

Page 240: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 240/264

Projektovanje FIR filtara

239

Slič no u sluč aju trougaonog signala slika 10.24.

Slika 10.24. a) ulazni signal i b) izlazni signal diferencijatora

Signali na izlazu iz diferencijatora jednoznač no su diferencirani ovo se vidi sa obe slik

Diferencijal trougaonog signala na rastuć

oj strani ugla nagiba je konstantan kao što vidimo sa sl10.24.b) konstantna je vrednost diferencijala.

Slika 10.25. Diferencijator sa konač nom širinom opsega

Page 241: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 241/264

Projektovanje FIR filtara

240

Slika 10.26. Signali na diferencijatoru sa konač nom širinom opsega a) stepenast periodič ni ulaznisignal b) signal odziva za signal pod a) c) ulazni signal kosinusnog karaktera d) odziv za signal

pod c) Na slici 10.26.b) jednoznač no se vidi uticaj konač ne širine opsega u poređ enju sa slikom

10.22. b) gde je širina opsega diferencijatora beskonač na. Ali konač na širina opsega nema negativanuticaj ako je širina opsega ulaznog signala konač na pr. signal je kosinusan kao na slici 10.26.c)odziv posle prelaznog događ aja jednoznač no je korektan kao što se može videti sa slike 10.25.d).

Page 242: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 242/264

Projektovanje FIR filtara

241

10.7. Hilbertov transformator

Hilbertov transformator je sistemč ije je zadatak da stvori fazni skok od 900 izmeđ u ulaznogi izlaznog signala. Na osnovu ovogu frekventni impulsni odziv:

00

, ,)( 2/)1(

2/)1(

<<−<<−= −−

−−

ω π π ω

ω

ω ω

M j

M j j

je jee H (10.46)

impulsni odziv funkcije Hilbertovog transformatora:

2

1

21

0

]2/)1([2

]2/)1([2

sin2

][

2

−=

−≠−−

−−

=M

n

M n

M nT

M n

nhπ

π

(10.47)

Impulsni odziv funkcije je antisimetrič na i može biti samo III. ili IV. tipa (slika 10.4.). Sa IV.tipom imamo mnogo realizacionih problema, pa ovako ostaje samo III. tip.

Moramo definisati sledeć e parametre pre poč etka sinteze:

- M dužina impulsnog odziva funkcije,- ω p1 donja granič na frekvencija propusnog opsega,- δ greška aproksimacije.

Ove specifikacije nisu nezavisne jedna od druge, povezane su sa sledeć im izrazom:

δ ω log83.31

−≈ pM (10.48)ovaj izraz koristimo ako poznajemo dve vrednosti a treć u možemo proceniti. Da bi dalnajefektivniju realizaciju, Hilbertov transformator mora koristiti filtarski sklop III. tipa i moramosmanjiti aproksimacionu oblast na minimum. U tabeli 10.2. može se videti nekoliko zavisn projektovanje Hilbert-ovog transformatora u sluč aju filtarskog sklopa III. tipa. Tabela 10.2. poredM, δ i ω p1 sadrži potreban broj množenja (oznakom množ).

Tabela 10.2. Odnos izmeđ u potrebnih relevantnih podataka za projektovanje Hilbertovogtransformatora.

δ, ω p1 0.02 π 0.04 π 0.1 π 0.2 π

M Množ. M Množ.. M Množ. M Množ..

>127 - 95 24 39 10 19 50.1%

1% 119 30 59 15 27 7 11 3

U zadatku 10.5. preko jednog zadatka rasvetlić emo problematiku projektovanja Hilbertovotransformatora.

Page 243: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 243/264

Projektovanje FIR filtara

242

Zadatak 10.5.: Projektujmo simetri č an Hilbertov transformator u domenu 0.05 π ≤ ω ≤ 0.95 π . Nactajmo amplitudsku karakteristiku ovog sistema. Nacrtajmo izlazni signal na izlazu iz Hilbertovog transformatora ako je na ulazu kosinusni signal.

Rešenje: Na slici 10.27. nacrtali smo amplitudsku karakteristike za dva sluč aja. U oba sluč aja M=95samo smo donju granič nu frekvenciju modifikovali, u prvom sluč aju a) ω p1=0.02 π a u drugom

sluč aju b)ω p1=0.04 π . Odlič no se vidi na a) slici uticaj brzog slamanja jer prenosni domen oscilira.

Slika 10.27. Amplitudska karakteristika Hilbertovog transformatora

Na slici 10.28. se može videti uticaj Hilbert-ovog transformatora na kosinusni signal.

Slika 10.28. Uticaj Hilbert-ovog transformatora na kosinusni signal

Page 244: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 244/264

Projektovanje IIR filtra

U ovom poglavljućemo se baviti filtrima koji raspolažu beskonačnim impulsnim odzivomfunkcije tzv.IIR (Infinite Impulse R esponense). Realizujuća prenosna funkcija uglavnom jfiltarskog karaktera (odnosno filtar pojačava signal u jednom spektralnom domenu a u drug prigušava). Projektovanje sistema (pogledaj uvod 10. oblasti) najčešće nazivamo sinteza funkcijefiltra ili aproksimaciji. Cilj realizacija IIR filtra je da u vremenskom domenu možemo ioperacije sa filtrima, jer ovako možemo graditi real-time sisteme.

IIR filtri su specijalni linearni, vremenski invarijantni i kauzalne operaciječija prenosnafunkcija ima sledeći oblik:

=

=

−=

N

k

k k

M

m

mm

z a

z b z H

1

0

1)( (11.1)

Postupak projektovanja IIR filtra možemo podeliti na posredne i neposredne nčine. Najčešće kod projektovanja IIR filtra polazimo od neprekidnog projektovanja filtra (2.poglaovi postupci spadaju pod posredne postupke. Posredni postupci iz diskretne oblasti u neproblast i obrnuto temelje se na transformacijama. Teorijski možemo ostvariti više razčitih

realizacija iz neprekidne oblasti u diskretnu sa uslovom da ostaju osobine neprekidnih Najviše korišćena realizacija kod projektovanja IIR filtara je tzv. bilinearna realizacija.

Najednostavniji postupak projektovanja IIR filtra je tzv. približavanje sa derivactakođe jednostavan postupak za projektovanje IIR filtra je tzv. impulsni invarijantan posPribližavanje sa derivacijom obrađujemo kao uvodni karakter, da bi smo lakše shva preslikavanje. Postupak koji zahteva više računanja je tzv. bilinearno preslikavanje. Bilinear preslikavanje, kordinatnu osuω preslikava za jedinični krug z oblasti. U toku preslikavanja lev poluravan s domena preslikava se u unutrašnji domen jediničnog kruga u z domenu.

Page 245: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 245/264

Projektovanje IIR filtara

244

U neprekidnom domenu uvek polazimo od ekvivalentnog niskopropusnog filtra jer za ovfiltre u celoj meri je izrađen računski postupak.

Ako ne želimo realizovati niskopropusni filtar onda uvodimo pojam preslikavanja slično projektovanju neprekidnih filtara. Sa preslikavanjima preslikavamo ekvivalentni niskopropusfiltar u visokopropusni filtar ili u filtar propusnog opsega ili u filtar nepropusnika opsega zavisno toga kakva je data primena. Ovu transformaciju možemo izvršiti u diskretnom i neprekidnomdomenu ali je preporučljivo izvršiti preslikavanje u neprekidnom domenu jer ovaj je jedan izrađen

postupak. U toku transformacije veoma je važno da stepen prenosne funkcije ne raste. Važno sačuvanja stabilnosnih uslova, da bi polovi analognih funkcija koji se nalaze u levoj polovini sdomena, da bi se obrazovali unutar jediničnog kruga u z domenu.

Kod projektovanja IIR filtara moramo izvršiti sledeće koraka:

• Zadavanje uslova• Devijacija frekvencije sa određenom tipskom transformacijom• Klasično projektovanje filtra• Preslikavanje polova i nula, i inverzno preslikavanje i transformacija tipa u

jednom koraku• Realizacija digitalnog filtra

11.1. Projektovanje IIR filtra sa približavanjem derivacije

Jedan od najednostavnijih postupaka za preslikavanje analognih filtara u digitalni, se temelna diskretnom približavanju diferencijalnih jednačina, diferencijalnim jednačinama. Ovaj postupak mnogo upotrebljavamo kod diferencijalnih jednačina u računarskim rešenjima.

Izvoddy(t)/dt u vremenut=nT zamenjujemo:

T n yn y

T T nT ynT y

dt t dy )1()()()()( −−=−−= (11.2)

gdeT označava uzorkovanu periodu i y(n)=y(nT). Prenosna funkcija analognog diferencijatora H(s)=s , određena prenosna funkcija diskretnog sistema H(z)=(1-z –1 )/T, na osnovu sad rečenih:

T z

s11 −−= (11.3)

Drugi izvod zamenjujemo sa drugom difencijalom:

22

2 )2()1(2)()()(T

n yn yn ydt

t dydt d

dt t yd

nT t nT t

−+−−====

(11.4)

u frekventnom domenu:

212 1

−=−

T z

s (11.5)

Page 246: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 246/264

Page 247: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 247/264

Projektovanje IIR filtara

246

sT z T

z s +=−= 1 par njegovili 1 (11.11)

Rezultat ovog preslikavanja je lošije od prethodnog preslikavanja, jer pr. s=j Ω se preslikavau jednu vertikalnu liniju u z domenu, kao što se može videti sa slike 11.2. Ova transformacija zrezultat ne daje jednoznačno stabilan digitalni filtar.

Slika 11.2. Uticaj preslikavanja u s domenu za imaginarnu osu u diskretnom domenu

Opisane su razne promene ovog preslikavanja ali oni su već složeniji izrazi. Drugi načini su jednostavniji za upotrebu.

11.2. Projektovanje IIR filtra upotrebe ć i impulsnu invarijanciju

Osnovna zamisao za upotrebu impulsne invarijancije potiče od toga da implusni odzivfunkcijeh(n) diskretnog sistema može se shvatiti kao diskretizaciju analognog filtra, odnosnoh(n)=h a(n) n=0,1,2,.. . U frekventnom domenu impulsni odziv funkcije diskretnog sistema možemoizraziti kao funkciju analogne impulsne funkcije u sledećoj formi:

[ ]∑+∞

−∞=

Ω+Ω=k

sa j k j H

T e H )(1( )ω (11.12)

kao što smo već izrazili u ovoj knjizi frekventni odziv diskretnog sistema je, periodično ponavljajući odziv funkcije analognog sistema u tom slučaju se može koristiti, ako je ispunjenauzorkovana teorema, odnosno ne dolazi do pojave alliasing efekta.

Ako su ispunjeni uslovi i polovi su jednostavni onda:

∑= −

= N

i i

ia p s

A s H

1)( (11.13)

onda određen impulsni odziv funkcije dobijamo inverznom Laplace-ovom transformacijom:

∑=

= N

i

t pia

ie At h1

)( (11.14)

Page 248: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 248/264

Projektovanje IIR filtara

247

posle uzorkovanja:

∑=

== N

i

nT pia

ie AnT hnh1

)()( (11.15)

na kraju upotrebeći z transformaciju:

∏∑

== −

=−

= N

i

T p

N

i

T pi

i

i

e z

z N

e z

z A z H

11 )(

)()( (11.16)

iz ovog izraza se vidi, da polovi analognog sistema na sledeći način se preslikavaju u polovedigitalnog sistema:

T jT pi

iii ee z )( Ω+Σ== (11.17)

Moramo naglasiti da ova transformacija nije jednoznačna u oba smera. Iz analogne s oblasti jednoznačno je preslikavanje u diskretnu z oblast. Ovako osa jΩ se preslikava u jedinični krug dok suprotno ovo ne važi. Jedna tačka iz z oblasti beskonačno se preslikava u s+2 π /T koja leži na

jednoj pravoj, koja je paralelna sa imaginarnom osom kao što se vidi sa slike 11.3.

Slika 11.3. Prikaz preslikavanja

Preslikavanje zadovoljava potrebne uslove za realizaciju digitalnog filtra. Konjugkomplexni par polova iz s domena daje konjugovan par polova u z domenu, konstante diskretnefunkcije su realni pa je tako i transformacija racionalna. Stabilan je rezultat transformacijestabilni polovi analognog filtra, kod kojihΣ i< 0 preslikavaju se kod diskretnih sistema unutrašnjost jediničnog kruga i treće ne povećava se stepen diskretno prenosne funkcije u odnosuanalogne sisteme. Sa impulsno invarijantnom transformacijom odnosΩ i ω je linearan ako su

obezbeđeni uslovi uzorkovanja (ne javlja se alliasing efekat) onda amplitudni i frekventni funkcije analognog sistema zadržavaju svoj oblik.

Zadatak 11.1.: Projektujmo jedan IIR filtar polaze ć i od analognog filtra koji je dobijen Csebisevaproksimacijom, koriste ć i postupak impulsne invariancije. Filtar neka bude tre ć eg reda talasnosti0.3dB i grani č nom frekvencijom 0.3Hz.

Rešenje: Koeficijenti analognog filtra koji su zadati u zadatku,a(i) i b(i) mogu se naći u tabeli 11.1.Vrednosti koeficijenata digitalnog filtra smo izračunali za dva slučaja u prvom slučaju F s=1Hz i udrugom slučaju F s=0.25Hz.

Page 249: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 249/264

Projektovanje IIR filtara

248

Tabela 11.1. Vrednosti koeficijenata filtra

i 1 2 3 4

b(i) 0 0 0 0.0252a(i) 1.0000 0.4376 0.1632 0.0252bz(i) -0.0000 0.0108 0.0094 0az(i) 1.0000 -2.5044 2.1701 -0.6456bz 1(i) -0.0000 0.3906 0.2218 0az 1(i) 1.0000 -0.7793 0.5679 -0.1737

Slika 11.5. Frekventni odziv funkcije za digitalni filtar u zadatku

Za to da bi smo odredili prenosnu funkciju IIR filtra prvo moramo odrediti impulsniminvarijantnim postupkom impulsni odziv funkcije analognog filtra. Posle toga jednostavno uvrstećitransformacioni izraz dobijamo prenosnu funkciju digitalnog filtra. Posle uvrštavanja za obe brziuzorkovanja izračunamo koeficijente u prvom slučajubz(i) i az(i) i u drugom slučaju bz 1(i) i az 1(i).

Ovaj primer prikazuje da je važno birati relativnu malu vrednost zaT odnosno većuuzorkovanu frekvenciju, da bi bi smanjili uticaj alliasing efekta. Pošto se javlja alliasing efekat kokomponenata viših frekvencija, impulsni invarijantni postupak se može upotrebiti samo z projektovanje niskopropusnog filtra i filtra propusnika opsega.

Page 250: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 250/264

Projektovanje IIR filtara

249

11.2.1. Poboljšana impulsno invarijantna transformacija

Ako je prenosna funkcija racionalna onda jako je teško obezbediti ograničenje frekventnogodziva funkcije. Cilj ove modifikacije je da proširi onu oblast gde se ova transformacija korisu filtarske funkcije sa konačnim nulama.

Prenosna funkcija analognog sistema neka bude sledećeg oblika:

=

=

−==

N

j j

M

ii

a

p s

s s H

s D s N

H s H

1

100

)(

)(

)()()( (11.18)

gde jeM ≤ N. Analognu prenosnu funkciju možemo opisati u sledećoj formi:

)(

)()(2

10

s H

s H H s H

a

aa

= (11.19)

pomoćne funkcije možemo opisati u sledećoj formi:

)(1 és

)(1)( 21 s N

H s D

s H aa== (11.20)

pošto prenosne funkcije sadrže samo imenioce zato sigurno možemo birati uzorkovanu frektako da ograničenje frekventnog domena bude osigurano. Posle preslikavanja dobićemo sledećediskretne funkcije:

)()()(

1

1

11 z D

z N e z z A z H

N

iT p

ii

=−

= ∑=

)()()(

2

2

12 z D

z N e z z B

z H N

iT s

ii

=−

= ∑=

(11.21)

konačna prenosna funkcija:

=

=

−===

N

j

T p

M

i

T s

j

i

e z

e z

z N z N

H z D z N z D z N

H z H z H

H z H

1

1

2

10

12

210

2

10

)(

)(

)()(

)()()()(

)()()( (11.22)

Opisana transformacija rešava preslikavanje racionalnih funkcija ali ima i anomalije:

- dobijena funkcija može biti nestabilna jer funkciju N 2(z) ne možemo proveravati i ovako jedan deo polova može ležati izvan jediničnog kruga alimožemo zamenuti ove polove sa njenim recipročnim vrednostima i prekoovoga se ne modifikuje amplitudna karakteristike samo fazna karakterist

- broj stepeni diskretne funkcije povećala se za dvostruku vrednost u poređenjusa anlognim filtrima.

Page 251: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 251/264

Projektovanje IIR filtara

250

Druga mogućnost modifikacije je upotreba tzv.usklađene z-transformacije. U prethodnomodifikovanom izrazu uticaj izraza N 1(z)/N 2(z) relativno je mali i relativno jednostavno možemoopisati ceo izraz na sledeći način:

=

=

−+=

N

j

T p

M

i

T s

L

j

i

e z

e z

z H z H 1

1

)(

)(

)1()( (11.23)

gde je L=N-M. Ova transformacija daje dobre rezultate kod projektovanja visokopropusnih filtara filtara nepropusnika opsega. Kod projektovanja niskopropusnih filtara i filtara propusnika opsegupotrebljavamo filtre prema prvoj modifikaciji.

11.3. Upotreba Bilinearnog preslikavanja u projektovanju IIR filtara

Bilinearna transformacija se danas najviše koristi kod projektovanja IIR filtara. Osnovovog postupka je da analogni i diskretni sistem za istu pobudu daje isti odziv u svakom trenutkdiskretnog vremena.

Kod izvođenja bilinearne transformacije polazimo od prenosne funkcije analognog filtra:

a sb

s H +=)( (11.24)

ovaj sistem možemo opisati sa sledećom diferencijalnom jednačinom:

)()()( t bxt aydt

t dy =+ (11.25)

ako integrišemo ovaj izraz:

∫ +=t

t

t yd yt y0

)()(')( 0τ τ (11.26)

približavanje integrala sa trapezoidalnim izrazom u trenucimat=nT i t 0=nT-T:

[ ] )()(')('2)( T nT yT nT ynT yT

nT y −+−+= (11.27)

samu diferencijalnu jednačinu u trenutkut=nT na sledeći način opisujemo:

)()()(' nT bxnT aynT y +−= (11.28)

koristeći trapezoidalno približavanje dobijemo za diferencijalnu jednačinu, pa izvršeći z -transformaciju dobijemo sledeći izraz:

Page 252: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 252/264

Projektovanje IIR filtara

251

)()1(2

)(2

1)(2

1 11 z X z bT

z Y z aT

z Y aT −− +=

−−

+ (11.29)

diskretna prenosna funkcija:

a z z

T

b z X z Y

z H +

+−

==

1

1

112)(

)()( (11.30)

odavde transformacioni izraz:

1

1

112

+−=

z z

T s (11.31)

ovu transformaciju nazivamobilinearna transformacija. To je inverzna z-transformacija:

s

T

sT

T z

21

212

+= (11.32)

Uz pomoć bilinearne transformacije, koristeći prethodna dva izraza imamo mogućnost da preslikamo parametre neprekidnog domena u diskretni domen i obrnuto.

Zadatak 11.2.: Projektujmo jedan jednopolni niskopropusni digitalni filtar sa upotrebom bilinearnetransformacije, č ija je grani č na frekvencija 3dB 0.1 π . Bilinearnu transformaciju trebamo koristiti

za slede ć i filtar:

c

c

s s H Ω+

Ω=)(

gde je Ω c grani č na frekvencija analognog filtra 3dB.

Rešenje: Digitalni filtar tako je definisan da3dB -ska granična frekvencijaω c=0.1 π . Frekventnomdomenuω c=0.1 π odgovaraΩ c=(2/T)tg0.05 π =0.317/T. Na osnovu ovoga analogni filtri raspolažsledećim prenosnim funkcijama:

T sT

s H /317.0

/317.0)(+

=

izvršimo zamenu i dobijemo prenosnu funkciju sledećeg digitalnog filtra:

1

1

864.01 )1(136.0)( −

− += z z z H

frekventni odziv funkcije digitalnog filtra:

ω

ω ω

j

j j

ee

e H −

−+=

864.01)1(136.0)(

Relativno jednostavno je računanje digitalnog filtra pomoću bilinearne transformacije.

Page 253: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 253/264

Page 254: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 254/264

Projektovanje IIR filtara

253

Veza sa slike 11.7. nije realna ali u centru kordinatnog početka i okolo jeω = Ω . Kako seudaljavamo od centra kordinatnog sistema jednakost sve više postaje nejednakost.

U prvoj tački projektovanja zadate vrednostiω u diskretnom domenu moramo konvertovau neprekidni domen vrednosti frekvencijeΩ , i ovaj proces nazivamo frekventna izobličenja, njegovcilj je smanjenje uticaja nelinearnih dejstava. Na slici 11.8. prikazujemo uticaj transformkompresije.

Slika 11.8. Uticaj bilinearne transformacije na imaginarnu osu

Sa slike se dobro može videti da uticajem bilinearne transformacije oblasti između raznihvrednosti frekvencije stvaraju se sa različitim brojem množioca. Ovako u neprekidnom domeoblast od1 do 4 smo podelili na tri jednaka dela. To se može videti sa slike kako se približavvrednostima veće frekvencije pojedini odsečci iz neprekidnog domena u diskretni domen sve višesmanjuju (ovo je posledica tangensne funkcije), odnosno dokle je 12 odsečaka jednako 23 jednako34 odsečaka dotle u preslikanoj oblasti 1′2>2′3>3′4. Ova osobina na maloj frekvenciji nije tolikuočljiva.

Amplitudna i fazna karakteristika je deformisana. Amplitudna karakteristike je zadtolerancionom šemom i ako posle deformacije karakteristike stane u tolerancionu šemuuzmemo da nije došlo do deformacije u toku bilinearne transformacije. Deformacija karakteristike nije od značaja jer u neprekidnom domenu ne obraćaju pažnju kod upotrebljavanjaraznih približavanja (Butterworth itd.), pa tako ovaj problem nije od značaja ni kod sinteze digitalihfiltara. U tom slučaju ako je od značaja za neku deformaciju onda moramo koristiti druge postukod rešenja.

Page 255: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 255/264

Projektovanje IIR filtara

254

11.4. Predistorzija i tipska transformacija

Bilinearna transformacija je osnovno sredstvo u projektovanju IIR filtra. Projektovanje IIfiltra sa bilinearnom transformacijom možemo podeliti na šest faze, i od ovih faza dve salternativne:

1. Zadavanje specifikacije filtra i izvršavanje deformacije karakterističnihfrekvencija

2. Određivanje N na osnovu sinteze normiranog niskopropusnog filtraα a ,α z ,Ω a i Ω z .Može se izvršiti preslikavanje kao alternativa normiranog niskopropusnog filtra uodređen tip filtra kao niskopropusni, visokopropusni, filtar propusnik opsega ilifiltar nepropusnika opsega.

3. Preslikavanje polova i nula iz analognog filtra u digitalni filtar sa izrazom (11.34)4. Izračunavanje H D multiplikacionog faktora digitalnog filtra5. Određivanje prenosne funkcije H(z) digitalnog filtra6. Ako u drugoj tački nismo izvršili tipsku transformaciju onda moramo sada izvršiti

u digitalnom domenu7. Realizacija digitalnog filtra

Zavisno od problema alternativno možemo da odaberemo između dva postupka, da lićemotipsku transformaciju izvršiti u analognom ili u diskretnom domenu.

11.4.1. Zadavanje specifikacije i frekventna izobli č enja

Specifikaciju filtra možemo zadati na osnovu rečenih u 3.2. podpoglavlju. Frekventnom predistorzijom vrednosti izω oblasti (diskretan) realizujemo uΩ (neprekidnu) oblast. Cilj upotrbeove transformacije je da eliminiše uticaj kasnije korišćenog inverznog preslikavanja. Konkretnamatematička forma preslikavanja se zasniva na bilinearnoj transformaciji:

T

=Ω2

tan2 ω (11.35)

U ovoj smeni umesto vrednostiω moramo upisati sve važne vrednosti frekvencije koji suzadati u specifikaciji pr.Ω a, Ω b ,itd. pa ovako kod niskopropusnog filtra:

2tan2,

2tan2 z

z a

a T T ω ω (11.36)

Veza nekoloko tipičnih vrednosti u neprekidnom i diskretnomn domenu:

1,100−=⇔=⇔∞→Ω

=⇔=⇔=Ω z

z

π ω

ω (11.37)

Predistorzija nije linearna operacija i za rezultat dobijemo sledeću zavisnost:

a

z

a

z

ΩΩ<

ω

ω (11.38)

Page 256: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 256/264

Projektovanje IIR filtara

255

Ovo se delimično kompenzuje kod nelinearne pretransformacije, odnosno pored mvrednostiω nejednačina sve više prelazi u jednačinu. Bilinearno preslikavanje ne utiče na talasnostiu domenu propusnog opsega i nepropusnog opsega.

11.4.2. Tipska transformacija u analognom domenuOvaj tip transformacije upotrebljavamo, ako kod projektovanja tipsku transform

izvršavamo u analognom domenu. Sa ovom transformacijom detaljno smo se bavili u podpo3.3.2.

11.4.3. Preslikavanje u digitalnom domenu

Tabela 11.2. Frekventna transformacija digitalnog filtra

Tip preslikavanja Preslikavanje Parametri

Niskopropusni1

11

1)( −

−−

−−= z

z z g

α

α

frekvencijgranicnanova']2/)'sin[(]2/)'sin[(

=+−=

c

cc

cca

ω ω ω

ω ω

Visokopropusni1

11

1)( −

−−

++−= z

z z g

α

α

frekvencijgranicnanova']2/)'cos[(]2/)'cos[(

=+−−=

c

cc

cca

ω ω ω

ω ω

Propusnik opsega 22

11

21

12

1

1)( −−

−−−

+−+−−= z z

z z z g

α α

α α

)1/()1()1/(22

tan2

cot

]2/)'cos[(]2/)'cos[(

frekvencijgranicnagornjafrekvencijgranicnadonja

2

1

+−=+−=

−=

+−=

K K

K K

K c f a

cc

cc

f

a

α

α α

ω ω ω ω ω

ω ω α

ω

ω

Nepropusnik opsega

22

11

21

12

1

1)( −−

−−−

+−+−= z z

z z z g

α α

α α

)1/()1()1/(22

tan2

cot

]2/)'cos[(]2/)'cos[(

frekvencijgranicnagornjafrekvencijgranicnadonja

2

1

+−=+−=

−=

+−=

K K

K K

K c f a

cc

cc

f

a

α

α α

ω ω ω ω ω

ω ω α

ω

ω

Page 257: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 257/264

Projektovanje IIR filtara

256

Slično kao kod preslikavanja u analognom domenu, preslikavanje u frekventnom domenumožemo izvršiti i sa digitalnim niskopropusnim filtrom. Digitalni niskopropusni filtar možem preslikati u visokopropusni, propusnik opsega ili u filtar nepropusnik opsega.

Preslikavanje uslovljava uvrštavanje z –1 sa jednom racionalnom funkcijom g(z –1 ). Ovaracionalna funkcija mora zadovoljavati sledeće uslove:

- preslikavanje untrašnjosti jediničnog kruga mora preslikati u unutrašnjost jediničnog kruga,

- jedinični krug mora preslikati u jedinični krug.- Mora da raspolaže sa sledećim opštim oblikom:

∏=

−−

−−±=

n

k k

k

z z

z g 1

1

11

1)(

α

α (11.39)

gde pored zadovoljavanja uslovaαk <1, mora da se preslika u stabilan filtar. Razne transformacijefiltarskog tipa se mogu naći u tabeli 11.2.

11.4.3. Realizacija digitalnog filtra

U ovom poglavljućemo se baviti razlaganjem načlanove drugog stepena. Realizacija projektovane funkcije H(z) u najviše slučaja se dešava sačlanovima drugog stepena. Za realizacijučlanova drugog stepena potrebno je konjugovani komplexni par polova, odnosno ako ima nule on pripada jedan par nula. Za dati par polova ne možemo proizvoljno dodati jedan par nula negmoramo birati tako da datom paru polova geometrijski bude najbliži par nula, tako da dajopadajući faktor dobrote. Važno je da ovako odabranečlanove drugog stepena u red povežemo prema rastućem faktoru dobrote. Rastojenje pola od jediničnog kruga, određuje faktor dobrotedrugog stepena osnovnogčlana. Ovakvo odabiranje daje najravniju prenosnu karakteristiku.

Sada već raspolažemo u diskretnom domenu sa aktualnim parametrima filtra, odnosno sa N brojem polova P [ i ] i nulama Z [ i ] . Za jedan tip sklopaćemo izvršiti promeravanje za datičlandrugog stepena.

Slika 11.9. Kaskadna realizacija osnovnogčlana drugog stepena

Page 258: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 258/264

Projektovanje IIR filtara

257

Sada nam je cilj da realizujemo filtar. Prenosna funkcija ičlanovi drugog stepena sa kojimamožemo realizovati filtar mogu se opisati u sledećoj formi:

∏=

= L

ii D z H H z H

1)()( (11.40)

gde je L=ceo [ (N+1)/2 ] . Članove drugog reda možemo opisati u sledećoj formi:

22

11

22

11

11)( −−

−−

−−++=

z a z a z b z b

z H ii

iii (11.41)

Pretpostavimo da kod date realizacijei-tog člana drugog stepena, za par polova P [ i1 ] ,P [ i2 ] smo odredili par nula Z [ i1 ] ,Z [ i2 ] . Kod ove realizacije drugog stepena koeficijentea i b dobijamoizjednačavanjem koeficijenata pored eksponenta imenioca i brojioca:

221

121

221

121

12

11

12

11

22

11

22

11

)()())()((1)()())()((1

))(1)()(1())(1)()(1(

11)(

−−

−−

−−

−−

−−

−−

++−++−=

−−−−=

−−++=

z i P i P z i P i P z i Z i Z z i Z i Z

z i P z i P z i Z z i Z

z a z a z b z b

z H ii

iii

(11.42)

koeficijente određujemo sa sledećim izrazima:

][][ ]),[][(][][ ],[][

21i2211

21i2211

i Z i Z bi Z i Z b

i P i P ai P i P a

i

i

=+−=−=+=

(11.43)

Ako nemamo par polova ili par nula, u tom slučaju začlan koji fali uzimamo nulu.

Slika 11.10. Prikaz normiranih uslova a) niskopropusni filtar i b) filtar nepropusnik opseg

Za komplexno konjugovane parove prethodni izraz se može pisati u obliku:

Page 259: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 259/264

Projektovanje IIR filtara

258

].[][ ],[2]),[][(a],[21

21

2i211

12

12

i211

i Z i Z bi Z b

i P i P i P a

ir r i

ir r i

+=−=+−==

(11.44)

Još moramo odrediti vrednosti koeficijenata H D. Ovaj koeficijent zavisno od filtarskog tipanormira celu prenosnu funkciju.

U slučaju niskopropusnog filtra i filtra nepropusnika opsega na kružnoj frekvenciji nula,moramo realizovati jedinično pojačanje (pogledaj sliku 11.10), koristeći:

∏∏===

==

−−++==

L

i ii

ii D

z

L

ii D z aa

bb H z H H z H

1 21

21

111 1

11)()( (11.45)

Ako poznajemo koeficijente u pojedinimčlanovima drugog stepena, onda iz datog uslovasmenom lako se može izračunati H D.

U slučaju visokopropusnog filtra (vidi sliku 11.11.a.) odgovarajući izraz je sledećeg oblika:

11

1)()(1 21

21

111=

−+

+−==∏∏ =−==−=

L

i ii

ii

D z

L

i i D z aa

bb H z H H z H (11.46)

Kod računanja filtra propusnika opsega (vidi sliku 11.11.b.) jednačinu moramo rešiti nasredini prenosne karakteristike, jer tamo je vrednost pojačanja jednak jedan:

111)()(

12

21

1

22

11

1=

−+++== ∏∏

=−−

−−

===

L

i k ik i

k ik i D

z z

L

ii D z z z a z a

z b z b H z H H z H

k

k (11.47)

gde je T jaak

k e Ω=ΩΩ=Ω k 21 z és .

Slika 11.11. Prikaz normiranih uslova a) visokopropusni filtar i b) filtar propusnik opsega

Page 260: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 260/264

Projektovanje IIR filtara

259

U nastavku preko primeraćemo prikazati tok projektovanja IIR digitalnog filtra bilinearnom transformacijom. Prvo preko jednog primeraćemo prikazati tok uvrštavanja a unastavkućemo pretpostaviti da poznajemo tok računanja i analiziramo rezultate.

Zadatak 11.3.: Konvertujmo jednopolnu filtarsku funkciju iz zadatka 11.2. sa slede ć om sistemskom funkcijom:

1

1

864.01)1(136.0

)( −

+= z

z

z H

u filtar nepropusnik opsega grani č nom frekvencijom ω 1 iω 2. Grani č na frekvencija niskopropusnog filtra ω c=0.1 π .

Rešenje: Željena transformacija:

22

11

21

12

1

1)( −−

−−−

+−+−= z z

z z z g

α α

α α

gde suα 1 i α 2 definisani u tabeli 11.2.

22

11

21

12

22

11

2112

1864.01

11136.0

)(−−

−−

−−

−−

+−+−−

+−+−+

=

z z z z

z z z z

z H

α α

α α

α α α α

sredeći izraz:( )

( )( )[ ]

2

2

1

12

22

112

21

122

21

1

21

122

21

1

)864.0(136.0)864.01()1(21136.0

864.011136.0)(

−−

−−

−−−−

−−−−

−+−−++−+=

=+−−+−+−++−=

z z z z

z z z z z z z z

z H

α α α

α α α

α α α α

α α α α

pa sada možemo izračunati nule i polove:

( )( )

( ))864.0(2

)864.0)(864.01(4136.0136.0

11

2

222

112/1

2

2211

2/1

−−−−±

=

++−±

=

α

α α α α

α

α α α

p

z

Na osnovu tabele 11.2. ako poznajemo konkretne vrednosti granične frekvencije možemoizračunati vrednostiα1 i α2 odnosno ceo filtar.

Zadatak 11.4.: Projektujmo niskopropusni filtar sa slede ć im karakteristikama:

- grani č na frekvencija propusnog opsega F a=1kHz,- grani č na frekvencija nepropusnog opsega F z =2.1kHz,

Page 261: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 261/264

Projektovanje IIR filtara

260

- maximalno prigušenje u propusnom opsegu je 1dB,- minimalno prigušenjeu nepropusnom opsegu je 45dB i- uzorkovana brzina 10kHz.

Rešenje: Prvoćemo zadati tabelu pol-nula pored raznih aproksimacionih postupaka.

Tabela 11.3. Nule i polovi digitalnog filtra sa upotrebom raznih približavanja

N Butterworth Csebisev I. Csebisev II. Elipti č an

10.6631 +0.5543 j

0.7705+0.5535 j

0.6497+0.5378 j

0.7601+0.2358 j

20.6631 -0.5543 j

0.7705-0.5535 j

0.6497-0.5378 j

0.7601-0.2358 j

30.4785 +0.1780 j

0.7972+0.3321 j

0.4671+0.3034 j

0.7592+0.5466 j

40.4785 -0.1780 j

0.7972-0.3321 j

0.4671-0.3034 j

0.7592-0.5466 j

50.5400 +0.3620 j 0.8280 0.3878

60.5400-0.3620 j

polovi

7 0.4598

10.3687+0.9295 j

0.4872+0.8733 j

20.3687-0.9295 j

0.4872-0.8733 j

3 -1.0000-0.2685+0.9633 j

4-0.0940+0.9956 j

-0.2685-0.9633 j

nule

5-0.0940-0.9956 j

Na slici 11.12. zadajemo amplitudnu karakteristiku Butterwoth i Csebisev aproksimacije na slici 11.13. zadajemo impulsni odziv funkcije Butterworth aproksimacije za datu specifikacijfiltra.

Page 262: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 262/264

Projektovanje IIR filtara

261

Slika 11.12. a) i b) Amplitudska karakteristike filtra definisanog u zadatku sa ButterworthCsebisev aproksimacijom

Na slici 11.12. izdvojili smo samo dva karakteristična prelazna domena a) propusni opseg bnepropusni opseg. Sa slike se dobro mogu videti obe aproksimacije koji zadovoljavaju propusnog opsega. Uslov za nepropusni opseg Csebisev filtar već zadovoljava na malimfrekvencijama dok Butterworth filtar ispunjava samo kao granična mogućnost.

Slika 11.13. Impulsni odziv funkcije za Butterworth aproksimaciju

Page 263: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 263/264

Projektovanje IIR filtara

262

Zadatak 11.5. Projektujmo elipti č ni filtar nepropusnog opsega sa slede ć im karakteristikama:

- grani č ne frekvencije propusnog opsega F a1=1kHz i F a2 =1.3kHz - grani č ne frekvencije nepropusnog opsega F z1=0.9kHz i F z2= 1.45kHz - maximalno prigušenje u propusnom opsegu je 1dB,

- minimalno prigušenje u nepropusnom opsegu je 45dB i- uzorkovana brzina 20kHz.

Rešenje: Na slici 11.14. prikazujemo amplitudni odziv funkcije pored zadatih uslova. Realizacija sizvršila pored N=4 stepena. Uslov za nepropusni opseg filtar već zadovoljava na maloj frekvenciji,da bi tačno bilo projektovanje ako slučajno nas ne zadovoljava realizaciona karakteristika, moramouzeti prilikom realizacije filtar sa većim brojem stepena.

Slika 11.14. a) i b) Amplitudna karakteristika filtra definisanog u zadatku sa eliptičnomaproksimacijom

Na slici 11.14. izdvojili smo samo dva karakteristična prelazna domena a) propusni opseg b)nepropusni opseg. Sa slike se dobro mogu videti aproksimacije koji dobro zadovoljavaju uslonepropusnog opsega.

Page 264: obradasignalas

8/14/2019 obradasignalas

http://slidepdf.com/reader/full/obradasignalas 264/264