pld.docx

Upload: jhonybar28

Post on 12-Oct-2015

9 views

Category:

Documents


0 download

TRANSCRIPT

DEDICATORIA

Este presente documento es dedicado hacia mi familia que siempre me apoyaron incondicionalmente tanto moral y econmicamente, este documento representa una retribucin hacia mi familia por todo el apoyo dado.

El autor.

CONTENIDO

1. INTRODUCCIN1.1 Concepto de lgica programable.................................31.2 Qu es un PLD?.........................31.3 Ventaja de los PLDs.......31.4 Almacenamiento de la configuracin en los PLDs41.5 Clasificacin entre arquitecturas de los PLDs....52. TIPOS DE PLDs.2.1 SPLDs...102.2 CPLDs...102.3 FPGAs...........................................................113. SOFTWARE3.1 Concepto.154. ESTRUCTURA...165. CONCLUCIN...176. BIBLIOGRAFIA.18

1. INTRODUCIN1.1. Concepto de lgica programableLgica programada es lo contrario a la lgica cableada, es decir este tipo de diseo permite utilizar un circuito o un proyecto para muchas otras funciones con el simple cambio del software que incorpora. Se basa en dispositivos lgicos programables (PLD), los cuales tienen una funcin no establecida, al contrario que las puertas lgicas que tienen una funcin fija en el momento de su fabricacin, para poder utilizar el PLD en un circuito, ste debe ser programado.Antes de que se inventasen los PLDs, los chips de memoria de solo lectura (ROM) se utilizaba para crear funciones de lgica combinacional arbitrarias con un nmero determinado de entradas

1.2. Qu es un PLD?Las iniciales de PLD vienen del ingls programmable logic device que significa dispositivo lgico programable y son circuitos integrados que ofrecen a los diseadores en un solo chip, un arreglo de compuertas lgicas y flipflops que pueden ser programados por el usuario para implementar funciones lgicas; y as, una manera ms sencilla de reemplazar varios circuitos integrados estndares o de funciones fijas.Un PLD tpico est compuesto de arreglos de compuertas lgicas, uno de ellos a base de compuertas AND al que se le denomina plano AND y el otro de compuertas OR, denominado plano OR, estos pueden ser programables dependiendo del plano o los planos que lo sean, ser la clasificacin que reciba en PLD.

1.3. Ventajas de los PLDs.Las ventajas que trae con respecto a los circuitos integrados de funciones fijas (serie 74xx y 40xx) son variadas, entre ellas las que considero ms importantes son: Los PLDs representan menor costo para los fabricantes. Pueden reemplazar funciones de otros dispositivos lgicos. Reduccin de espacio en las tarjetas de circuito impreso. Simplificacin del alambrado entre unos chips y otros. Disminucin en los requerimientos de potencia (por consiguiente menor consumo de energa). Realizacin de aplicaciones especiales no encontradas en circuitos integrados de funciones fijas. Puede reflejarse menor costo para el usuario al ver las ventajas de tener menor cantidad de circuitos integrados; por consiguiente, procesos de ensamblado ms rpidos, menor probabilidad de que puedan ocurrir fallas, as como menores procedimientos en la deteccin de fallas cuando estas de presentan. 1.4. Almacenamiento de la configuracin en los PLDs.Un PLD es un combinacin de un dispositivo lgico y una memoria. La memoria se utiliza para almacenar el patrn el que se le a dado al chip durante la programacin. La mayora de los mtodos para almacenar datos en un circuito integrado han sido adaptados para el uso de en PLDs. Entre estos de incluye. Anti fusibles de silicio, son elementos de almacenamiento utilizados en las PAL, el primer tipo de PLD. Estos anti fusibles se encargan de formar conexiones mediante la aplicacin de voltaje en un rea modificada del chip. Se llaman anti fusibles porque trabajan de manera opuesta a los fusibles normales, los cuales permite la conexin hasta que se rompen por exceso de corriente elctrica. SRAM, o RAM esttica, son un tipo voltil de memoria, lo que quiere decir que su contenido se pierde cada vez que se desconecta. Las PLDs basadas en SRAM tienes que ser programadas cada vez que el circuito de enciende. Generalmente esto lo hace otra parte del circuito. La clula EPROM, es un transistor MOS que puede activarse atrapando una carga elctrica permanente en su puerta, cosa que realiza un programador PAL. La carga permanece durante algunos aos, solo puede ser eliminada exponiendo el chip a una luz ultravioleta en un dispositivo llamado borrador La memoria FLASH, son no voltiles, por lo que retienen sus contenidos incluso cuando se les corta la alimentacin. Pueden ser borrada y programada tanto como sea necesario, lo que las hace tiles para las memorias PLDs.

1.5. Clasificacin entre arquitecturas de los PLDs.La clasificacin de los PLDs, como se mencion anteriormente depender bsicamente del plano o planos que sean programables.

En la figura 1, se muestra la estructura general de un PLD.En la figura 2, se muestra la clasificacin de los PLDs segn su arquitectura, y se clasifican en 3 grupos.

Fig. N1.

Fig. N2. ROM (read only memory), memorias de solo lectura, son dispositivos programables solo por el fabricante y como se muestra en el esquema anterior este se subdivide en tres partes que son: PROM (programmable read-only memory ), memoria de solo lectura, dispositivo programado por el usuario y no borrable o reprogramable. EPROM (erasable programmable read-only memory ), memoria programable de solo lectura borrable, este tipo de memorias se borran mediante luz ultravioleta, con la ventaja de que puede ser programado por el usuario. EEPROM (electric erasable programmable read-only memoty ), memoria programable de solo lectura borrable elctrico, al igual que el anterior esta puede ser borrado y reprogramado por el usuario.

Fig. N4. Memoria ROM.

Fig. N5. Memoria PROM.

PLA (programmable logic array ), arreglo lgico programable, este tipo de dispositivos resuelve el problema de las PROM; debido a que tiene tanto el plano AND como el OR programables, de modo que solo se seleccionan los productos de trminos necesarios para las diferentes aplicaciones, esto hace mucho ms eficiente la matriz programable y al dispositivo ms verstil.Estos dispositivos fueron los primeros chips desarrollados especficamente para implementar circuitos lgicos, y forma parte de los PLD simple (SPLD). Como los PAL, disponen de dos planos, en este caso los PLA tienen ambos planos programables lo que hace que su estructura sea ideal para implementar funciones lgicas como sumas de productos, por el contrario hace que el dispositivo tenga mayor tamao y menor velocidad

Fig. N6. Estructura y arreglo de compuertas.

PAL (programmable array logic), lgica en un arreglo programable, la arquitectura de este PLD est compuesto de un plano AND programable y un plano OR fijo. El circuito interno de una PAL est consiste en una matriz de conexiones, una matriz de compuertas AND y un arreglo de compuertas OR.Una matriz de conexiones es una red de conductores distribuidos en filas y en columnas con un fusible en cada punto de interseccin, mediante la cual se seleccionan cuales entradas del dispositivo sern conectadas al arreglo AND cuyas salidas son conectadas al arreglo OR y de esta manera obtener una funcin lgica en forma suma de productos.Este PLD puede incluir una serie de componentes a la salida de plano OR, como pueden ser: inversores y ffs, que permitirn al dispositivo PLD verstil.

Fig. N7. Estructura de la PAL.

GAL (generic array logic), lgica en arreglo genrico, combina las caractersticas de un PAL; pero adems, agrega tecnologa especial para ser borrado y programado elctricamente. Este dispositivo que es el que nos ocupa, ser descrito y analizado en las pginas siguientes. Esta estructura permite implementar cualquier funcin lgica en forma de suma de productos con un nmero de trminos definidos. En los PLDs no reprogramables las sntesis de las ecuaciones lgicas se realizan mediante la quema de fusibles en cada punto de interseccin de los pines de entrada con las compuertas.En un GAL los fusibles de reemplazan por una celda CMOS elctricamente borrable (EECMOS), y mediante programacin se activa o desactiva cada celda EECMOS. Una celda activada conecta su correspondiente interseccin de fila y columna, y una celda desactivada desconecta dicha interseccin. Con esta estructura se puede aplicar cualquier combinacin de variables de entrada, o sus complementos, a una compuerta AND para generar cualquier operacin producto que se desee.

Fig. N8. Estructura de un GAL.

2. TIPOS DE PLDs.2.1. SPLDs.Los SPLDs son PLDs simples y su arquitectura es un PLA.

2.2. CPLDs.Los CPLD amplan el concepto de un PLD a un nivel de integracin ya que permite implementar sistemas ms eficaces, ya que utilizan menor espacio, mejoran la fiabilidad del diseo y reducen costos.Un CPLD se forma con mltiples bloques lgicos, cada uno similar a un PLD. Los bloques lgicos se comunican entre si utilizando una matriz programable de interconexiones, lo cual hace ms eficiente el uso de silicio, conduciendo a una mejor eficiencia a menor costo. A continuacin se explican brevemente las principales caractersticas de la arquitectura de un CPLD. Matriz de interconexiones programables.La matriz de interconexiones programables (PIM) permiten unir los pines de entrada y salida a las entradas del bloque lgico, o las salidas del bloque lgico a las entradas del otro bloque lgico o inclusive a las entradas del mismo.La mayora de los CPLDs usan una se dos configuraciones para esta matriz: interconexin mediante bloques o interconexiones mediante multiplexores.El primero se basa en una matriz de filas y columnas con una celda programable de conexin en cada interseccin. Al igual que en las GAL esta celda puede ser activada para conectar/desconectar la correspondiente fila y columna. Esta configuracin permite una total interconexin entre las entradas y salidas del dispositivo o bloques lgicos. Sin embargo estas ventajas producen que disminuya el rendimiento del dispositivo, adems de aumentar el consumo de energa y e tamao del componente.En las conexiones mediante multiplexores por cada entrada al bloque lgico. Las vas de interconexin programables son conectadas a las entradas de un nmero de multiplexores por cada bloque lgico. Las lneas de seleccin de estos multiplexores son programadas para permitir que sea seleccionada nicamente una via de la matriz de interconexin por cada multiplexor la cual se propagara hacia el bloque lgico. Cabe mencionar que no todas las vas son conectadas a las entradas de cada multiplexor. La rutabilidad se incrementa usando multiplexores de mayor tamao, permitiendo que cualquier combinacin de seales de la matriz de interconexin pueda ser enlazada hacia cualquier bloque lgico. Sin embargo, el uso de grandes multiplexores incrementa el tamao de dispositivo y reduce su eficiencia. Bloques lgicos.Un bloque lgico es similar a un PLD, cada uno pose un bloque de compuertas AND y OR en forma de suma de productos, una configuracin para la distribucin de estas sumas de productos, y macro celdas. El tamao del bloque lgico es una medida de la capacidad del CPLD, ya que de esto depende el tamao de la funcin booleana que pueda ser implementada dentro del bloque. Los bloques lgicos usualmente tienen de 4 a 20 macro celdas. Macro celdas.Las macro celdas de un CPLD son similares a las de un PLD. Estas tambin estn provistas con registros, control de polaridad, y buffers para salidas en alta impedancia. Por lo general un CPLD tiene macro celdas de entrada/salida, macro celdas de entrada y macro celdas internas u ocultas (buried macrocells), en tanto que un 22V10 tiene solamente macro celdas de entrada/salida. Una macro celda interna es similar a una macro celda de entrada/salida, slo que esta no puede ser conectada directamente a un pin de salida. La salida de una macro celda interna va directamente a la matriz de interconexin programable. Celda de entrada y salida.La funcin de una celda de entrada/salida es permitir el paso de una seal hacia dentro o hacia el exterior del dispositivo. Dependiendo del fabricante y de la arquitectura del CPLD estas celdas pueden o no ser consideradas parte del bloque lgico.

2.3. FPGAsUna FPGA (Field Programmable Gate Array) es un dispositivo semiconductor que contiene bloques de lgica cuya interconexin y funcionalidad puede ser configurada 'in situ' mediante un lenguaje de descripcin especializado. La lgica programable puede reproducir desde funciones tan sencillas como las llevadas a cabo por una puerta lgica o un sistema combinacional hasta complejos sistemas en un chip.

Las FPGAs se utilizan en aplicaciones similares a los ASICs sin embargo son ms lentas, tienen un mayor consumo de potencia y no pueden abarcar sistemas tan complejos como ellos. A pesar de esto, las FPGAs tienen las ventajas de ser reprogramables (lo que aade una enorme flexibilidad al flujo de diseo), sus costes de desarrollo y adquisicin son mucho menores para pequeas cantidades de dispositivos y el tiempo de desarrollo es tambin menor.

Ciertos fabricantes cuentan con FPGAs que slo se pueden programar una vez, por lo que sus ventajas e inconvenientes se encuentran a medio camino entre los ASICs y las FPGAs reprogramables.

Histricamente las FPGA surgen como una evolucin de los conceptos desarrollados en las PAL y los CPLD. FPGA vs CPLD.Las FPGAs fueron inventadas en el ao 1984 por Ross Freeman y Bernard Vonderschmitt, co-fundadores de Xilinx, y surgen como una evolucin de los CPLDs.

Tanto los CPLDs como las FPGAs contienen un gran nmero de elementos lgicos programables. Si medimos la densidad de los elementos lgicos programables en puertas lgicas equivalentes (nmero de puertas NAND equivalentes que podramos programar en un dispositivo) podramos decir que en un CPLD hallaramos del orden de decenas de miles de puertas lgicas equivalentes y en una FPGA del orden de cientos de miles hasta millones de ellas.

Aparte de las diferencias en densidad entre ambos tipos de dispositivos, la diferencia fundamental entre las FPGAs y los CPLDs es su arquitectura. La arquitectura de los CPLDs es ms rgida y consiste en una o ms sumas de productos programables cuyos resultados van a parar a un nmero reducido de biestables sncronos (tambin denominados flip-flops). La arquitectura de las FPGAs, por otro lado, se basa en un gran nmero de pequeos bloques utilizados para reproducir sencillas operaciones lgicas, que cuentan a su vez con biestables sncronos. La enorme libertad disponible en la interconexin de dichos bloques confiere a las FPGAs una gran flexibilidad.

Otra diferencia importante entre FPGAs y CPLDs es que en la mayora de las FPGAs se pueden encontrar funciones de alto nivel (como sumadores y multiplicadores) embebidas en la propia matriz de interconexiones, as como bloques de memoria. Historia.Las FPGA son el resultado de la convergencia de dos tecnologas diferentes, los dispositivos lgicos programables (PLDs Programmable Logic Devices) y los circuitos integrados de aplicacin especfica (ASIC [Application-Specific Integrated Circuit). La historia de los PLDs comenz con los primeros dispositivos PROM (Programmable Read-Only Memory) y se les aadi versatilidad con los PAL (Programmable Array Logic) que permitieron un mayor nmero de entradas y la inclusin de registros. Esos dispositivos han continuado creciendo en tamao y potencia. Mientras, los ASIC siempre han sido potentes dispositivos, pero su uso ha requerido tradicionalmente una considerable inversin tanto de tiempo como de dinero. Intentos de reducir esta carga han provenido de la modularizacin de los elementos de los circuitos, como los ASIC basados en celdas, y de la estandarizacin de las mscaras, tal como Ferranti fue pionero con la ULA (Uncommitted Logic Array). El paso final era combinar las dos estrategias con un mecanismo de interconexin que pudiese programarse utilizando fusibles, anti fusibles o celdas RAM y celdas ROM, como los innovadores dispositivos Xilinx de mediados de los 80. Los circuitos resultantes son similares en capacidad y aplicaciones a los PLDs ms grandes, aunque hay diferencias puntuales que delatan antepasados diferentes. Adems de en computacin reconfigurable, las FPGAs se utilizan en controladores, codificadores/decodificadores y en el prototipado de circuitos VLSI y microprocesadores a medida.

El primer fabricante de estos dispositivos fue Xilinx [2] y los dispositivos de Xilinx se mantienen como uno de los ms populares en compaas y grupos de investigacin. Otros vendedores en este mercado son Atmel, Altera, AMD y Motorola. Caractersticas.Una jerarqua de interconexiones programables permite a los bloques lgicos de un FPGA ser interconectados segn la necesidad del diseador del sistema, algo parecido a un breadboard (es una placa de uso genrico reutilizable o semipermanente) programable. Estos bloques lgicos e interconexiones pueden ser programados despus del proceso de manufactura por el usuario/diseador, as que el FPGA puede desempear cualquier funcin lgica necesaria.

Una tendencia reciente ha sido combinar los bloques lgicos e interconexiones de los FPGA con microprocesadores y perifricos relacionados para formar un Sistema programable en un chip. Ejemplo de tales tecnologas hbridas pueden ser encontradas en los dispositivos Virtex-II PRO y Virtex-4 de Xilinx, los cuales incluyen uno o ms procesadores PowerPC embebidos junto con la lgica del FPGA. El FPSLIC de Atmel es otro dispositivo similar, el cual usa un procesador AVR en combinacin con la arquitectura lgica programable de Atmel. Otra alternativa es hacer uso de ncleos de procesadores implementados haciendo uso de la lgica del FPGA. Esos ncleos incluyen los procesadores MicroBlaze y PicoBlaze de Xlinx, Nios y Nios II de Altera, y los procesadores de cdigo abierto LatticeMicro32 y LatticeMicro8.

Muchos FPGA modernos soportan la reconfiguracin parcial del sistema, permitiendo que una parte del diseo sea reprogramada, mientras las dems partes siguen funcionando. Este es el principio de la idea de la computacin reconfigurable, o los sistemas reconfigurables. Programacin.En la FPGA no se realiza programacin tal cual como se realiza en otros dispositivos como DSP, CPLD o micro controladores. La FPGA tiene celdas que se configuran con una funcin especfica ya sea como memoria (FLIP-FLOP tipo D), como multiplexor o con una funcin lgica tipo AND, OR, XOR. La labor del "programador" es describir el hardware que tendr la FPGA. Por consiguiente, la tarea del "programador" es definir la funcin lgica que realizar cada uno de los CLB, seleccionar el modo de trabajo de cada IOB e interconectarlos. Aplicaciones.Cualquier circuito de aplicacin especfica puede ser implementado en un FPGA, siempre y cuando esta disponga de los recursos necesarios. Las aplicaciones donde ms comnmente se utilizan los FPGA incluyen a los DSP (procesamiento digital de seales), radio definido por software, sistemas aeroespaciales y de defensa, prototipos de ASICs, sistemas de imgenes para medicina, sistemas de visin para computadoras, reconocimiento de voz, bioinformtica, emulacin de hardware de computadora, entre otras. Cabe notar que su uso en otras reas es cada vez mayor, sobre todo en aquellas aplicaciones que requieren un alto grado de paralelismo.

Fig. N9. Una SPARTAN de XILINX y una FPGA de ALTERA

3. SOFTWARE.3.1. Concepto.Varios dispositivos de programacin de PALs admiten la entrada mediante un formato estndar de archivo, denominados comnmente como 'archivos JEDEC'. Son anlogos a los compiladores software. Los lenguajes utilizados como cdigo fuente para compiladores lgicos se denominan lenguajes de descripcin de hardware (HDLs).El diseador cuenta con la ayuda de entornos de desarrollo especializados en el diseo de sistemas a implementarse en un FPGA. Un diseo puede ser capturado ya sea como esquemtico, o haciendo uso de un lenguaje de programacin especial. Estos lenguajes de programacin especiales son conocidos como HDL o Hardware Description Language (lenguajes de descripcin de hardware). Los HDLs ms utilizados son: PALASM ABEL VHDL VERILOG

PALASM y ABEL se utilizan frecuentemente para dispositivos de baja complejidad, mientras que Verilog y VHDL son lenguajes de descripcin de hardware de alto nivel muy populares para dispositivos ms complejos.

El ms limitado ABEL se usa normalmente por razones histricas, pero para nuevos diseos es ms popular VHDL, incluso para diseos de baja complejidad.

A. VHDL.VHDL es el acrnimo que representa la combinacin de VHSIC y HDL, donde VHSIC es el acrnimo de Very High Speed Integrated Circuit y HDL es a su vez el acrnimo de Hardware Description Language.

Es un lenguaje definido por el IEEE (Institute of Electrical and Electronics Engineers) (ANSI/IEEE 1076-1993) usado por ingenieros para describir circuitos digitales. Otros mtodos para disear circuitos son la captura de esquemas (con herramientas CAD) y los diagramas de bloques, pero stos no son prcticos en diseos complejos. Otros lenguajes para el mismo propsito son Verilog y ABEL.

Aunque puede ser usado de forma general para describir cualquier circuito se usa principalmente para programar PLD (Programable Logic Device - Dispositivo Lgico Programable), FPGA (Field Programmable Gate Array), ASIC y similares.

Formas de describir un circuito.Dentro del VHDL hay varias formas con las que podemos disear el mismo circuito y es tarea del diseador elegir la ms apropiada. Funcional: Describimos la forma en que se comporta el circuito. Esta es la forma que ms se parece a los lenguajes de software ya que la descripcin es secuencial. Estas sentencias secuenciales se encuentran dentro de los llamados procesos en VHDL. Los procesos son ejecutados en paralelo entre s, y en paralelo con asignaciones concurrentes de seales y con las instancias a otros componentes. Flujo de datos: Describe asignaciones concurrentes (en paralelo) de seales. Estructural: Se describe el circuito con instancias de componentes. Estas instancias forman un diseo de jerarqua superior, al conectar los puertos de estas instancias con las seales internas del circuito, o con puertos del circuito de jerarqua superior. Mixta: combinacin de todas o algunas de las anteriores.

4. ESTRUCTURA.La estructura bsica de una PLD permite realizar cualquier tipo de circuito combinacional basndose en una matriz formada por puertas AND, seguida de una matriz de puertas OR. Tres son los tipos ms extendidos de PLDs, la PROM, PLA, y la GAL.Estas tres estructuras ya se vieron anteriormente. PROM. PLA. GAL. Ventajas de diseo con PLDs vs lgica estndar. Facilidad de diseo: Programacin transparente al usuario. Sistema de desarrollo de fcil manejo y utilizacin. Fiabilidad: Reduccin de nmero de integrados de la placa. Posibilidad de borrado y reprogramado. Simplificacin de test. Velocidad: Tiempos de propagacin menores. Menores capacidades parsitas.

Costos: Una PLD reemplaza varios componentes discretos lo caul implica una reduccin de costos. Reduccin del costo de ensamblado.

5. EJEMPLOS.6. CONCLUCIONES. Un dispositivo lgico programable o PLD, es un dispositivo cuyas caractersticas pueden ser modificadas y almacenadas mediante programacin. Una forma rpida y directa de integrar aplicaciones se logra con la lgica programable, la cual permite independizar el proceso de fabricacin del proceso de diseo fuera de la fbrica de semiconductores. El sistema desplaza los errores de alambrado al campo exclusivo de la programacin. Los sistemas con estas caractersticas se pueden borrar y reprogramar en casos de cambios o revisiones. El resultado es la reduccin del espacio fsico de la aplicacin. El diseo est basado en bibliotecas y mecanismos especficos de mapeado de funciones. El uso de dispositivos PLD proporciona una reduccin en el nmero de circuitos integrados. Por ejemplo, en los sistemas de memoria de las computadoras, los PLD pueden utilizarse para decodificar direcciones de memoria y generar seales de escritura en memoria. En muchas aplicaciones, los PLD y, en concreto, las matrices lgicas programables (PAL, Programmable Array Logic) y las matrices lgicas genricas (GAL, Generic Array Logic) pueden emplearse para reemplazar dispositivos lgicos SSI y MSI, consiguiendo con ello una reduccin de etapas y de los costos. El diseo con PLDs seala las siguientes ventajas en relacin a la lgica cableada: Economa. Menos espacio en los impresos. Se mantiene la reserva del diseo. Se requiere tener menos inventarios que con circuitos estndar SSI, MSI. Menos alambrado. Tipos de PLDs:PLDs COMBINATORIOS: Constituidos por compuertas AND y OR. El usuario define las intersecciones y en esto consiste la programacin.PLDs secuenciales: Adems de los arreglos de compuertas, incluyen ffs para programar funciones secuenciales como contadores y mquinas de estado.

El dispositivos programable ms simple es el PAL(Programable Array Logic). Una matriz genrica programable, GAL (generic array logic), es una forma bsica de un PLD con una matriz AND reprogramable, una matriz OR fija y una lgica de salida programable mediante una macro celda. Un CPLD (Complex Programmable Logic Device) extiende el concepto de un PLD a un mayor nivel de integracin ya que permite implementar sistemas con un mejor desempeo porque utilizan menor espacio, mejoran la confiabilidad en el circuito, y reducen costos.

7. BIBLIOGRAFIA. http://es.wikipedia.org/wiki/L%C3%B3gica_programada#Almacenamiento_de_la_configuraci.C3.B3n_en_las_PLDs http://www.academia.edu/4082273/UNIVERSIDAD_NACIONAL_TECNOLOGICA_DEL_CONO_SUR_PLD-Dispositivo_Logico_Programable_TRABAJO_DE_INVESTIGACION_Nombre_Materia_Sistemas_Digitales_INGENIERIA_DE_SISTEMAS_Prof._Desarrollo_Aplicaciones_Conclusiones http://www.buenastareas.com/ensayos/Lenguaje-De-Programaci%C3%B3n-Pld/6445621.html

2